Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Wei-Yu Chiu"
@article{DBLP:journals/access/LeeCSWHKL24, author = {Si{-}Huei Lee and Shin{-}Wei Chiu and Man{-}Wen Su and Szu{-}Yu Wu and Yi{-}Ting Huang and Li{-}Yin Kang and Bor{-}Shing Lin}, title = {Artificial Intelligence-Based Mobile Application for Identifying Suitable Height Range of High Heels}, journal = {{IEEE} Access}, volume = {12}, pages = {14882--14892}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3357544}, doi = {10.1109/ACCESS.2024.3357544}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCSWHKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinLKLCCK24, author = {Chih{-}Lung Lin and Chia{-}Lun Lee and Cheng{-}Han Ke and Po{-}Cheng Lai and Chung{-}Tien Chiu and Yu{-}Chang Chiu and Chia{-}Wei Kuo}, title = {Lifetime Optimization of Optical Sensing System With Highly Reliable a-Si:H TFT-Based Optical Sensor and Driver Circuit in Large AMLCDs}, journal = {{IEEE} Access}, volume = {12}, pages = {78122--78131}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3399483}, doi = {10.1109/ACCESS.2024.3399483}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinLKLCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuC24, author = {Sen{-}Tung Wu and Yu{-}Wei Chiu}, title = {Implementation of a Bidirectional 400-800V Wireless {EV} Charging System}, journal = {{IEEE} Access}, volume = {12}, pages = {26667--26682}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366997}, doi = {10.1109/ACCESS.2024.3366997}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WuC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuCW24, author = {Shao{-}Ci Wu and Wei{-}Yu Chiu and Chien{-}Feng Wu}, title = {Deep Reinforcement Learning for Task Assignment and Shelf Reallocation in Smart Warehouses}, journal = {{IEEE} Access}, volume = {12}, pages = {58915--58926}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3392752}, doi = {10.1109/ACCESS.2024.3392752}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChenYTTYLLLWYCHHCCW24, author = {Pey{-}Yu Chen and Ta{-}Wei Yang and Yi{-}Shan Tseng and Cheng{-}Yu Tsai and Chiung{-}Szu Yeh and Yen{-}Hui Lee and Pei{-}Hsuan Lin and Ting{-}Chun Lin and Yu{-}Jen Wu and Ting{-}Hua Yang and Yu{-}Ting Chiang and Jacob Shujui Hsu and Chuan{-}Jen Hsu and Pei{-}Lung Chen and Cheng{-}Fu Chou and Chen{-}Chi Wu}, title = {Machine learning-based longitudinal prediction for GJB2-related sensorineural hearing loss}, journal = {Comput. Biol. Medicine}, volume = {176}, pages = {108597}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108597}, doi = {10.1016/J.COMPBIOMED.2024.108597}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChenYTTYLLLWYCHHCCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChangCKCC24, author = {Kuang{-}Ming Chang and Ting{-}Yu Chang and Cooper Cheng{-}Yuan Ku and Chun{-}Wei Chiu and Ching{-}Ter Chang}, title = {Sharing decision-making in knee osteoarthritis using the {AHP-FMCGP} method}, journal = {Expert Syst. Appl.}, volume = {249}, pages = {123610}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.123610}, doi = {10.1016/J.ESWA.2024.123610}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChangCKCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/ChiuHW24, author = {Ming{-}Chuan Chiu and Yu{-}Jui Huang and Chia{-}Jung Wei}, title = {Enhancing SMEs digital transformation through machine learning: {A} framework for adaptive quality prediction}, journal = {J. Ind. Inf. Integr.}, volume = {41}, pages = {100666}, year = {2024}, url = {https://doi.org/10.1016/j.jii.2024.100666}, doi = {10.1016/J.JII.2024.100666}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiii/ChiuHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/LinCCH24, author = {Yu{-}Wei Lin and Chu{-}Fu Chiu and Li{-}Hsien Chen and Chao{-}Ching Ho}, title = {Real-Time Dynamic Intelligent Image Recognition and Tracking System for Rockfall Disasters}, journal = {J. Imaging}, volume = {10}, number = {4}, pages = {78}, year = {2024}, url = {https://doi.org/10.3390/jimaging10040078}, doi = {10.3390/JIMAGING10040078}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jimaging/LinCCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YouCKLHCLLHTCCC24, author = {De{-}Qi You and Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8b-Precision 8-Mb {STT-MRAM} Near-Memory-Compute Macro Using Weight-Feature and Input-Sparsity Aware Schemes for Energy-Efficient Edge {AI} Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {219--230}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3324335}, doi = {10.1109/JSSC.2023.3324335}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YouCKLHCLLHTCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuCLHL24, author = {Wei{-}Chih Chiu and Wei{-}Ling Chen and Yi{-}Ting Lai and Yu{-}Han Hung and Chun{-}Min Lo}, title = {Cell-Electrode Models for Impedance Analysis of Epithelial and Endothelial Monolayers Cultured on Microelectrodes}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4214}, year = {2024}, url = {https://doi.org/10.3390/s24134214}, doi = {10.3390/S24134214}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiuCLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuCCLC24, author = {Sung{-}Nien Yu and Meng{-}Chin Chiu and Yu Ping Chang and Chi{-}Yen Liang and Wei Chen}, title = {Improving Computer-Aided Thoracic Disease Diagnosis through Comparative Analysis Using Chest X-ray Images Taken at Different Times}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1478}, year = {2024}, url = {https://doi.org/10.3390/s24051478}, doi = {10.3390/S24051478}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YuCCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HsuSNHHLCW24, author = {Dong{-}Yu Hsu and Yen{-}Ling Sung and Shih{-}Hua Ni and Chiu{-}Yun Huang and Yu{-}Xiang Huang and En{-}Zhu Lyu and Chun{-}Hsien Chen and Ting{-}Wei Wang}, title = {Wearable Pocket-Sized Fully Noncontact Biomedical Eddy Current Sensor for Simultaneous Cardiac and Lung Monitoring}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3400346}, doi = {10.1109/TIM.2024.3400346}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HsuSNHHLCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/KitchatCLSWSKWJL24, author = {Kotcharat Kitchat and Yi{-}Lun Chiu and Yu{-}Chiu Lin and Min{-}Te Sun and Tomotaka Wada and Kazuya Sakai and Wei{-}Shinn Ku and Shiaw{-}Chian Wu and Andy An{-}Kai Jeng and Ching{-}Hao Liu}, title = {PedCross: Pedestrian Crossing Prediction for Auto-Driving Bus}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {8}, pages = {8730--8740}, year = {2024}, url = {https://doi.org/10.1109/TITS.2024.3379421}, doi = {10.1109/TITS.2024.3379421}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/KitchatCLSWSKWJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/MaKLCC24, author = {Jianghong Ma and Weixuan Kou and Mingquan Lin and Carmen C. M. Cho and Bernard Chiu}, title = {Multimodal Image Classification by Multiview Latent Pattern Extraction, Selection, and Correlation}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {6}, pages = {8134--8148}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2022.3224946}, doi = {10.1109/TNNLS.2022.3224946}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/MaKLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/PengFCSCS24, author = {Yuxiang Peng and Chong Fu and Guixing Cao and Wei Song and Junxin Chen and Chiu{-}Wing Sham}, title = {JPEG-compatible Joint Image Compression and Encryption Algorithm with File Size Preservation}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {20}, number = {4}, pages = {105:1--105:20}, year = {2024}, url = {https://doi.org/10.1145/3633459}, doi = {10.1145/3633459}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomccap/PengFCSCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/MaKLCC24, author = {Jianghong Ma and Weixuan Kou and Mingquan Lin and Carmen C. M. Cho and Bernard Chiu}, title = {Multiclass and Multilabel Classifications by Consensus and Complementarity-Based Multiview Latent Space Projection}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {54}, number = {3}, pages = {1705--1718}, year = {2024}, url = {https://doi.org/10.1109/TSMC.2023.3327925}, doi = {10.1109/TSMC.2023.3327925}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/MaKLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenC024, author = {Bo{-}Yu Chen and Wei{-}Chen Chiu and Yu{-}Lun Liu}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Improving Robustness for Joint Optimization of Camera Pose and Decomposed Low-Rank Tensorial Radiance Fields}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {990--1000}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i2.27859}, doi = {10.1609/AAAI.V38I2.27859}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChenC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arso/QuCLXL24, author = {Zijia Qu and Colin Pak Yu Chan and Yanchen Liu and Jiaqi Xue and King Wai Chiu Lai}, title = {Depth Camera-based Monitoring and Simulation System for Weight Training Assessment}, booktitle = {{IEEE} International Conference on Advanced Robotics and Its Social Impacts, {ARSO} 2024, Hong Kong, May 20-22, 2024}, pages = {173--176}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ARSO60199.2024.10557823}, doi = {10.1109/ARSO60199.2024.10557823}, timestamp = {Mon, 24 Jun 2024 14:49:16 +0200}, biburl = {https://dblp.org/rec/conf/arso/QuCLXL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCCHLLLHL24, author = {Yi{-}Fan Chen and Yu{-}Jen Chang and Ching{-}Te Chiu and Ming{-}Long Huang and Geng{-}Ming Liang and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Ping{-}Yu Hsieh and Wei{-}Chih Lai}, title = {Low {DRAM} Memory Access and Flexible Dataflow Convolutional Neural Network Accelerator based on {RISC-V} Custom Instruction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558316}, doi = {10.1109/ISCAS58744.2024.10558316}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCCHLLLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhwaWWSCKCHCCLLHTC24, author = {Win{-}San Khwa and Ping{-}Chun Wu and Jui{-}Jen Wu and Jian{-}Wei Su and Ho{-}Yu Chen and Zhao{-}En Ke and Ting{-}Chien Chiu and Jun{-}Ming Hsu and Chiao{-}Yen Cheng and Yu{-}Chen Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {34.2 {A} 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3TOPS/W and 33.2-91.2TFLOPS/W for AI-Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {568--570}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454447}, doi = {10.1109/ISSCC49657.2024.10454447}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhwaWWSCKCHCCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SantosJZCGLSTZBCGMPTTWYAJMPSABBCSW24, author = {Maico Cassel dos Santos and Tianyu Jia and Joseph Zuckerman and Martin Cochet and Davide Giri and Erik Jens Loscalzo and Karthik Swaminathan and Thierry Tambe and Jeff Jun Zhang and Alper Buyuktosunoglu and Kuan{-}Lin Chiu and Giuseppe Di Guglielmo and Paolo Mantovani and Luca Piccolboni and Gabriele Tombesi and David Trilla and John{-}David Wellman and En{-}Yu Yang and Aporva Amarnath and Ying Jing and Bakshree Mishra and Joshua Park and Vignesh Suresh and Sarita V. Adve and Pradip Bose and David Brooks and Luca P. Carloni and Kenneth L. Shepard and Gu{-}Yeon Wei}, title = {14.5 {A} 12nm Linux-SMP-Capable {RISC-V} SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {262--264}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454572}, doi = {10.1109/ISSCC49657.2024.10454572}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SantosJZCGLSTZBCGMPTTWYAJMPSABBCSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/Duan0CW24, author = {Yingli Duan and Weizhi Meng and Wei{-}Yang Chiu and Yu Wang}, title = {{DEMO:} Towards {A} Novel Ultrasonic Side-channel Attack on Mobile Devices}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2024 Conference: Posters and Demos, Sydney, NSW, Australia, August 4-8, 2024}, pages = {101--103}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3672202.3673730}, doi = {10.1145/3672202.3673730}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/Duan0CW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChinJHCC24, author = {Zhi{-}Yi Chin and Chieh{-}Ming Jiang and Ching{-}Chun Huang and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Masking Improves Contrastive Self-Supervised Learning for ConvNets, and Saliency Tells You Where}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {2749--2758}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00274}, doi = {10.1109/WACV57701.2024.00274}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ChinJHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/WengYXHC24, author = {Shao{-}Yu Weng and Hsuan Yuan and Yu{-}Syuan Xu and Ching{-}Chun Huang and Wei{-}Chen Chiu}, title = {Best of Both Worlds: Learning Arbitrary-scale Blind Super-Resolution via Dual Degradation Representations and Cycle-Consistency}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {1536--1545}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00157}, doi = {10.1109/WACV57701.2024.00157}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/WengYXHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13061, author = {Hao{-}Wei Chung and Ching{-}Hao Chiu and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Toward Fairness via Maximum Mean Discrepancy Regularization on Logits Space}, journal = {CoRR}, volume = {abs/2402.13061}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13061}, doi = {10.48550/ARXIV.2402.13061}, eprinttype = {arXiv}, eprint = {2402.13061}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13061.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13252, author = {Bo{-}Yu Cheng and Wei{-}Chen Chiu and Yu{-}Lun Liu}, title = {Improving Robustness for Joint Optimization of Camera Poses and Decomposed Low-Rank Tensorial Radiance Fields}, journal = {CoRR}, volume = {abs/2402.13252}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13252}, doi = {10.48550/ARXIV.2402.13252}, eprinttype = {arXiv}, eprint = {2402.13252}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07286, author = {Hsin{-}Ju Lin and Tsu{-}Chun Chung and Ching{-}Chun Hsiao and Pin{-}Yu Chen and Wei{-}Chen Chiu and Ching{-}Chun Huang}, title = {{MENTOR:} Multilingual tExt detectioN TOward leaRning by analogy}, journal = {CoRR}, volume = {abs/2403.07286}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07286}, doi = {10.48550/ARXIV.2403.07286}, eprinttype = {arXiv}, eprint = {2403.07286}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07286.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15484, author = {Aaron Levine and Connie Huang and Chenguang Wang and Eduardo Batista and Ewa Szymanska and Hongyi Ding and Hou Wei Chou and Jean{-}Fran{\c{c}}ois Pessiot and Johanes Effendi and Justin Chiu and Kai Torben Ohlhus and Karan Chopra and Keiji Shinzato and Koji Murakami and Lee Xiong and Lei Chen and Maki Kubota and Maksim Tkachenko and Miroku Lee and Naoki Takahashi and Prathyusha Jwalapuram and Ryutaro Tatsushima and Saurabh Jain and Sunil Kumar Yadav and Ting Cai and Wei{-}Te Chen and Yandi Xia and Yuki Nakayama and Yutaka Higashiyama}, title = {RakutenAI-7B: Extending Large Language Models for Japanese}, journal = {CoRR}, volume = {abs/2403.15484}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15484}, doi = {10.48550/ARXIV.2403.15484}, eprinttype = {arXiv}, eprint = {2403.15484}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-12390, author = {Xingyu Fu and Yushi Hu and Bangzheng Li and Yu Feng and Haoyu Wang and Xudong Lin and Dan Roth and Noah A. Smith and Wei{-}Chiu Ma and Ranjay Krishna}, title = {{BLINK:} Multimodal Large Language Models Can See but Not Perceive}, journal = {CoRR}, volume = {abs/2404.12390}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.12390}, doi = {10.48550/ARXIV.2404.12390}, eprinttype = {arXiv}, eprint = {2404.12390}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-12390.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-01197, author = {Tzu{-}Lin Kuo and Tzu{-}Wei Chiu and Tzung{-}Sheng Lin and Sheng{-}Yang Wu and Chao{-}Wei Huang and Yun{-}Nung Chen}, title = {A Survey of Generative Information Retrieval}, journal = {CoRR}, volume = {abs/2406.01197}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.01197}, doi = {10.48550/ARXIV.2406.01197}, eprinttype = {arXiv}, eprint = {2406.01197}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-01197.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCW23, author = {Shiuan{-}Yeh Chen and Wei{-}Yu Chiu and Chien{-}Feng Wu}, title = {Quality Diversity Optimization Method for Bilinear Matrix Inequality Problems in Control System Design}, journal = {{IEEE} Access}, volume = {11}, pages = {77371--77384}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3294559}, doi = {10.1109/ACCESS.2023.3294559}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenHCLLK23, author = {Jiann{-}Jong Chen and Yuh{-}Shyan Hwang and Hung{-}Wei Chiu and Ming{-}Dao Luo and Chien{-}Hung Lai and Joshua Ku}, title = {A New Low-Noise I-Squared Buck Converter Suitable for Wireless Sensor Networks With Dual-Current-Acceleration Techniques}, journal = {{IEEE} Access}, volume = {11}, pages = {41644--41653}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3269420}, doi = {10.1109/ACCESS.2023.3269420}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenHCLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengCKSLLCLCCH23, author = {Chih{-}Han Cheng and Ching{-}Te Chiu and Chia{-}Yu Kuan and Yu{-}Chi Su and Kuan{-}Hsien Liu and Tsung{-}Chan Lee and Jia{-}Lin Chen and Jie{-}Yu Luo and Wei{-}Chang Chung and Yao{-}Ren Chang and Kuan{-}Ying Ho}, title = {Multiple Training Stage Image Enhancement Enrolled With {CCRGAN} Pseudo Templates for Large Area Dry Fingerprint Recognition}, journal = {{IEEE} Access}, volume = {11}, pages = {86790--86800}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3303532}, doi = {10.1109/ACCESS.2023.3303532}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengCKSLLCLCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/WangSLWCLCOC23, author = {Jia{-}Chi Wang and Yi{-}Chung Shu and Che{-}Yu Lin and Wei{-}Ting Wu and Lan{-}Rong Chen and Yu{-}Cheng Lo and Hsiao{-}Chi Chiu and Levent {\"{O}}z{\c{c}}akar and Ke{-}Vin Chang}, title = {Application of deep learning algorithms in automatic sonographic localization and segmentation of the median nerve: {A} systematic review and meta-analysis}, journal = {Artif. Intell. Medicine}, volume = {137}, pages = {102496}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102496}, doi = {10.1016/J.ARTMED.2023.102496}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/WangSLWCLCOC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/XieFZZSW23, author = {Haoyu Xie and Chong Fu and Xu Zheng and Yu Zheng and Chiu{-}Wing Sham and Xingwei Wang}, title = {Adversarial co-training for semantic segmentation over medical images}, journal = {Comput. Biol. Medicine}, volume = {157}, pages = {106736}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106736}, doi = {10.1016/J.COMPBIOMED.2023.106736}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/XieFZZSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/JanCCYK23, author = {Chia{-}Wei Jan and Yu{-}Jhih Chiu and Kuan{-}Lin Chen and Ting{-}Chun Yao and Ping{-}Huan Kuo}, title = {Optical Based Gradient-Weighted Class Activation Mapping and Transfer Learning Integrated Pneumonia Prediction Model}, journal = {Comput. Syst. Sci. Eng.}, volume = {47}, number = {3}, pages = {2989--3010}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.042078}, doi = {10.32604/CSSE.2023.042078}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/JanCCYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/ChenLCLW23, author = {Chun{-}Ching Chen and Chung{-}Ching Liu and Tzu{-}Heng Chiu and Yu{-}Wei Lee and Ko{-}Chiu Wu}, title = {Role of Perceived Ease of Use for Augmented Reality App Designed to Help Children Navigate Smart Libraries}, journal = {Int. J. Hum. Comput. Interact.}, volume = {39}, number = {13}, pages = {2606--2623}, year = {2023}, url = {https://doi.org/10.1080/10447318.2022.2082017}, doi = {10.1080/10447318.2022.2082017}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/ChenLCLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ChiuCCCLLHCLTLCKLTHCWC23, author = {Hsiao{-}Hui Chiu and Shih{-}Lin Chang and Hao{-}Min Cheng and Tze{-}Fan Chao and Yenn{-}Jiang Lin and Li{-}Wei Lo and Yu{-}Feng Hu and Fa{-}Po Chung and Jo{-}Nan Liao and Ta{-}Chuan Tuan and Chin{-}Yu Lin and Ting{-}Yung Chang and Ling Kuo and Chih{-}Min Liu and Yung{-}Nan Tsai and Yu{-}Ting Huang and Yuh{-}Lih Chang and Ju{-}Chieh Wung and Shih{-}Ann Chen}, title = {Shared decision making for anticoagulation reduces anxiety and improves adherence in patients with atrial fibrillation}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {163}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02260-x}, doi = {10.1186/S12911-023-02260-X}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ChiuCCCLLHCLTLCKLTHCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/YongHYCHY23, author = {Seraphina Yong and Min{-}Wei Hung and Tina Chien{-}Wen Yuan and Chih{-}Chiang Chiu and Ming{-}Chyi Huang and Chuang{-}Wen You}, title = {Mind and Body: The Complex Role of Social Resources in Understanding and Managing Depression in Older Adults}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW1}}, pages = {1--25}, year = {2023}, url = {https://doi.org/10.1145/3579507}, doi = {10.1145/3579507}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/YongHYCHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WangYTCS23, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Min Sun}, title = {BiFuse++: Self-Supervised and Efficient Bi-Projection Fusion for 360{\textdegree} Depth Estimation}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {5}, pages = {5448--5460}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3203516}, doi = {10.1109/TPAMI.2022.3203516}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/WangYTCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChinLWCCCHZHL23, author = {Chiun{-}Li Chin and Chia{-}Chun Lin and Jing{-}Wen Wang and Wei{-}Cheng Chin and Yu{-}Hsiang Chen and Sheng{-}Wen Chang and Pei{-}Chen Huang and Xin Zhu and Yu{-}Lun Hsu and Shing{-}Hong Liu}, title = {A Wearable Assistant Device for the Hearing Impaired to Recognize Emergency Vehicle Sirens with Edge Computing}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7454}, year = {2023}, url = {https://doi.org/10.3390/s23177454}, doi = {10.3390/S23177454}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChinLWCCCHZHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/WangCCYTHLKCW23, author = {Yunshan Wang and Tzu{-}Yang Chiu and Te{-}Yen Chiu and Kai{-}Jie Yu and Yu{-}Min Teng and Guo{-}Wei Huang and Chun{-}Hsing Li and Chien{-}Nan Kuo and Chau{-}Ching Chiong and Huei Wang}, title = {A K\({}_{\mbox{a}}\) - to G-Band Detector With 5.5-GHz Video Bandwidth Using a Modified Traveling-Wave Structure in 65-nm {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {4}, pages = {1371--1375}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3227469}, doi = {10.1109/TCSII.2022.3227469}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/WangCCYTHLKCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChiuMG23, author = {Wei{-}Yang Chiu and Weizhi Meng and Chunpeng Ge}, title = {NoSneaky: {A} Blockchain-Based Execution Integrity Protection Scheme in Industry 4.0}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {7}, pages = {7957--7965}, year = {2023}, url = {https://doi.org/10.1109/TII.2022.3215606}, doi = {10.1109/TII.2022.3215606}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChiuMG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ZhaoPWYSZLWPOYL23, author = {Yuzhi Zhao and Lai{-}Man Po and Xuehui Wang and Qiong Yan and Wei Shen and Yujia Zhang and Wei Liu and Chun Kit Wong and Chiu{-}Sing Pang and Weifeng Ou and Wing Yin Yu and Buhua Liu}, title = {ChildPredictor: {A} Child Face Prediction Framework With Disentangled Learning}, journal = {{IEEE} Trans. Multim.}, volume = {25}, pages = {3737--3752}, year = {2023}, url = {https://doi.org/10.1109/TMM.2022.3164785}, doi = {10.1109/TMM.2022.3164785}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/ZhaoPWYSZLWPOYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChuangCCL23, author = {Yu{-}Chieh Chuang and Wei{-}Yu Chiu and Ronald Y. Chang and Yi{-}Cheng Lai}, title = {Deep Reinforcement Learning for Energy Efficiency Maximization in Cache-Enabled Cell-Free Massive {MIMO} Networks: Single- and Multi-Agent Approaches}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {8}, pages = {10826--10839}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3259109}, doi = {10.1109/TVT.2023.3259109}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChuangCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/SuCCLLCLCCH23, author = {Yu{-}Chi Su and Ching{-}Te Chiu and Chih{-}Han Cheng and Kuan{-}Hsien Liu and Tsung{-}Chan Lee and Jia{-}Lin Chen and Jie{-}Yu Luo and Wei{-}Chang Chung and Yao{-}Ren Chang and Kuan{-}Ying Ho}, title = {{CPGAN:} Collective Punishment Generative Adversarial Network for Dry Fingerprint Image Enhancement}, booktitle = {5th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AICAS57966.2023.10168628}, doi = {10.1109/AICAS57966.2023.10168628}, timestamp = {Mon, 24 Jul 2023 15:56:17 +0200}, biburl = {https://dblp.org/rec/conf/aicas/SuCCLLCLCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aisp/WuCM23, author = {Jiaxuan Wu and Wei{-}Yang Chiu and Weizhi Meng}, editor = {Jaideep Vaidya and Moncef Gabbouj and Jin Li}, title = {{KEP:} Keystroke Evoked Potential for EEG-Based User Authentication}, booktitle = {Artificial Intelligence Security and Privacy - First International Conference on Artificial Intelligence Security and Privacy, AIS{\&}P 2023, Guangzhou, China, December 3-5, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14509}, pages = {513--530}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-9785-5\_36}, doi = {10.1007/978-981-99-9785-5\_36}, timestamp = {Thu, 15 Aug 2024 07:54:36 +0200}, biburl = {https://dblp.org/rec/conf/aisp/WuCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TsaiSCCSSP23, author = {Ming{-}Hsuan Tsai and Wei{-}Sheng Syu and Te{-}Chuan Chiu and Sheng Chen and Chia{-}Che Sa and Yuan{-}Yao Shih and Ai{-}Chun Pang}, title = {Metalens: Federated Meta-Learning Ensemble Using Flexible Classifiers on Non-IID Data}, booktitle = {24st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2023, Sejong, Korea, Republic of, September 6-8, 2023}, pages = {7--12}, publisher = {{IEEE}}, year = {2023}, url = {https://ieeexplore.ieee.org/document/10258206}, timestamp = {Mon, 02 Oct 2023 20:30:43 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/TsaiSCCSSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/WangHSWCCCZSRZYPSSW23, author = {Mingqiu Wang and Wei Han and Izhak Shafran and Zelin Wu and Chung{-}Cheng Chiu and Yuan Cao and Nanxin Chen and Yu Zhang and Hagen Soltau and Paul K. Rubenstein and Lukas Zilka and Dian Yu and Golan Pundak and Nikhil Siddhartha and Johan Schalkwyk and Yonghui Wu}, title = {{SLM:} Bridge the Thin Gap Between Speech and Text Foundation Models}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389703}, doi = {10.1109/ASRU57964.2023.10389703}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asru/WangHSWCCCZSRZYPSSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdcloud/WuCL0023, author = {Jiaxuan Wu and Wei{-}Yang Chiu and Peichen Liu and Weizhi Meng and Wenjuan Li}, title = {The Instruction Separation Framework against Man-At-The-End Attacks: Protect What is Mattered On-the-Fly}, booktitle = {{IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom, 2023, Wuhan, China, December 21-24, 2023}, pages = {286--293}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom59178.2023.00070}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM59178.2023.00070}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bdcloud/WuCL0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ChengCCCL23, author = {Stephen Cc Cheng and Clio Yuen Man Cheng and Dah Ming Chiu and Alice Ming Lin Chong and Vivian Weiqun Lou}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Exploring factors influencing the adoption of in-home respite services: {A} data science approach}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {4137--4146}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386802}, doi = {10.1109/BIGDATA59044.2023.10386802}, timestamp = {Thu, 15 Aug 2024 07:54:36 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ChengCCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/BiroCM23, author = {Vince Bir{\'{o}} and Wei{-}Yang Chiu and Weizhi Meng}, title = {Securing IoT Firmware Dispatch Systems with Blockchain}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2023, Danzhou, China, December 17-21, 2023}, pages = {229--238}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Blockchain60715.2023.00046}, doi = {10.1109/BLOCKCHAIN60715.2023.00046}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/BiroCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChenLKTLCSC23, author = {Yu{-}Chun Chen and Yu{-}Jen Lee and Kuei{-}Chun Kao and Jie Tsai and En{-}Chi Liang and Wei{-}Chen Chiu and Faye Shih and Yung{-}Ju Chang}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Are You Killing Time? Predicting Smartphone Users' Time-killing Moments via Fusion of Smartphone Sensor Data and Screenshots}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {647:1--647:19}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580689}, doi = {10.1145/3544548.3580689}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChenLKTLCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinLCCC23, author = {Liang{-}Cheng Lin and Yi{-}Chun Lai and Wei{-}Chien Chang and Hsin{-}Lun Chiu and Tzu{-}Yu Chen}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {UniCompass: Helping High School Students Find the Right College Major}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {590:1--590:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3583848}, doi = {10.1145/3544549.3583848}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LinLCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/TaiCCC23, author = {Yen{-}Ling Tai and Yu Chien Chiu and Yu{-}Wei Chao and Yi{-}Ting Chen}, editor = {Jie Tan and Marc Toussaint and Kourosh Darvish}, title = {{SCONE:} {A} Food Scooping Robot Learning Framework with Active Perception}, booktitle = {Conference on Robot Learning, CoRL 2023, 6-9 November 2023, Atlanta, GA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {229}, pages = {849--865}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v229/tai23a.html}, timestamp = {Tue, 20 Feb 2024 12:11:46 +0100}, biburl = {https://dblp.org/rec/conf/corl/TaiCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ChiangHLHCC23, author = {You{-}Hsuan Chiang and Je{-}Wei Hsu and Chung{-}En Liu and Tzu{-}Yu Huang and Hsin{-}Lun Chiu and Yung{-}Ju Chang}, editor = {Casey Fiesler and Loren G. Terveen and Morgan Ames and Susan R. Fussell and Eric Gilbert and Vera Liao and Xiaojuan Ma and Xinru Page and Mark Rouncefield and Vivek Singh and Pamela J. Wisniewski}, title = {Investigating Users' Inclination of Leveraging Mobile Crowdsourcing to Obtain Verifying vs. Supplemental Information when Facing Inconsistent Smat-city Sensor Information}, booktitle = {Computer Supported Cooperative Work and Social Computing, {CSCW} 2023, Minneapolis, MN, USA, October 14-18, 2023}, pages = {338--342}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584931.3607001}, doi = {10.1145/3584931.3607001}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ChiangHLHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/00030WMMYU23, author = {Ze Yang and Yun Chen and Jingkang Wang and Sivabalan Manivasagam and Wei{-}Chiu Ma and Anqi Joyce Yang and Raquel Urtasun}, title = {UniSim: {A} Neural Closed-Loop Sensor Simulator}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1389--1399}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00140}, doi = {10.1109/CVPR52729.2023.00140}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/00030WMMYU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChiuZWZSBLKAS23, author = {Mang Tik Chiu and Xuaner Zhang and Zijun Wei and Yuqian Zhou and Eli Shechtman and Connelly Barnes and Zhe Lin and Florian Kainz and Sohrab Amirghodsi and Humphrey Shi}, title = {Automatic High Resolution Wire Segmentation and Removal}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2183--2192}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00217}, doi = {10.1109/CVPR52729.2023.00217}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ChiuZWZSBLKAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeTCL23, author = {Yi{-}Lun Lee and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Chen{-}Yu Lee}, title = {Multimodal Prompting with Missing Modalities for Visual Recognition}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {14943--14952}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01435}, doi = {10.1109/CVPR52729.2023.01435}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeTCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/XiongMWU23, author = {Yuwen Xiong and Wei{-}Chiu Ma and Jingkang Wang and Raquel Urtasun}, title = {Learning Compact Representations for LiDAR Completion and Generation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1074--1083}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00110}, doi = {10.1109/CVPR52729.2023.00110}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/XiongMWU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ChiuML23, author = {Wei{-}Yang Chiu and Weizhi Meng and Brooke Lampe}, title = {No Free Wireless Charge: Covert Channels via Wireless Charging on Mobile Devices}, booktitle = {53rd Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2023 - Supplemental Volume, Porto, Portugal, June 27-30, 2023}, pages = {137--141}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSN-S58398.2023.00039}, doi = {10.1109/DSN-S58398.2023.00039}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/ChiuML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangCKHCMSCW23, author = {Yu{-}Cheng Chang and Pin{-}Hsuan Chao and Yan{-}Ming Kuan and Chiu{-}Jung Huang and Li{-}Fen Chen and Wei{-}Chung Mao and Tung{-}Ping Su and Sin{-}Horng Chen and Chun{-}Shu Wei}, title = {Delay Analysis in Closed-Loop {EEG} Phase-Triggered Transcranial Magnetic Stimulation}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340744}, doi = {10.1109/EMBC40787.2023.10340744}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChangCKHCMSCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/TanZCOL23, author = {Yiliu Tan and Yupan Zhang and Chen{-}Wei Chiu and Yuichi Onda and Zongsheng Li}, title = {Novel Approach for Tree Detection in Japanese Plantation Forests using Drone LiDAR Data}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {119--120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315267}, doi = {10.1109/GCCE59613.2023.10315267}, timestamp = {Thu, 23 Nov 2023 21:16:31 +0100}, biburl = {https://dblp.org/rec/conf/gcce/TanZCOL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenKCCTK23, author = {Yu{-}Wen Chen and Bo{-}Hsu Ke and Bozhong Chen and Si{-}Rong Chiu and Chun{-}Wei Tu and Jian{-}Jhih Kuo}, title = {Knowledge Distillation Based Defense for Audio Trigger Backdoor in Federated Learning}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {4271--4276}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437601}, doi = {10.1109/GLOBECOM54140.2023.10437601}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChenKCCTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChiuFCW23, author = {Chun{-}Wei Chiu and Yun{-}Kai Fang and Shao{-}Ting Chung and Ting{-}Chi Wang}, editor = {Himanshu Thapliyal and Ronald F. DeMara and Inna Partin{-}Vaisband and Srinivas Katkoori}, title = {A Macro Legalization Approach Considering Minimum Channel Spacing and Buffer Area Reservation Constraints}, booktitle = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI} 2023, Knoxville, TN, USA, June 5-7, 2023}, pages = {391--395}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583781.3590282}, doi = {10.1145/3583781.3590282}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChiuFCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiLC23, author = {Chun{-}Yi Li and Yen{-}Yu Lin and Wei{-}Chen Chiu}, title = {Decontamination Transformer For Blind Image Inpainting}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094950}, doi = {10.1109/ICASSP49357.2023.10094950}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LiLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/SjursenMC23, author = {Johan Hagelskjar Sjursen and Weizhi Meng and Wei{-}Yang Chiu}, title = {A Closer Look at Cross-Domain Maximal Extractable Value for Blockchain Decentralisation}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2023, Dubai, United Arab Emirates, May 1-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICBC56567.2023.10174971}, doi = {10.1109/ICBC56567.2023.10174971}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/SjursenMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenKCCTK23, author = {Yu{-}Wen Chen and Bo{-}Hsu Ke and Bozhong Chen and Si{-}Rong Chiu and Chun{-}Wei Tu and Jian{-}Jhih Kuo}, title = {Successive Interference Cancellation Based Defense for Trigger Backdoor in Federated Learning}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023, Rome, Italy, May 28 - June 1, 2023}, pages = {26--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICC45041.2023.10278979}, doi = {10.1109/ICC45041.2023.10278979}, timestamp = {Thu, 02 Nov 2023 17:09:41 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChenKCCTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/ChiuML23, author = {Wei{-}Yang Chiu and Weizhi Meng and Wenjuan Li}, title = {TPMWallet: Towards Blockchain Hardware Wallet using Trusted Platform Module in IoT}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2023, Honolulu, HI, USA, February 20-22, 2023}, pages = {336--342}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICNC57223.2023.10074126}, doi = {10.1109/ICNC57223.2023.10074126}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/ChiuML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/MengC23, author = {Weizhi Meng and Wei{-}Yang Chiu}, title = {DataVaults: {A} Secure, Distributed and Privacy Preserving Personal Data Management Platform}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00117}, doi = {10.1109/ICDCS57875.2023.00117}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/MengC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/WuCML23, author = {Jiaxuan Wu and Wei{-}Yang Chiu and Weizhi Meng and Brooke Lampe}, title = {BlockPAT: {A} Blockchain-Enabled Second-Hand Physical Asset Tokenization Management System}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {1041--1042}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00135}, doi = {10.1109/ICDCS57875.2023.00135}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/WuCML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icics/SjursenMC23, author = {Johan Hagelskjar Sjursen and Weizhi Meng and Wei{-}Yang Chiu}, editor = {Ding Wang and Moti Yung and Zheli Liu and Xiaofeng Chen}, title = {Towards Quantifying Cross-Domain Maximal Extractable Value for Blockchain Decentralisation}, booktitle = {Information and Communications Security - 25th International Conference, {ICICS} 2023, Tianjin, China, November 18-20, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14252}, pages = {627--644}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-7356-9\_37}, doi = {10.1007/978-981-99-7356-9\_37}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icics/SjursenMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/WuZCLML23, author = {Jiaxuan Wu and Shuoqiang Zeng and Wei{-}Yang Chiu and Peichen Liu and Weizhi Meng and Brooke Lampe}, title = {Delay-masquerading Technique Upheld StrongBox: {A} Reinforced Side-Channel Protection}, booktitle = {29th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2023, Ocean Flower Island, China, December 17-21, 2023}, pages = {2135--2142}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPADS60453.2023.00289}, doi = {10.1109/ICPADS60453.2023.00289}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpads/WuZCLML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChiuTWLGH23, author = {Yi Chiu and Fang{-}Wei Tsai and Liang{-}Kai Wang and Yuan{-}Chieh Lee and Manu Garg and Hao{-}Chiao Hong}, title = {{CMOS} {MEMS} Resonator for Physical Reservoir Computing}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10325281}, doi = {10.1109/SENSORS56945.2023.10325281}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChiuTWLGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LinCHCCH23, author = {Hsin{-}Ju Lin and Tsu{-}Chun Chung and Ching{-}Chun Hsiao and Pin{-}Yu Chen and Wei{-}Chen Chiu and Ching{-}Chun Huang}, title = {{MENTOR:} Multilingual Text Detection Toward Learning by Analogy}, booktitle = {{IROS}}, pages = {3248--3255}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342419}, doi = {10.1109/IROS55552.2023.10342419}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LinCHCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChiuCCSH23, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14222}, pages = {97--107}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43898-1\_10}, doi = {10.1007/978-3-031-43898-1\_10}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChiuCCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/ChiuYCCL23, author = {Chu{-}Chi Chiu and Hsuan{-}Kung Yang and Hao{-}Wei Chen and Yu{-}Wen Chen and Chun{-}Yi Lee}, title = {ViTVO: Vision Transformer based Visual Odometry with Attention Supervision}, booktitle = {18th International Conference on Machine Vision and Applications, {MVA} 2023, Hamamatsu, Japan, July 23-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/MVA57639.2023.10215538}, doi = {10.23919/MVA57639.2023.10215538}, timestamp = {Tue, 29 Aug 2023 20:54:31 +0200}, biburl = {https://dblp.org/rec/conf/mva/ChiuYCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LiuSXWZLX23, author = {Xinyu Liu and Chun Ho So and Yuxuan Xue and Yichen Wang and Jiawei Zhang and King Wai Chiu Lai and Ning Xi}, title = {Real-time Stereoscopic Display of Atomic Force Microscopy Images for Nano Robotic Manipulation}, booktitle = {18th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2023, Jeju Island, Korea, Republic of, May 14-17, 2023}, pages = {66--69}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NEMS57332.2023.10190920}, doi = {10.1109/NEMS57332.2023.10190920}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LiuSXWZLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/PunSW00MMU23, author = {Ava Pun and Gary Sun and Jingkang Wang and Yun Chen and Ze Yang and Sivabalan Manivasagam and Wei{-}Chiu Ma and Raquel Urtasun}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Neural Lighting Simulation for Urban Scenes}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/3d7259031023c5aa463187c4a31c95c8-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/PunSW00MMU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeCLTC23, author = {Yi{-}Lun Lee and Dian{-}Shan Chen and Chen{-}Yu Lee and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu}, title = {Data Efficient Incremental Learning via Attentive Knowledge Replay}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {2952--2959}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394002}, doi = {10.1109/SMC53992.2023.10394002}, timestamp = {Tue, 13 Feb 2024 09:22:04 +0100}, biburl = {https://dblp.org/rec/conf/smc/LeeCLTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/YuC23, author = {Sheng{-}Feng Yu and Wei{-}Chen Chiu}, title = {Mitigating Forgetting in Continual Learning via Contrasting Semantically Distinct Augmentations}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {935--942}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10393871}, doi = {10.1109/SMC53992.2023.10393871}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/YuC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uemcom/ChenCLHS23, author = {Yen{-}Wen Chen and Yi{-}Wei Chiu and Yu{-}Sin Liu and Chih{-}Yu Huang and Yu{-}Chun Shen}, editor = {Satyajit Chakrabarti and Rajashree Paul}, title = {{AI} Powered Multi-model Content Creation For Virtual Gallery Using Learning Machine}, booktitle = {14th {IEEE} Annual Ubiquitous Computing, Electronics {\&} Mobile Communication Conference, {UEMCON} 2023, New York, NY, USA, October 12-14, 2023}, pages = {704--709}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/UEMCON59035.2023.10315999}, doi = {10.1109/UEMCON59035.2023.10315999}, timestamp = {Fri, 24 Nov 2023 20:33:22 +0100}, biburl = {https://dblp.org/rec/conf/uemcom/ChenCLHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSCHCCSWYCLRW23, author = {Ming{-}Hung Wu and Ming{-}Chun Hong and Ching Shih and Yao{-}Jen Chang and Yu{-}Chen Hsin and Shih{-}Ching Chiu and Kuan{-}Ming Chen and Yi{-}Hui Su and Chih{-}Yao Wang and Shan{-}Yi Yang and Guan{-}Long Chen and Hsin{-}Han Lee and Sk. Ziaur Rahaman and I{-}Jung Wang and Chen{-}Yi Shih and Tsun{-}Chun Chang and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Tuo{-}Hung Hou}, title = {{U-MRAM:} Transistor-Less, High-Speed {(10} ns), Low-Voltage {(0.6} V), Field-Free Unipolar {MRAM} for High-Density Data Memory}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185336}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185336}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSCHCCSWYCLRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChengCCTL23, author = {Shin{-}I Cheng and Yu{-}Jie Chen and Wei{-}Chen Chiu and Hung{-}Yu Tseng and Hsin{-}Ying Lee}, title = {Adaptively-Realistic Image Generation from Stroke and Sketch with Diffusion Model}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {4043--4051}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00404}, doi = {10.1109/WACV56688.2023.00404}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ChengCCTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02989, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Fair Multi-Exit Framework for Facial Attribute Classification}, journal = {CoRR}, volume = {abs/2301.02989}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02989}, doi = {10.48550/ARXIV.2301.02989}, eprinttype = {arXiv}, eprint = {2301.02989}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-01037, author = {Yu Zhang and Wei Han and James Qin and Yongqiang Wang and Ankur Bapna and Zhehuai Chen and Nanxin Chen and Bo Li and Vera Axelrod and Gary Wang and Zhong Meng and Ke Hu and Andrew Rosenberg and Rohit Prabhavalkar and Daniel S. Park and Parisa Haghani and Jason Riesa and Ginger Perng and Hagen Soltau and Trevor Strohman and Bhuvana Ramabhadran and Tara N. Sainath and Pedro J. Moreno and Chung{-}Cheng Chiu and Johan Schalkwyk and Fran{\c{c}}oise Beaufays and Yonghui Wu}, title = {Google {USM:} Scaling Automatic Speech Recognition Beyond 100 Languages}, journal = {CoRR}, volume = {abs/2303.01037}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.01037}, doi = {10.48550/ARXIV.2303.01037}, eprinttype = {arXiv}, eprint = {2303.01037}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-01037.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-03369, author = {Yi{-}Lun Lee and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Chen{-}Yu Lee}, title = {Multimodal Prompting with Missing Modalities for Visual Recognition}, journal = {CoRR}, volume = {abs/2303.03369}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.03369}, doi = {10.48550/ARXIV.2303.03369}, eprinttype = {arXiv}, eprint = {2303.03369}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-03369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13073, author = {Wei{-}Yang Chiu and Weizhi Meng}, title = {BlockFW - Towards Blockchain-based Rule-Sharing Firewall}, journal = {CoRR}, volume = {abs/2303.13073}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13073}, doi = {10.48550/ARXIV.2303.13073}, eprinttype = {arXiv}, eprint = {2303.13073}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13073.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13075, author = {Kamal Raj Sharma and Wei{-}Yang Chiu and Weizhi Meng}, title = {Security Analysis on Social Media Networks via {STRIDE} Model}, journal = {CoRR}, volume = {abs/2303.13075}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13075}, doi = {10.48550/ARXIV.2303.13075}, eprinttype = {arXiv}, eprint = {2303.13075}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00221, author = {Mang Tik Chiu and Xuaner Zhang and Zijun Wei and Yuqian Zhou and Eli Shechtman and Connelly Barnes and Zhe Lin and Florian Kainz and Sohrab Amirghodsi and Humphrey Shi}, title = {Automatic High Resolution Wire Segmentation and Removal}, journal = {CoRR}, volume = {abs/2304.00221}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00221}, doi = {10.48550/ARXIV.2304.00221}, eprinttype = {arXiv}, eprint = {2304.00221}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00221.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14518, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis}, journal = {CoRR}, volume = {abs/2306.14518}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14518}, doi = {10.48550/ARXIV.2306.14518}, eprinttype = {arXiv}, eprint = {2306.14518}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14518.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01898, author = {Ze Yang and Yun Chen and Jingkang Wang and Sivabalan Manivasagam and Wei{-}Chiu Ma and Anqi Joyce Yang and Raquel Urtasun}, title = {UniSim: {A} Neural Closed-Loop Sensor Simulator}, journal = {CoRR}, volume = {abs/2308.01898}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01898}, doi = {10.48550/ARXIV.2308.01898}, eprinttype = {arXiv}, eprint = {2308.01898}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-06135, author = {Zhi{-}Yi Chin and Chieh{-}Ming Jiang and Ching{-}Chun Huang and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Prompting4Debugging: Red-Teaming Text-to-Image Diffusion Models by Finding Problematic Prompts}, journal = {CoRR}, volume = {abs/2309.06135}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.06135}, doi = {10.48550/ARXIV.2309.06135}, eprinttype = {arXiv}, eprint = {2309.06135}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-06135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12757, author = {Zhi{-}Yi Chin and Chieh{-}Ming Jiang and Ching{-}Chun Huang and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Masking Improves Contrastive Self-Supervised Learning for ConvNets, and Saliency Tells You Where}, journal = {CoRR}, volume = {abs/2309.12757}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12757}, doi = {10.48550/ARXIV.2309.12757}, eprinttype = {arXiv}, eprint = {2309.12757}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12757.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00230, author = {Mingqiu Wang and Wei Han and Izhak Shafran and Zelin Wu and Chung{-}Cheng Chiu and Yuan Cao and Yongqiang Wang and Nanxin Chen and Yu Zhang and Hagen Soltau and Paul K. Rubenstein and Lukas Zilka and Dian Yu and Zhong Meng and Golan Pundak and Nikhil Siddhartha and Johan Schalkwyk and Yonghui Wu}, title = {{SLM:} Bridge the thin gap between speech and text foundation models}, journal = {CoRR}, volume = {abs/2310.00230}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00230}, doi = {10.48550/ARXIV.2310.00230}, eprinttype = {arXiv}, eprint = {2310.00230}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11305, author = {Ti{-}Rong Wu and Hung Guei and Po{-}Wei Huang and Pei{-}Chiun Peng and Ting{-}Han Wei and Chung{-}Chin Shih and Yun{-}Jui Tsai}, title = {MiniZero: Comparative Analysis of AlphaZero and MuZero on Go, Othello, and Atari Games}, journal = {CoRR}, volume = {abs/2310.11305}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11305}, doi = {10.48550/ARXIV.2310.11305}, eprinttype = {arXiv}, eprint = {2310.11305}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-17419, author = {You{-}Ming Chang and Chen Yeh and Wei{-}Chen Chiu and Ning Yu}, title = {AntifakePrompt: Prompt-Tuned Vision-Language Models are Fake Image Detectors}, journal = {CoRR}, volume = {abs/2310.17419}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.17419}, doi = {10.48550/ARXIV.2310.17419}, eprinttype = {arXiv}, eprint = {2310.17419}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-17419.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-01447, author = {Jingkang Wang and Sivabalan Manivasagam and Yun Chen and Ze Yang and Ioan Andrei B{\^{a}}rsan and Anqi Joyce Yang and Wei{-}Chiu Ma and Raquel Urtasun}, title = {CADSim: Robust and Scalable in-the-wild 3D Reconstruction for Controllable Sensor Simulation}, journal = {CoRR}, volume = {abs/2311.01447}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.01447}, doi = {10.48550/ARXIV.2311.01447}, eprinttype = {arXiv}, eprint = {2311.01447}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-01447.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-01448, author = {Yuwen Xiong and Wei{-}Chiu Ma and Jingkang Wang and Raquel Urtasun}, title = {UltraLiDAR: Learning Compact Representations for LiDAR Completion and Generation}, journal = {CoRR}, volume = {abs/2311.01448}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.01448}, doi = {10.48550/ARXIV.2311.01448}, eprinttype = {arXiv}, eprint = {2311.01448}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-01448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05477, author = {Wei{-}Chun Kevin Tsai and Yi{-}Chien Liu and Ming{-}Chun Yu and Chia{-}Ju Chou and Sui{-}Hing Yan and Yang{-}Teng Fan and Yan{-}Hsiang Huang and Yen{-}Ling Chiu and Yi{-}Fang Chuang and Ran{-}Zan Wang and Yao{-}Chia Shih}, title = {Using ResNet to Utilize 4-class {T2-FLAIR} Slice Classification Based on the Cholinergic Pathways Hyperintensities Scale for Pathological Aging}, journal = {CoRR}, volume = {abs/2311.05477}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05477}, doi = {10.48550/ARXIV.2311.05477}, eprinttype = {arXiv}, eprint = {2311.05477}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12867, author = {Kuo{-}Chun Tseng and Wei{-}Chieh Lai and I{-}Chia Chen and Yun{-}Hsiang Hsiao and Jr{-}Yu Chiue and Wei{-}Chun Huang}, title = {Amplitude-Ensemble Quantum-Inspired Tabu Search Algorithm for Solving 0/1 Knapsack Problems}, journal = {CoRR}, volume = {abs/2311.12867}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12867}, doi = {10.48550/ARXIV.2311.12867}, eprinttype = {arXiv}, eprint = {2311.12867}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06654, author = {Ava Pun and Gary Sun and Jingkang Wang and Yun Chen and Ze Yang and Sivabalan Manivasagam and Wei{-}Chiu Ma and Raquel Urtasun}, title = {LightSim: Neural Lighting Simulation for Urban Scenes}, journal = {CoRR}, volume = {abs/2312.06654}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06654}, doi = {10.48550/ARXIV.2312.06654}, eprinttype = {arXiv}, eprint = {2312.06654}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCHCCHLLYAC22, author = {Shih{-}Lun Chen and Tsung{-}Yi Chen and Yen{-}Cheng Huang and Chiung{-}An Chen and He{-}Sheng Chou and Ya{-}Yun Huang and Wei{-}Chi Lin and Tzu{-}Chien Li and Jia{-}Jun Yuan and Patricia Angela R. Abu and Wei{-}Yuan Chiang}, title = {Missing Teeth and Restoration Detection Using Dental Panoramic Radiography Based on Transfer Learning With CNNs}, journal = {{IEEE} Access}, volume = {10}, pages = {118654--118664}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3220335}, doi = {10.1109/ACCESS.2022.3220335}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCHCCHLLYAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SinghCMR22, author = {Akash Singh and Wei{-}Yu Chiu and Shri Harish Manoharan and Alexey M. Romanov}, title = {Energy-Efficient Gait Optimization of Snake-Like Modular Robots by Using Multiobjective Reinforcement Learning and a Fuzzy Inference System}, journal = {{IEEE} Access}, volume = {10}, pages = {86624--86635}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3195928}, doi = {10.1109/ACCESS.2022.3195928}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SinghCMR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/KaoCLHL22, author = {Ling{-}Jing Kao and Chih{-}Chou Chiu and Hung{-}Tse Lin and Yun{-}Wei Hung and Cheng{-}Chin Lu}, title = {Evaluating the Digital Transformation Performance of Retail by the {DEA} Approach}, journal = {Axioms}, volume = {11}, number = {6}, pages = {284}, year = {2022}, url = {https://doi.org/10.3390/axioms11060284}, doi = {10.3390/AXIOMS11060284}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/KaoCLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/WuLAXCTS22, author = {Chin{-}Chia Wu and Win{-}Chin Lin and Ameni Azzouz and Jianyou Xu and Yen{-}Lin Chiu and Yung{-}Wei Tsai and Pengyi Shen}, title = {A bicriterion single-machine scheduling problem with step-improving processing times}, journal = {Comput. Ind. Eng.}, volume = {171}, pages = {108469}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108469}, doi = {10.1016/J.CIE.2022.108469}, timestamp = {Tue, 06 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/WuLAXCTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChenCZ22, author = {Shih{-}Yu Chen and Ming{-}Feng Chiu and Xue{-}Wei Zou}, title = {Real-time defect inspection of green coffee beans using {NIR} snapshot hyperspectral imaging}, journal = {Comput. Electron. Agric.}, volume = {197}, pages = {106970}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.106970}, doi = {10.1016/J.COMPAG.2022.106970}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ChenCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/ZhangPHQGSJXHWZ22, author = {Yu Zhang and Daniel S. Park and Wei Han and James Qin and Anmol Gulati and Joel Shor and Aren Jansen and Yuanzhong Xu and Yanping Huang and Shibo Wang and Zongwei Zhou and Bo Li and Min Ma and William Chan and Jiahui Yu and Yongqiang Wang and Liangliang Cao and Khe Chai Sim and Bhuvana Ramabhadran and Tara N. Sainath and Fran{\c{c}}oise Beaufays and Zhifeng Chen and Quoc V. Le and Chung{-}Cheng Chiu and Ruoming Pang and Yonghui Wu}, title = {BigSSL: Exploring the Frontier of Large-Scale Semi-Supervised Learning for Automatic Speech Recognition}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {16}, number = {6}, pages = {1519--1532}, year = {2022}, url = {https://doi.org/10.1109/JSTSP.2022.3182537}, doi = {10.1109/JSTSP.2022.3182537}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jstsp/ZhangPHQGSJXHWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChenHCLLHCHCLLL22, author = {Pin{-}Hsiu Chen and Cheng{-}Hsien Huang and Wen{-}Tse Chiu and Chen{-}Mao Liao and Yu{-}Ruei Lin and Shih{-}Kai Hung and Liang{-}Cheng Chen and Hui{-}Ling Hsieh and Wen{-}Yen Chiou and Moon{-}Sing Lee and Hon{-}Yi Lin and Wei{-}Min Liu}, title = {A multiple organ segmentation system for {CT} image series using Attention-LSTM fused U-Net}, journal = {Multim. Tools Appl.}, volume = {81}, number = {9}, pages = {11881--11895}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11889-7}, doi = {10.1007/S11042-021-11889-7}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChenHCLLHCHCLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLCHTXBLWZW22, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Shi{-}Dong Cui and Yixian Huang and Yun Tang and Jia{-}Tong Xu and Jiayang Bao and Yulin Li and Jia Wen and Hua{-}Li Zuo and Weijuan Wang and Jing Li and Jie Ni and Yini Ruan and Liping Li and Yidan Chen and Yue{-}Yang Xie and Zihao Zhu and Xiao{-}Xuan Cai and Xin{-}Yi Chen and Lantian Yao and Yi{-}Gang Chen and Yijun Luo and Shupeng Luxu and Mengqi Luo and Chih{-}Min Chiu and Kun Ma and Lizhe Zhu and Gui{-}Juan Cheng and Chen Bai and Ying{-}Chih Chiang and Liping Wang and Feng{-}Xiang Wei and Tzong{-}Yi Lee and Hsien{-}Da Huang}, title = {miRTarBase update 2022: an informative resource for experimentally validated miRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {222--230}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1079}, doi = {10.1093/NAR/GKAB1079}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLCHTXBLWZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SongFZCTS22, author = {Wei Song and Chong Fu and Yu Zheng and Lin Cao and Ming Tie and Chiu{-}Wing Sham}, title = {Protection of image {ROI} using chaos-based encryption and DCNN-based object detection}, journal = {Neural Comput. Appl.}, volume = {34}, number = {7}, pages = {5743--5756}, year = {2022}, url = {https://doi.org/10.1007/s00521-021-06725-w}, doi = {10.1007/S00521-021-06725-W}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SongFZCTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/ChiangHCCL22, author = {Chi{-}Lun Chiang and Chin{-}Yu Huang and Chang{-}Yu Chiu and Kai{-}Wen Chen and Chen{-}Hua Lee}, title = {Analysis and assessment of weighted combinatorial criterion for test suite reduction}, journal = {Qual. Reliab. Eng. Int.}, volume = {38}, number = {1}, pages = {358--388}, year = {2022}, url = {https://doi.org/10.1002/qre.2984}, doi = {10.1002/QRE.2984}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qre/ChiangHCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangWCC22, author = {Robert Chen{-}Hao Chang and Chia{-}Yu Wang and Wei{-}Ting Chen and Cheng{-}Di Chiu}, title = {Drowsiness Detection System Based on {PERCLOS} and Facial Physiological Signal}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5380}, year = {2022}, url = {https://doi.org/10.3390/s22145380}, doi = {10.3390/S22145380}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChangWCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenCLCLCTC22, author = {Shih{-}Lun Chen and Tsung{-}Yi Chen and Ting{-}Lan Lin and Chiung{-}An Chen and Szu{-}Yin Lin and Yu{-}Liang Chiang and Kun{-}Hsien Tung and Wei{-}Yuan Chiang}, title = {Fast Control for Backlight Power-Saving Algorithm Using Motion Vectors from the Decoded Video Stream}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7170}, year = {2022}, url = {https://doi.org/10.3390/s22197170}, doi = {10.3390/S22197170}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenCLCLCTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaoCLWWYC22, author = {Wei{-}Lung Mao and Yu{-}Ying Chiu and Bing{-}Hong Lin and Chun{-}Chi Wang and Yi{-}Ting Wu and Cheng{-}Yu You and Ying{-}Ren Chien}, title = {Integration of Deep Learning Network and Robot Arm System for Rim Defect Inspection Application}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3927}, year = {2022}, url = {https://doi.org/10.3390/s22103927}, doi = {10.3390/S22103927}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MaoCLWWYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChiuHC22, author = {Wei{-}Yu Chiu and Chan{-}Wei Hu and Kun{-}Yen Chiu}, title = {Renewable Energy Bidding Strategies Using Multiagent Q-Learning in Double-Sided Auctions}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {1}, pages = {985--996}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2021.3059000}, doi = {10.1109/JSYST.2021.3059000}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChiuHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WuSLYCHHCTCLHLJ22, author = {Cheng{-}Wen Wu and Ming{-}Der Shieh and Jenn{-}Jier James Lien and Jar{-}Ferr Yang and Wei{-}Ta Chu and Tsang{-}Hai Huang and Han{-}Chuan Hsieh and Hung{-}Ta Chiu and Kuo{-}Cheng Tu and Yen{-}Ting Chen and Shian{-}Yu Lin and Jia{-}Jun Hu and Chen{-}Huan Lin and Cheng{-}Siang Jheng}, title = {Enhancing Fan Engagement in a 5G Stadium With AI-Based Technologies and Live Streaming}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {4}, pages = {6590--6601}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2022.3169553}, doi = {10.1109/JSYST.2022.3169553}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WuSLYCHHCTCLHLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChiuCCCJC22, author = {Chien{-}Ching Chiu and Wei Chien and Po{-}Hsiang Chen and Yu{-}Ting Cheng and Hao Jiang and En{-}Lin Chen}, title = {Optimization for an Indoor 6G Simultaneous Wireless Information and Power Transfer System}, journal = {Symmetry}, volume = {14}, number = {6}, pages = {1268}, year = {2022}, url = {https://doi.org/10.3390/sym14061268}, doi = {10.3390/SYM14061268}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ChiuCCCJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/YangJLHWCHLW22, author = {Shiau{-}Ru Yang and Tzyy{-}Ping Jung and Chin{-}Teng Lin and Kuan{-}Chih Huang and Chun{-}Shu Wei and Herming Chiueh and Yue{-}Loong Hsin and Guan{-}Ting Liou and Li{-}Chun Wang}, title = {Recognizing Tonal and Nontonal Mandarin Sentences for EEG-Based Brain-Computer Interface}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {14}, number = {4}, pages = {1666--1677}, year = {2022}, url = {https://doi.org/10.1109/TCDS.2021.3137251}, doi = {10.1109/TCDS.2021.3137251}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/YangJLHWCHLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenWCK22, author = {Yin{-}Chiuan Chen and Chun{-}Feng Wu and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, title = {Exploring Synchronous Page Fault Handling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {3791--3802}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3197517}, doi = {10.1109/TCAD.2022.3197517}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenWCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChiuDLCWHLCLTCL22, author = {Ching{-}Te Chiu and Yu{-}Chun Ding and Wei{-}Chen Lin and Wei{-}Jyun Chen and Shu{-}Yun Wu and Chao{-}Tsung Huang and Chun{-}Yeh Lin and Chia{-}Yu Chang and Meng{-}Jui Lee and Shimazu Tatsunori and Tsung Chen and Fan{-}Yi Lin and Yuan{-}Hao Huang}, title = {Chaos LiDAR Based {RGB-D} Face Classification System With Embedded {CNN} Accelerator on FPGAs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {12}, pages = {4847--4859}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3190430}, doi = {10.1109/TCSI.2022.3190430}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ChiuDLCWHLCLTCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/ChiuHCC22, author = {Wei{-}Yu Chiu and Wei{-}Kang Hsieh and Chia{-}Ming Chen and Yu{-}Chieh Chuang}, title = {Multiobjective Demand Response for Internet Data Centers}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {6}, number = {2}, pages = {365--376}, year = {2022}, url = {https://doi.org/10.1109/TETCI.2021.3055232}, doi = {10.1109/TETCI.2021.3055232}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/ChiuHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/ChuangC22, author = {Yu{-}Chieh Chuang and Wei{-}Yu Chiu}, title = {Deep Reinforcement Learning Based Pricing Strategy of Aggregators Considering Renewable Energy}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {6}, number = {3}, pages = {499--508}, year = {2022}, url = {https://doi.org/10.1109/TETCI.2021.3109954}, doi = {10.1109/TETCI.2021.3109954}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/ChuangC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/LaiCT22, author = {Bo{-}Chen Lai and Wei{-}Yu Chiu and Yuan{-}Po Tsai}, title = {Multiagent Reinforcement Learning for Community Energy Management to Mitigate Peak Rebounds Under Renewable Energy Uncertainty}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {6}, number = {3}, pages = {568--579}, year = {2022}, url = {https://doi.org/10.1109/TETCI.2022.3157026}, doi = {10.1109/TETCI.2022.3157026}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/LaiCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChiuWPC22, author = {Te{-}Chuan Chiu and Chih{-}Yu Wang and Ai{-}Chun Pang and Wei{-}Ho Chung}, title = {Collaborative Energy Beamforming for Wireless Powered Fog Computing Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {10}, pages = {7942--7956}, year = {2022}, url = {https://doi.org/10.1109/TWC.2022.3162912}, doi = {10.1109/TWC.2022.3162912}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ChiuWPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/Wu0C22, author = {Bingkun Wu and Weizhi Meng and Wei{-}Yang Chiu}, title = {Towards Enhanced EEG-based Authentication with Motor Imagery Brain-Computer Interface}, booktitle = {Annual Computer Security Applications Conference, {ACSAC} 2022, Austin, TX, USA, December 5-9, 2022}, pages = {799--812}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564625.3564656}, doi = {10.1145/3564625.3564656}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/Wu0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsiaoPHTLLH22, author = {Chiu{-}Han Hsiao and Tsung{-}Yu Peng and Wei{-}Chieh Huang and Hsin{-}I Teng and Tse{-}Min Lu and Frank Yeong{-}Sung Lin and Yennun Huang}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Federated Learning-Based Precision Prediction Model for External Elastic Membrane and Lumen Boundary Segmentation in Intravascular Ultrasound Images}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {375--386}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_33}, doi = {10.1007/978-3-030-99584-3\_33}, timestamp = {Thu, 31 Mar 2022 15:19:27 +0200}, biburl = {https://dblp.org/rec/conf/aina/HsiaoPHTLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsiaoYHZTCCLH22, author = {Chiu{-}Han Hsiao and Po{-}Chun Yu and Chia{-}Ying Hsieh and Bing{-}Zi Zhong and Yu{-}Ling Tsai and Hao{-}Min Cheng and Wei{-}Lun Chang and Frank Yeong{-}Sung Lin and Yennun Huang}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Machine Learning-Based Model for Predicting the Risk of Cardiovascular Disease}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {364--374}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_32}, doi = {10.1007/978-3-030-99584-3\_32}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/HsiaoYHZTCCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/TengDC22, author = {Yung{-}Wei Teng and Min{-}Yuh Day and Pei{-}Tz Chiu}, title = {Text Mining with Information Extraction for Chinese Financial Knowledge Graph}, booktitle = {{IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2022, Istanbul, Turkey, November 10-13, 2022}, pages = {421--426}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASONAM55673.2022.10068569}, doi = {10.1109/ASONAM55673.2022.10068569}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/TengDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChenWCL22, author = {Yin Sheng Chen and Tai{-}Jui Wang and Hsien Wei Chiu and Yue{-}Der Lin}, title = {Using Conductive Fabric for Multi-Channel Capacitive {ECG} Measurement}, booktitle = {22nd {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2022, Taichung, Taiwan, November 7-9, 2022}, pages = {359--362}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBE55377.2022.00080}, doi = {10.1109/BIBE55377.2022.00080}, timestamp = {Mon, 02 Jan 2023 14:34:27 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChenWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChenWCL22a, author = {Yin Sheng Chen and Tai{-}Jui Wang and Hsien Wei Chiu and Yue{-}Der Lin}, title = {Capactive {EMG} Measurement with Passive Capacitive Electrode}, booktitle = {22nd {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2022, Taichung, Taiwan, November 7-9, 2022}, pages = {367--370}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBE55377.2022.00082}, doi = {10.1109/BIBE55377.2022.00082}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChenWCL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/ChiuM22, author = {Wei{-}Yang Chiu and Weizhi Meng}, title = {DevLeChain - An Open Blockchain Development Platform for Decentralized Applications}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2022, Espoo, Finland, August 22-25, 2022}, pages = {167--176}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/Blockchain55522.2022.00031}, doi = {10.1109/BLOCKCHAIN55522.2022.00031}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/ChiuM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/SunCM22, author = {Zhengzhong Sun and Wei{-}Yang Chiu and Weizhi Meng}, title = {Mosaic - {A} Blockchain Consensus Algorithm Based on Random Number Generation}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2022, Espoo, Finland, August 22-25, 2022}, pages = {105--114}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/Blockchain55522.2022.00024}, doi = {10.1109/BLOCKCHAIN55522.2022.00024}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/SunCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/WangM00BYMU22, author = {Jingkang Wang and Sivabalan Manivasagam and Yun Chen and Ze Yang and Ioan Andrei Barsan and Anqi Joyce Yang and Wei{-}Chiu Ma and Raquel Urtasun}, editor = {Karen Liu and Dana Kulic and Jeffrey Ichnowski}, title = {CADSim: Robust and Scalable in-the-wild 3D Reconstruction for Controllable Sensor Simulation}, booktitle = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, series = {Proceedings of Machine Learning Research}, volume = {205}, pages = {630--642}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v205/wang23b.html}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/corl/WangM00BYMU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinMHWW22, author = {Zhi{-}Hao Lin and Wei{-}Chiu Ma and Hao{-}Yu Hsu and Yu{-}Chiang Frank Wang and Shenlong Wang}, title = {NeurMiPs: Neural Mixture of Planar Experts for View Synthesis}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {15681--15691}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01525}, doi = {10.1109/CVPR52688.2022.01525}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LinMHWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChenCCTL22, author = {Yu{-}Jie Chen and Shin{-}I Cheng and Wei{-}Chen Chiu and Hung{-}Yu Tseng and Hsin{-}Ying Lee}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Vector Quantized Image-to-Image Translation}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XVI}}, series = {Lecture Notes in Computer Science}, volume = {13676}, pages = {440--456}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19787-1\_25}, doi = {10.1007/978-3-031-19787-1\_25}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ChenCCTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YenLCT22, author = {Yu{-}Ting Yen and Chia{-}Ni Lu and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {3D-PL: Domain Adaptive Depth Estimation with 3D-Aware Pseudo-Labeling}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXVII}}, series = {Lecture Notes in Computer Science}, volume = {13687}, pages = {710--728}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19812-0\_41}, doi = {10.1007/978-3-031-19812-0\_41}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/YenLCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Sun0CL22, author = {Xingguo Sun and Weizhi Meng and Wei{-}Yang Chiu and Brooke Lampe}, title = {{TDL-IDS:} Towards {A} Transfer Deep Learning based Intrusion Detection System}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {2603--2608}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001267}, doi = {10.1109/GLOBECOM48099.2022.10001267}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/Sun0CL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChiuC22, author = {Yu{-}Chieh Chiu and Wei{-}Chi Chien}, editor = {Margherita Antona and Constantine Stephanidis}, title = {Coping with Autism Spectrum Disorder Adolescents' Emotional Suppression with a "One-Bit" Interactive Device}, booktitle = {Universal Access in Human-Computer Interaction. User and Context Diversity - 16th International Conference, {UAHCI} 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13309}, pages = {332--342}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05039-8\_24}, doi = {10.1007/978-3-031-05039-8\_24}, timestamp = {Sun, 02 Oct 2022 16:02:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChiuC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SainathHNBWQCPG22, author = {Tara N. Sainath and Yanzhang He and Arun Narayanan and Rami Botros and Weiran Wang and David Qiu and Chung{-}Cheng Chiu and Rohit Prabhavalkar and Alexander Gruenstein and Anmol Gulati and Bo Li and David Rybach and Emmanuel Guzman and Ian McGraw and James Qin and Krzysztof Choromanski and Qiao Liang and Robert David and Ruoming Pang and Shuo{-}Yiin Chang and Trevor Strohman and W. Ronny Huang and Wei Han and Yonghui Wu and Yu Zhang}, title = {Improving The Latency And Quality Of Cascaded Encoders}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {8112--8116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747879}, doi = {10.1109/ICASSP43922.2022.9747879}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SainathHNBWQCPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangYCHC22, author = {Hsin{-}Wei Wang and Bi{-}Cheng Yan and Hsuan{-}Sheng Chiu and Yung{-}Chang Hsu and Berlin Chen}, title = {Exploring Non-Autoregressive End-to-End Neural Modeling for English Mispronunciation Detection and Diagnosis}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {6817--6821}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747569}, doi = {10.1109/ICASSP43922.2022.9747569}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WangYCHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/JensenJCM22, author = {Wictor Lang Jensen and Sille Jessing and Wei{-}Yang Chiu and Weizhi Meng}, title = {AirChain - Towards Blockchain-based Aircraft Maintenance Record System}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2022, Shanghai, China, May 2-5, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICBC54727.2022.9805550}, doi = {10.1109/ICBC54727.2022.9805550}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/JensenJCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HouXCHCCH22, author = {Jian{-}Chiuan Hou and Wei{-}Ming Xu and Yu{-}Cheng Chu and Chih{-}Lin Hu and Ying{-}Hong Chen and Shi Chen and Lin Hui}, title = {Cooperative Fall Detection with Multiple Cameras}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {543--544}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869279}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869279}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HouXCHCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangCL22a, author = {Hsiang{-}Ting Huang and Tzu{-}Yi Chiu and Chia{-}Yu Lin}, title = {A Light-Weight Defect Detection System for Edge Computing}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {521--522}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9868995}, doi = {10.1109/ICCE-TAIWAN55306.2022.9868995}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangCL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/XuWYFKTW22, author = {Zi{-}Han Xu and Hung{-}Ju Wei and Tzu{-}Hsuan Yeh and Chi Fang and Ju{-}Chun Ko and Saiau{-}Yue Tsau and Ko{-}Chiu Wu}, title = {Blooming: {A} Handheld Device Using Flywheel to Simulates Various Multi-Force Feedback}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {55--56}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869208}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869208}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/XuWYFKTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KaoCC22, author = {Chia{-}Hsiang Kao and Wei{-}Chen Chiu and Pin{-}Yu Chen}, title = {{MAML} is a Noisy Contrastive Learner in Classification}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=LDAwu17QaJz}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KaoCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/BrittainCM22, author = {Jonathan Kvist Brittain and Wei{-}Yang Chiu and Weizhi Meng}, title = {Towards {A} Scalable and Privacy-Preserving Blockchain-based European Parking System}, booktitle = {28th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2022, Nanjing, China, January 10-12, 2023}, pages = {322--329}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPADS56603.2022.00049}, doi = {10.1109/ICPADS56603.2022.00049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpads/BrittainCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/DayCTL22, author = {Min{-}Yuh Day and Pei{-}Tz Chiu and Yung{-}Wei Teng and Chao{-}Lin Liu}, title = {Developing Relation Types of Cryptocurrency Anti-Money Laundering Knowledge Graph}, booktitle = {23rd {IEEE} International Conference on Information Reuse and Integration for Data Science, {IRI} 2022, San Diego, CA, USA, August 9-11, 2022}, pages = {90--94}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRI54793.2022.00031}, doi = {10.1109/IRI54793.2022.00031}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iri/DayCTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KoCKC22, author = {Wei{-}Jan Ko and Chen{-}Yi Chiu and Yu{-}Liang Kuo and Wei{-}Chen Chiu}, title = {{RPG:} Learning Recursive Point Cloud Generation}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {544--551}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981471}, doi = {10.1109/IROS47612.2022.9981471}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/KoCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KuoKCC22, author = {Yu{-}Liang Kuo and Wei{-}Jan Ko and Chen{-}Yi Chiu and Wei{-}Chen Chiu}, title = {Improving Single-View Mesh Reconstruction for Unseen Categories via Primitive-Based Representation and Mesh Augmentation}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {2001--2008}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9982024}, doi = {10.1109/IROS47612.2022.9982024}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KuoKCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuTCW22, author = {Heng{-}Yu Chiu and Shih{-}Meng Tseng and Yi{-}Chen Chen and Chia{-}Ling Wei}, title = {Single-Axis Capacitive Accelerometer Chip with {AC} Offset Suppression Loop}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {2397--2400}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937688}, doi = {10.1109/ISCAS48785.2022.9937688}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiuTCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispec/BodiCM22, author = {Bodi Bodi and Wei{-}Yang Chiu and Weizhi Meng}, editor = {Chunhua Su and Dimitris Gritzalis and Vincenzo Piuri}, title = {Towards Blockchain-Enabled Intrusion Detection for Vehicular Navigation Map System}, booktitle = {Information Security Practice and Experience - 17th International Conference, {ISPEC} 2022, Taipei, Taiwan, November 23-25, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13620}, pages = {3--20}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21280-2\_1}, doi = {10.1007/978-3-031-21280-2\_1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispec/BodiCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispec/ThomsenPACM22, author = {August Lykke Thomsen and Bastian Preisel and Victor Rodrigues Andersen and Wei{-}Yang Chiu and Weizhi Meng}, editor = {Chunhua Su and Dimitris Gritzalis and Vincenzo Piuri}, title = {Designing Enhanced Robust 6G Connection Strategy with Blockchain}, booktitle = {Information Security Practice and Experience - 17th International Conference, {ISPEC} 2022, Taipei, Taiwan, November 23-25, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13620}, pages = {57--74}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21280-2\_4}, doi = {10.1007/978-3-031-21280-2\_4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispec/ThomsenPACM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mesa/HuangLPCYTBGL22, author = {Zheng{-}Jie Huang and Wei{-}Hao Lu and Brijesh Patel and Po{-}Yan Chiu and Tz{-}Yu Yang and Hao Jian Tong and Vytautas Bucinskas and Modris Greitans and Po Ting Lin}, title = {Convolutional Neural Network-based Image Restoration {(CNNIR)}}, booktitle = {18th {IEEE/ASME} International Conference on Mechatronic and Embedded Systems and Applications, {MESA} 2022, Taipei, Taiwan, November 28-30, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MESA55290.2022.10004461}, doi = {10.1109/MESA55290.2022.10004461}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mesa/HuangLPCYTBGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiCHCC22, author = {Yu Hsuan Li and Tzu{-}Yin Chao and Ching{-}Chun Huang and Pin{-}Yu Chen and Wei{-}Chen Chiu}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Make an Omelette with Breaking Eggs: Zero-Shot Learning for Novel Attribute Synthesis}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/8cf3760422b9d4505589a97c8f9569e7-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LiCHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ShenMW22, author = {Yuan Shen and Wei{-}Chiu Ma and Shenlong Wang}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {{SGAM:} Building a Virtual 3D World through Simultaneous Generation and Mapping}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/8ae9cf363ea625161f885b798c1f1f78-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ShenMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/provsec/ChiuMLF22, author = {Wei{-}Yang Chiu and Weizhi Meng and Wenjuan Li and Liming Fang}, editor = {Chunpeng Ge and Fuchun Guo}, title = {FolketID: {A} Decentralized Blockchain-Based NemID Alternative Against DDoS Attacks}, booktitle = {Provable and Practical Security - 16th International Conference, ProvSec 2022, Nanjing, China, November 11-12, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13600}, pages = {210--227}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20917-8\_15}, doi = {10.1007/978-3-031-20917-8\_15}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/provsec/ChiuMLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisec/JensenJCM22, author = {Wictor Lang Jensen and Sille Jessing and Wei{-}Yang Chiu and Weizhi Meng}, editor = {Chunhua Su and Kouichi Sakurai and Feng Liu}, title = {A Practical Blockchain-Based Maintenance Record System for Better Aircraft Security}, booktitle = {Science of Cyber Security - 4th International Conference, SciSec 2022, Matsue, Japan, August 10-12, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13580}, pages = {51--67}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17551-0\_4}, doi = {10.1007/978-3-031-17551-0\_4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scisec/JensenJCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/Wang0C22, author = {Chiu{-}Hsuan Wang and Bing{-}Yu Chen and Liwei Chan}, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {RealityLens: {A} User Interface for Blending Customized Physical World View into Virtual Reality}, booktitle = {The 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022 - 2 November 2022}, pages = {49:1--49:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526113.3545686}, doi = {10.1145/3526113.3545686}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/Wang0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {379--380}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830503}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830503}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/HongCHLCSCYWRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TsaiCHLCTLJCHCC22, author = {Chung{-}En Tsai and Chun{-}Yi Cheng and Bo{-}Wei Huang and Hsin{-}Cheng Lin and Tao Chou and Chien{-}Te Tu and Yi{-}Chun Liu and Sun{-}Rong Jan and Yu{-}Rui Chen and Wan{-}Hsuan Hsieh and Kung{-}Ying Chiu and Shee{-}Jier Chueh and Chee Wee Liu}, title = {Nearly Ideal Subthreshold Swing and Delay Reduction of Stacked Nanosheets Using Ultrathin Bodies}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {401--402}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830357}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830357}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/TsaiCHLCTLJCHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChiangTTLC22, author = {Pei{-}Ze Chiang and Meng{-}Shiun Tsai and Hung{-}Yu Tseng and Wei{-}Sheng Lai and Wei{-}Chen Chiu}, title = {Stylizing 3D Scene via Implicit Representation and HyperNetwork}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {215--224}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00029}, doi = {10.1109/WACV51458.2022.00029}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ChiangTTLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-04565, author = {Yuan Chiang and Ting{-}Wai Chiu and Shu{-}Wei Chang}, title = {ImageMech: From image to particle spring network for mechanical characterization}, journal = {CoRR}, volume = {abs/2201.04565}, year = {2022}, url = {https://arxiv.org/abs/2201.04565}, eprinttype = {arXiv}, eprint = {2201.04565}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-04565.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09962, author = {Yuzhi Zhao and Lai{-}Man Po and Xuehui Wang and Qiong Yan and Wei Shen and Yujia Zhang and Wei Liu and Chun Kit Wong and Chiu{-}Sing Pang and Weifeng Ou and Wing Yin Yu and Buhua Liu}, title = {ChildPredictor: {A} Child Face Prediction Framework with Disentangled Learning}, journal = {CoRR}, volume = {abs/2204.09962}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09962}, doi = {10.48550/ARXIV.2204.09962}, eprinttype = {arXiv}, eprint = {2204.09962}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09962.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-13696, author = {Zhi{-}Hao Lin and Wei{-}Chiu Ma and Hao{-}Yu Hsu and Yu{-}Chiang Frank Wang and Shenlong Wang}, title = {NeurMiPs: Neural Mixture of Planar Experts for View Synthesis}, journal = {CoRR}, volume = {abs/2204.13696}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.13696}, doi = {10.48550/ARXIV.2204.13696}, eprinttype = {arXiv}, eprint = {2204.13696}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-13696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07446, author = {Yen{-}Ting Lin and Hui{-}Chi Kuo and Ze{-}Song Xu and Ssu Chiu and Chieh{-}Chi Hung and Yi{-}Cheng Chen and Chao{-}Wei Huang and Yun{-}Nung Chen}, title = {Miutsu: NTU's TaskBot for the Alexa Prize}, journal = {CoRR}, volume = {abs/2205.07446}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07446}, doi = {10.48550/ARXIV.2205.07446}, eprinttype = {arXiv}, eprint = {2205.07446}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09327, author = {Shih{-}Han Chan and Tsai{-}Lun Yang and Yun{-}Wei Chu and Chi{-}Yang Hsu and Ting{-}Hao Huang and Yu{-}Shian Chiu and Lun{-}Wei Ku}, title = {Let's Talk! Striking Up Conversations via Conversational Visual Question Generation}, journal = {CoRR}, volume = {abs/2205.09327}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09327}, doi = {10.48550/ARXIV.2205.09327}, eprinttype = {arXiv}, eprint = {2205.09327}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09327.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03105, author = {Chaoxing Huang and Yurui Qian and Simon Chun{-}Ho Yu and Jian Hou and Baiyan Jiang and Queenie Chan and Vincent Wai{-}Sun Wong and Winnie Chiu{-}Wing Chu and Weitian Chen}, title = {Uncertainty-Aware Self-supervised Neural Network for Liver T\({}_{\mbox{1{\(\rho\)}}}\) Mapping with Relaxation Constraint}, journal = {CoRR}, volume = {abs/2207.03105}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03105}, doi = {10.48550/ARXIV.2207.03105}, eprinttype = {arXiv}, eprint = {2207.03105}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03105.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-13286, author = {Yu{-}Jie Chen and Shin{-}I Cheng and Wei{-}Chen Chiu and Hung{-}Yu Tseng and Hsin{-}Ying Lee}, title = {Vector Quantized Image-to-Image Translation}, journal = {CoRR}, volume = {abs/2207.13286}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.13286}, doi = {10.48550/ARXIV.2207.13286}, eprinttype = {arXiv}, eprint = {2207.13286}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-13286.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-12675, author = {Shin{-}I Cheng and Yu{-}Jie Chen and Wei{-}Chen Chiu and Hsin{-}Ying Lee and Hung{-}Yu Tseng}, title = {Adaptively-Realistic Image Generation from Stroke and Sketch with Diffusion Model}, journal = {CoRR}, volume = {abs/2208.12675}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.12675}, doi = {10.48550/ARXIV.2208.12675}, eprinttype = {arXiv}, eprint = {2208.12675}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-12675.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01604, author = {Yu{-}Jen Chen and Wei{-}Hsiang Shen and Hao{-}Wei Chung and Jing{-}Hao Chiu and Da{-}Cheng Juan and Tsung{-}Ying Ho and Chi{-}Tung Cheng and Meng{-}Lin Li and Tsung{-}Yi Ho}, title = {Representative Image Feature Extraction via Contrastive Learning Pretraining for Chest X-ray Report Generation}, journal = {CoRR}, volume = {abs/2209.01604}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01604}, doi = {10.48550/ARXIV.2209.01604}, eprinttype = {arXiv}, eprint = {2209.01604}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02952, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Min Sun}, title = {BiFuse++: Self-supervised and Efficient Bi-projection Fusion for 360 Depth Estimation}, journal = {CoRR}, volume = {abs/2209.02952}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02952}, doi = {10.48550/ARXIV.2209.02952}, eprinttype = {arXiv}, eprint = {2209.02952}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02952.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09231, author = {Yu{-}Ting Yen and Chia{-}Ni Lu and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {3D-PL: Domain Adaptive Depth Estimation with 3D-aware Pseudo-Labeling}, journal = {CoRR}, volume = {abs/2209.09231}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09231}, doi = {10.48550/ARXIV.2209.09231}, eprinttype = {arXiv}, eprint = {2209.09231}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09231.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05347, author = {Sheng{-}Feng Yu and Wei{-}Chen Chiu}, title = {Mitigating Forgetting in Online Continual Learning via Contrasting Semantically Distinct Augmentations}, journal = {CoRR}, volume = {abs/2211.05347}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05347}, doi = {10.48550/ARXIV.2211.05347}, eprinttype = {arXiv}, eprint = {2211.05347}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCL21, author = {Song{-}Jen Chen and Wei{-}Yu Chiu and Wei{-}Jen Liu}, title = {User Preference-Based Demand Response for Smart Home Energy Management Using Multiobjective Reinforcement Learning}, journal = {{IEEE} Access}, volume = {9}, pages = {161627--161637}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3132962}, doi = {10.1109/ACCESS.2021.3132962}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimYLWY21, author = {Woan Ning Lim and Kian Meng Yap and Yunli Lee and Chyanna Wee and Ching Chiuan Yen}, title = {A Systematic Review of Weight Perception in Virtual Reality: Techniques, Challenges, and Road Ahead}, journal = {{IEEE} Access}, volume = {9}, pages = {163253--163283}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3131525}, doi = {10.1109/ACCESS.2021.3131525}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LimYLWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RomanovYC21, author = {Alexey M. Romanov and Vladimir D. Yashunskiy and Wei{-}Yu Chiu}, title = {A Modular Reconfigurable Robot for Future Autonomous Extraterrestrial Missions}, journal = {{IEEE} Access}, volume = {9}, pages = {147809--147827}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3124951}, doi = {10.1109/ACCESS.2021.3124951}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RomanovYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/HuJCK21, author = {Yi{-}Chung Hu and Peng Jiang and Yu{-}Jing Chiu and Yen{-}Wei Ken}, title = {Incorporating Grey Relational Analysis into Grey Prediction Models to Forecast the Demand for Magnesium Materials}, journal = {Cybern. Syst.}, volume = {52}, number = {6}, pages = {522--532}, year = {2021}, url = {https://doi.org/10.1080/01969722.2021.1906569}, doi = {10.1080/01969722.2021.1906569}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cas/HuJCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/LiuFYKC21, author = {Yan{-}Cheng Liu and Chia{-}Ming Fan and Weichung Yeih and Cheng{-}Yu Ku and Chiung{-}Lin Chu}, title = {Numerical solutions of two-dimensional Laplace and biharmonic equations by the localized Trefftz method}, journal = {Comput. Math. Appl.}, volume = {88}, pages = {120--134}, year = {2021}, url = {https://doi.org/10.1016/j.camwa.2020.09.023}, doi = {10.1016/J.CAMWA.2020.09.023}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/LiuFYKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/TangMHKLLHCWTLP21, author = {Shu{-}Yu Tang and Hsi{-}Pin Ma and Chi{-}Sheng Hung and Ping{-}Hung Kuo and Chen Lin and Men{-}Tzung Lo and Hsao{-}Hsun Hsu and Yu{-}Wei Chiu and Cho{-}Kai Wu and Cheng{-}Hsuan Tsai and Yen{-}Tin Lin and Chung{-}Kang Peng and Yen{-}Hung Lin}, title = {The Value of Heart Rhythm Complexity in Identifying High-Risk Pulmonary Hypertension Patients}, journal = {Entropy}, volume = {23}, number = {6}, pages = {753}, year = {2021}, url = {https://doi.org/10.3390/e23060753}, doi = {10.3390/E23060753}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/TangMHKLLHCWTLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ChenLCL21, author = {Yu{-}Guang Chen and Ing{-}Chao Lin and Kun{-}Wei Chiu and Cheng{-}Hsuan Liu}, title = {An efficient \emph{NBTI}-aware wake-up strategy: Concept, design, and manipulation}, journal = {Integr.}, volume = {80}, pages = {60--71}, year = {2021}, url = {https://doi.org/10.1016/j.vlsi.2021.04.003}, doi = {10.1016/J.VLSI.2021.04.003}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/ChenLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LaiWL21, author = {Wei Kuang Lai and You{-}Chiun Wang and Sih{-}Yu Lin}, title = {Efficient Scheduling, Caching, and Merging of Notifications to Save Message Costs in IoT Networks Using CoAP}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {2}, pages = {1016--1029}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3009332}, doi = {10.1109/JIOT.2020.3009332}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LaiWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MengCYC21, author = {Weizhi Meng and Yong Cai and Laurence T. Yang and Wei{-}Yang Chiu}, title = {Hybrid Emotion-Aware Monitoring System Based on Brainwaves for Internet of Medical Things}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {21}, pages = {16014--16022}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3079461}, doi = {10.1109/JIOT.2021.3079461}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MengCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/istr/ChiuMJ21, author = {Wei{-}Yang Chiu and Weizhi Meng and Christian Damsgaard Jensen}, title = {My data, my control: {A} secure data sharing and access scheme over blockchain}, journal = {J. Inf. Secur. Appl.}, volume = {63}, pages = {103020}, year = {2021}, url = {https://doi.org/10.1016/j.jisa.2021.103020}, doi = {10.1016/J.JISA.2021.103020}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/istr/ChiuMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CuiCCSCGDCZLZYL21, author = {Yingbo Cui and Xiaolong Cheng and Qing Chen and Bicna Song and Anthony Chiu and Yuan Gao and Tyson Dawson and Lumen Chao and Wubing Zhang and Dian Li and Zexiang Zeng and Jijun Yu and Zexu Li and Teng Fei and Shaoliang Peng and Wei Li}, title = {CRISP-view: a database of functional genetic screens spanning multiple phenotypes}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D848--D854}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa809}, doi = {10.1093/NAR/GKAA809}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/CuiCCSCGDCZLZYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/ChiuM21, author = {Wei{-}Yang Chiu and Weizhi Meng}, title = {EdgeTC - a {PBFT} blockchain-based {ETC} scheme for smart cities}, journal = {Peer-to-Peer Netw. Appl.}, volume = {14}, number = {5}, pages = {2874--2886}, year = {2021}, url = {https://doi.org/10.1007/s12083-021-01119-0}, doi = {10.1007/S12083-021-01119-0}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/ChiuM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenCCHXCH21, author = {Yen{-}Lin Chen and Yuan Chiang and Pei{-}Hsin Chiu and I{-}Chen Huang and Yu{-}Bai Xiao and Shu{-}Wei Chang and Chang{-}Wei Huang}, title = {High-Dimensional Phase Space Reconstruction with a Convolutional Neural Network for Structural Health Monitoring}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3514}, year = {2021}, url = {https://doi.org/10.3390/s21103514}, doi = {10.3390/S21103514}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenCCHXCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuHDWCSLC21, author = {Chun{-}Yi Chiu and Yung{-}Hui Huang and Wei{-}Chang Du and Chi{-}Yuan Wang and Huei{-}Yong Chen and Yun{-}Shiuan Shiu and Nan{-}Han Lu and Tai{-}Been Chen}, title = {Efficient Strike Artifact Reduction Based on 3D-Morphological Structure Operators from Filtered Back-Projection {PET} Images}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7228}, year = {2021}, url = {https://doi.org/10.3390/s21217228}, doi = {10.3390/S21217228}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChiuHDWCSLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiLCCCLLCHCMACL21, author = {Chun{-}Wei Li and Szu{-}Yin Lin and He{-}Sheng Chou and Tsung{-}Yi Chen and Yu{-}An Chen and Sheng{-}Yu Liu and Yu{-}Lin Liu and Chiung{-}An Chen and Yen{-}Cheng Huang and Shih{-}Lun Chen and Yi{-}Cheng Mao and Patricia Angela R. Abu and Wei{-}Yuan Chiang and Wen{-}Shen Lo}, title = {Detection of Dental Apical Lesions Using CNNs on Periapical Radiograph}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7049}, year = {2021}, url = {https://doi.org/10.3390/s21217049}, doi = {10.3390/S21217049}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiLCCCLLCHCMACL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCTHC21, author = {Jian{-}Zhi Lin and Wen{-}Yu Chiu and Wei{-}Hsun Tai and Yu{-}Xiang Hong and Chung{-}Yu Chen}, title = {Ankle Muscle Activations during Different Foot-Strike Patterns in Running}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3422}, year = {2021}, url = {https://doi.org/10.3390/s21103422}, doi = {10.3390/S21103422}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinCTHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaoCCLLCLCHCLAC21, author = {Yi{-}Cheng Mao and Tsung{-}Yi Chen and He{-}Sheng Chou and Szu{-}Yin Lin and Sheng{-}Yu Liu and Yu{-}An Chen and Yu{-}Lin Liu and Chiung{-}An Chen and Yen{-}Cheng Huang and Shih{-}Lun Chen and Chun{-}Wei Li and Patricia Angela R. Abu and Wei{-}Yuan Chiang}, title = {Caries and Restoration Detection Using Bitewing Film Based on Transfer Learning with CNNs}, journal = {Sensors}, volume = {21}, number = {13}, pages = {4613}, year = {2021}, url = {https://doi.org/10.3390/s21134613}, doi = {10.3390/S21134613}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MaoCCLLCLCHCLAC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsaiHGLWLW21, author = {Pei{-}Yun Tsai and Chiu{-}Hua Huang and Jia{-}Wei Guo and Yu{-}Chuan Li and An{-}Yeu Andy Wu and Hung{-}Ju Lin and Tzung{-}Dau Wang}, title = {Coherence between Decomposed Components of Wrist and Finger {PPG} Signals by Imputing Missing Features and Resolving Ambiguous Features}, journal = {Sensors}, volume = {21}, number = {13}, pages = {4315}, year = {2021}, url = {https://doi.org/10.3390/s21134315}, doi = {10.3390/S21134315}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TsaiHGLWLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangTCCHLLLL21, author = {Si{-}Han Wang and Tse{-}Hua Tung and Sheng{-}Po Chiu and Hsin{-}Yi Chou and Yu{-}Han Hung and Yi{-}Ting Lai and Yu{-}Wei Lee and Shiao{-}Pieng Lee and Chun{-}Min Lo}, title = {Detecting Effects of Low Levels of {FCCP} on Stem Cell Micromotion and Wound-Healing Migration by Time-Series Capacitance Measurement}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3017}, year = {2021}, url = {https://doi.org/10.3390/s21093017}, doi = {10.3390/S21093017}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangTCCHLLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChuangCC21, author = {Chih{-}Lin Chuang and Wei{-}Yu Chiu and Yu{-}Chieh Chuang}, title = {Dynamic Multiobjective Approach for Power and Spectrum Allocation in Cognitive Radio Networks}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {4}, pages = {5417--5428}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2021.3061670}, doi = {10.1109/JSYST.2021.3061670}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChuangCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/RomanovRMVCMC21, author = {Alexey M. Romanov and Mikhail P. Romanov and Sergey Manko and Maria A. Volkova and Wei{-}Yu Chiu and Hsi{-}Pin Ma and Kun{-}Yen Chiu}, title = {Modular Reconfigurable Robot Distributed Computing System for Tracking Multiple Objects}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {1}, pages = {802--813}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2020.2990921}, doi = {10.1109/JSYST.2020.2990921}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/RomanovRMVCMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChienCCCLLW21, author = {Wei Chien and Chien{-}Ching Chiu and Po{-}Hsiang Chen and Yu{-}Ting Cheng and Eng Hock Lim and Yue{-}Li Liang and Jia{-}Rui Wang}, title = {Different Object Functions for {SWIPT} Optimization by {SADDE} and {APSO}}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1340}, year = {2021}, url = {https://doi.org/10.3390/sym13081340}, doi = {10.3390/SYM13081340}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ChienCCCLLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/TsengCC21, author = {Tzu{-}Yu Tseng and Hsiao{-}Chin Chen and Hung{-}Wei Chiu}, title = {Monolithic {CMOS} Microwave Heater With Programmable Thermostat Function for Thermotherapy}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {1}, pages = {196--200}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2020.3009743}, doi = {10.1109/TCSII.2020.3009743}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/TsengCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/TsaiCTC21, author = {Chih{-}Wei Tsai and Yu{-}Ting Chiu and Yo{-}Hao Tu and Kuo{-}Hsing Cheng}, title = {A Wide-Range All-Digital Delay-Locked Loop for {DDR1-DDR5} Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {10}, pages = {1720--1729}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3098171}, doi = {10.1109/TVLSI.2021.3098171}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/TsaiCTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LinCY21, author = {Chiuhsiang Joe Lin and Lai{-}Yu Cheng and Chih{-}Wei Yang}, title = {An investigation of the influence of age on eye fatigue and hand operation performance in a virtual environment}, journal = {Vis. Comput.}, volume = {37}, number = {8}, pages = {2301--2313}, year = {2021}, url = {https://doi.org/10.1007/s00371-020-01987-2}, doi = {10.1007/S00371-020-01987-2}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/LinCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/ChiuM21, author = {Wei{-}Yang Chiu and Weizhi Meng}, editor = {Joonsang Baek and Sushmita Ruj}, title = {Mind the Scraps: Attacking Blockchain Based on Selfdestruct}, booktitle = {Information Security and Privacy - 26th Australasian Conference, {ACISP} 2021, Virtual Event, December 1-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13083}, pages = {451--469}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90567-5\_23}, doi = {10.1007/978-3-030-90567-5\_23}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acisp/ChiuM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LuCPLJLTCYWSC21, author = {Ying{-}Cheng Lu and Ching{-}Wen Chen and Ching{-}Chun Pu and Yang{-}Tung Lin and Jyun{-}Kai Jhan and Shu{-}Ping Liang and Wei{-}Lun Tseng and Chi{-}Shi Chen and Chao{-}Yang Yu and Hsiu{-}Wen Wang and Hong{-}Han Shuai and Herming Chiueh}, title = {An 176.3 GOPs Object Detection {CNN} Accelerator Emulated in a 28nm {CMOS} Technology}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458492}, doi = {10.1109/AICAS51828.2021.9458492}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/LuCPLJLTCYWSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LuCPLJLTCYWSC21a, author = {Ying{-}Cheng Lu and Ching{-}Wen Chen and Ching{-}Chun Pu and Yang{-}Tung Lin and Jyun{-}Kai Jhan and Shu{-}Ping Liang and Wei{-}Lun Tseng and Chi{-}Shi Chen and Chao{-}Yang Yu and Hsiu{-}Wen Wang and Hong{-}Han Shuai and Herming Chiueh}, title = {Live Demo: An 176.3 GOPs Object Detection {CNN} Accelerator Emulated in a 28nm {CMOS} Technology}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458495}, doi = {10.1109/AICAS51828.2021.9458495}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/LuCPLJLTCYWSC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ChungZHCQPW21, author = {Yu{-}An Chung and Yu Zhang and Wei Han and Chung{-}Cheng Chiu and James Qin and Ruoming Pang and Yonghui Wu}, title = {w2v-BERT: Combining Contrastive Learning and Masked Language Modeling for Self-Supervised Speech Pre-Training}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2021, Cartagena, Colombia, December 13-17, 2021}, pages = {244--250}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASRU51503.2021.9688253}, doi = {10.1109/ASRU51503.2021.9688253}, timestamp = {Wed, 09 Feb 2022 09:03:03 +0100}, biburl = {https://dblp.org/rec/conf/asru/ChungZHCQPW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blocksys/ChiuZ00G21, author = {Wei{-}Yang Chiu and Lu Zhou and Weizhi Meng and Zhe Liu and Chunpeng Ge}, editor = {Hong{-}Ning Dai and Xuanzhe Liu and Daniel Xiapu Luo and Jiang Xiao and Xiangping Chen}, title = {ActAnyware - Blockchain-Based Software Licensing Scheme}, booktitle = {Blockchain and Trustworthy Systems - Third International Conference, BlockSys 2021, Guangzhou, China, August 5-6, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1490}, pages = {559--573}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-16-7993-3\_43}, doi = {10.1007/978-981-16-7993-3\_43}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blocksys/ChiuZ00G21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/RomanovYC21, author = {Alexey M. Romanov and Vladimir D. Yashunskiy and Wei{-}Yu Chiu}, title = {{SABER:} Modular Reconfigurable Robot for Industrial Applications}, booktitle = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, pages = {53--59}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CASE49439.2021.9551437}, doi = {10.1109/CASE49439.2021.9551437}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/RomanovYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangYSCT21, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LED2-Net: Monocular 360deg Layout Estimation via Differentiable Depth Rendering}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {12956--12965}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Wang\_LED2-Net\_Monocular\_360deg\_Layout\_Estimation\_via\_Differentiable\_Depth\_Rendering\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01276}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangYSCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YangWMHMYYU21, author = {Ze Yang and Shenlong Wang and Sivabalan Manivasagam and Zeng Huang and Wei{-}Chiu Ma and Xinchen Yan and Ersin Yumer and Raquel Urtasun}, title = {{S3:} Neural Shape, Skeleton, and Skinning Fields for 3D Human Modeling}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {13284--13293}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Yang\_S3\_Neural\_Shape\_Skeleton\_and\_Skinning\_Fields\_for\_3D\_Human\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01308}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YangWMHMYYU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/ChiuMJ21, author = {Wei{-}Yang Chiu and Weizhi Meng and Christian Damsgaard Jensen}, title = {ChainPKI - Towards Ethash-based Decentralized {PKI} with Privacy Enhancement}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2021, Aizuwakamatsu, Japan, January 30 - February 2, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSC49826.2021.9346273}, doi = {10.1109/DSC49826.2021.9346273}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/desec/ChiuMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChiuCCH21, author = {Wei{-}Yu Chiu and Liang{-}Yu Chen and Hung{-}Ming Chi and Tzu{-}Chien Hsiao}, title = {Toward instantaneous frequency of respiration to investigate the risk of internet gaming disorder}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {232--235}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9631039}, doi = {10.1109/EMBC46164.2021.9631039}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChiuCCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Dalati0C21, author = {Mohamad Suhel Dalati and Weizhi Meng and Wei{-}Yang Chiu}, title = {{NGS:} Mitigating DDoS Attacks using SDN-based Network Gate Shield}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685870}, doi = {10.1109/GLOBECOM46510.2021.9685870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/Dalati0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChenKLSCC21, author = {Yu{-}Chun Chen and Kuei{-}Chun Kao and Yu{-}Jen Lee and Faye Shih and Wei{-}Chen Chiu and Yung{-}Ju Chang}, editor = {Afsaneh Doryab and Qin Lv and Michael Beigl}, title = {Killing-Time Detection from Smartphone Screenshots}, booktitle = {UbiComp/ISWC '21: 2021 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2021 {ACM} International Symposium on Wearable Computers, Virtual Event, September 21-25, 2021}, pages = {15--16}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460418.3479295}, doi = {10.1145/3460418.3479295}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/ChenKLSCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DoutreHMLCPNMZC21, author = {Thibault Doutre and Wei Han and Min Ma and Zhiyun Lu and Chung{-}Cheng Chiu and Ruoming Pang and Arun Narayanan and Ananya Misra and Yu Zhang and Liangliang Cao}, title = {Improving Streaming Automatic Speech Recognition with Non-Streaming Model Distillation on Unsupervised Data}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {6558--6562}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413692}, doi = {10.1109/ICASSP39728.2021.9413692}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/DoutreHMLCPNMZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiGYSCNCPHQ0LZS21, author = {Bo Li and Anmol Gulati and Jiahui Yu and Tara N. Sainath and Chung{-}Cheng Chiu and Arun Narayanan and Shuo{-}Yiin Chang and Ruoming Pang and Yanzhang He and James Qin and Wei Han and Qiao Liang and Yu Zhang and Trevor Strohman and Yonghui Wu}, title = {A Better and Faster end-to-end Model for Streaming {ASR}}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5634--5638}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413899}, doi = {10.1109/ICASSP39728.2021.9413899}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LiGYSCNCPHQ0LZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TengCC21, author = {Chun{-}Chih Teng and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Domain Adaptation for Learning Generator From Paired Few-Shot Data}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {1750--1754}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414702}, doi = {10.1109/ICASSP39728.2021.9414702}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/TengCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuCLCSHNHGWP21, author = {Jiahui Yu and Chung{-}Cheng Chiu and Bo Li and Shuo{-}Yiin Chang and Tara N. Sainath and Yanzhang He and Arun Narayanan and Wei Han and Anmol Gulati and Yonghui Wu and Ruoming Pang}, title = {FastEmit: Low-Latency Streaming {ASR} with Sequence-Level Emission Regularization}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {6004--6008}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413803}, doi = {10.1109/ICASSP39728.2021.9413803}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YuCLCSHNHGWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinTCTC21, author = {Shao{-}Jyun Lin and Hue{-}Sin Tian and Hsiao{-}Chin Chen and Tzu{-}Yu Tseng and Hung{-}Wei Chiu}, title = {Selective Microwave Ablation Using Low Power Monolithic Microwave Heater}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602897}, doi = {10.1109/ICCE-TW52618.2021.9602897}, timestamp = {Tue, 23 Nov 2021 09:27:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LinTCTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HoCLH21, author = {Tsung{-}Yu Ho and Wei{-}An Chen and Huei{-}Tang Li and Chiung{-}Ying Huang}, title = {Unsupervised and Cost-Effective Learning: Dynamically Expose Anomaly Devices}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021, Las Vegas, NV, USA, January 10-12, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE50685.2021.9427642}, doi = {10.1109/ICCE50685.2021.9427642}, timestamp = {Mon, 17 May 2021 14:08:12 +0200}, biburl = {https://dblp.org/rec/conf/iccel/HoCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMLFPKCDZ21, author = {Matej Kristan and Jir{\'{\i}} Matas and Ales Leonardis and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Jani K{\"{a}}pyl{\"{a}} and Gustav H{\"{a}}ger and Song Yan and Jinyu Yang and Zhongqun Zhang and Gustavo Fern{\'{a}}ndez and Mohamed H. Abdelpakey and Goutam Bhat and Llukman Cerkezi and Hakan Cevikalp and Shengyong Chen and Xin Chen and Miao Cheng and Ziyi Cheng and Yu{-}Chen Chiu and Ozgun Cirakman and Yutao Cui and Kenan Dai and Mohana Murali Dasari and Qili Deng and Xingping Dong and Daniel K. Du and Matteo Dunnhofer and Zhen{-}Hua Feng and Zhiyong Feng and Zhihong Fu and Shiming Ge and Rama Krishna Gorthi and Yuzhang Gu and Bilge G{\"{u}}nsel and Qing Guo and Filiz Gurkan and Wencheng Han and Yanyan Huang and Felix J{\"{a}}remo Lawin and Shang{-}Jhih Jhang and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix Juefei{-}Xu and J. Yin and Xiao Ke and Fahad Shahbaz Khan and Byeong Hak Kim and Josef Kittler and Xiangyuan Lan and Jun Ha Lee and Bastian Leibe and Hui Li and Jianhua Li and Xianxian Li and Yuezhou Li and Bo Liu and Chang Liu and Jingen Liu and Li Liu and Qingjie Liu and Huchuan Lu and Wei Lu and Jonathon Luiten and Jie Ma and Ziang Ma and Niki Martinel and Christoph Mayer and Alireza Memarmoghadam and Christian Micheloni and Yuzhen Niu and Danda Pani Paudel and Houwen Peng and Shoumeng Qiu and Aravindh Rajiv and Muhammad Rana and Andreas Robinson and Hasan Saribas and Ling Shao and Mohamed S. Shehata and Furao Shen and Jianbing Shen and Kristian Simonato and Xiaoning Song and Zhangyong Tang and Radu Timofte and Philip H. S. Torr and Chi{-}Yi Tsai and Bedirhan Uzun and Luc Van Gool and Paul Voigtlaender and Dong Wang and Guangting Wang and Liangliang Wang and Lijun Wang and Limin Wang and Linyuan Wang and Yong Wang and Yunhong Wang and Chenyan Wu and Gangshan Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Xiang Xu and Wanli Xue and Bin Yan and Wankou Yang and Xiaoyun Yang and Yu Ye and Jun Yin and Chengwei Zhang and Chunhui Zhang and Haitao Zhang and Kaihua Zhang and Kangkai Zhang and Xiaohan Zhang and Xiaolin Zhang and Xinyu Zhang and Zhibin Zhang and Shao{-}Chuan Zhao and Ming Zhen and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu}, title = {The Ninth Visual Object Tracking {VOT2021} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2711--2738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00305}, doi = {10.1109/ICCVW54120.2021.00305}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMLFPKCDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YenCC21, author = {Yu{-}Ting Yen and Chia{-}Chi Cheng and Wei{-}Chen Chiu}, title = {Inverse Halftone Colorization: Making Halftone Prints Color Photos}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {1734--1738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506307}, doi = {10.1109/ICIP42928.2021.9506307}, timestamp = {Thu, 03 Feb 2022 08:15:41 +0100}, biburl = {https://dblp.org/rec/conf/icip/YenCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/YuHGCLSWP21, author = {Jiahui Yu and Wei Han and Anmol Gulati and Chung{-}Cheng Chiu and Bo Li and Tara N. Sainath and Yonghui Wu and Ruoming Pang}, title = {Dual-mode {ASR:} Unify and Improve Streaming {ASR} with Full-context Modeling}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=Pz\_dcqfcKW8}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/YuHGCLSWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SainathHNBPRAVQ21, author = {Tara N. Sainath and Yanzhang He and Arun Narayanan and Rami Botros and Ruoming Pang and David Rybach and Cyril Allauzen and Ehsan Variani and James Qin and Quoc{-}Nam Le{-}The and Shuo{-}Yiin Chang and Bo Li and Anmol Gulati and Jiahui Yu and Chung{-}Cheng Chiu and Diamantino Caseiro and Wei Li and Qiao Liang and Pat Rondon}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {An Efficient Streaming Non-Recurrent On-Device End-to-End Model with Improvements to Rare-Word Modeling}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {1777--1781}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-206}, doi = {10.21437/INTERSPEECH.2021-206}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SainathHNBPRAVQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenCXY21, author = {Chiung{-}Hsing Chen and Jwu{-}Jenq Chen and Jin{-}Wei Xu and Kang{-}Pyng Yu}, title = {Ocean Measurement Platform}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651126}, doi = {10.1109/ISPACS51563.2021.9651126}, timestamp = {Wed, 12 Jan 2022 09:10:22 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChenCXY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChiuYCC21, author = {Hung{-}Ming Chiu and Yu{-}Wei Yang and Hsin{-}Liang Chen and Jen{-}Shiun Chiang}, title = {High Dynamic Range Audio Multi-bit 2\({}^{\mbox{nd}}\) Order {DT} {DSM} Hybrid {SAR} {ADC} with One Sharing {DAC}}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651041}, doi = {10.1109/ISPACS51563.2021.9651041}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChiuYCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LuWCS21, author = {Wei Tsung Lu and Meng{-}Hsuan Wu and Yuh{-}Ming Chiu and Li Su}, editor = {Heng Tao Shen and Yueting Zhuang and John R. Smith and Yang Yang and Pablo C{\'{e}}sar and Florian Metze and Balakrishnan Prabhakaran}, title = {Actions Speak Louder than Listening: Evaluating Music Style Transfer based on Editing Experience}, booktitle = {{MM} '21: {ACM} Multimedia Conference, Virtual Event, China, October 20 - 24, 2021}, pages = {3936--3944}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474085.3475529}, doi = {10.1145/3474085.3475529}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/LuWCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/YuC21, author = {Sheng{-}Feng Yu and Wei{-}Chen Chiu}, title = {Boosting Semi-Supervised Anomaly Detection via Contrasting Synthetic Images}, booktitle = {17th International Conference on Machine Vision and Applications, {MVA} 2021, Aichi, Japan, July 25-27, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/MVA51890.2021.9511395}, doi = {10.23919/MVA51890.2021.9511395}, timestamp = {Wed, 25 Aug 2021 16:24:48 +0200}, biburl = {https://dblp.org/rec/conf/mva/YuC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pst/ChiuML21, author = {Wei{-}Yang Chiu and Weizhi Meng and Wenjuan Li}, title = {LibBlock - Towards Decentralized Library System based on Blockchain and {IPFS}}, booktitle = {18th International Conference on Privacy, Security and Trust, {PST} 2021, Auckland, New Zealand, December 13-15, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PST52912.2021.9647821}, doi = {10.1109/PST52912.2021.9647821}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pst/ChiuML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/MouSCCYS21, author = {Wei Mou and Pei{-}Hsuan Shen and Chu{-}Yun Chu and Yu{-}Cheng Chiu and Tsung{-}Hsien Yang and Ming{-}Hsiang Su}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Speech Emotion Recognition Based on {CNN+LSTM} Model}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {43--47}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.6}, timestamp = {Tue, 26 Oct 2021 14:09:04 +0200}, biburl = {https://dblp.org/rec/conf/rocling/MouSCCYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/Chiu021, author = {Wei{-}Yang Chiu and Weizhi Meng}, editor = {Chih{-}Cheng Hung and Jiman Hong and Alessio Bechini and Eunjee Song}, title = {Towards decentralized bicycle insurance system based on blockchain}, booktitle = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, pages = {249--256}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412841.3441907}, doi = {10.1145/3412841.3441907}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/Chiu021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/ChiuNHPZJPSNCW21, author = {Chung{-}Cheng Chiu and Arun Narayanan and Wei Han and Rohit Prabhavalkar and Yu Zhang and Navdeep Jaitly and Ruoming Pang and Tara N. Sainath and Patrick Nguyen and Liangliang Cao and Yonghui Wu}, title = {{RNN-T} Models Fail to Generalize to Out-of-Domain Audio: Causes and Solutions}, booktitle = {{IEEE} Spoken Language Technology Workshop, {SLT} 2021, Shenzhen, China, January 19-22, 2021}, pages = {873--880}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SLT48900.2021.9383518}, doi = {10.1109/SLT48900.2021.9383518}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slt/ChiuNHPZJPSNCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06571, author = {Ze Yang and Shenlong Wang and Sivabalan Manivasagam and Zeng Huang and Wei{-}Chiu Ma and Xinchen Yan and Ersin Yumer and Raquel Urtasun}, title = {{S3:} Neural Shape, Skeleton, and Skinning Fields for 3D Human Modeling}, journal = {CoRR}, volume = {abs/2101.06571}, year = {2021}, url = {https://arxiv.org/abs/2101.06571}, eprinttype = {arXiv}, eprint = {2101.06571}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06571.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-12765, author = {Chun{-}Chih Teng and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Domain Adaptation for Learning Generator from Paired Few-Shot Data}, journal = {CoRR}, volume = {abs/2102.12765}, year = {2021}, url = {https://arxiv.org/abs/2102.12765}, eprinttype = {arXiv}, eprint = {2102.12765}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-12765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00568, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LED2-Net: Monocular 360 Layout Estimation via Differentiable Depth Rendering}, journal = {CoRR}, volume = {abs/2104.00568}, year = {2021}, url = {https://arxiv.org/abs/2104.00568}, eprinttype = {arXiv}, eprint = {2104.00568}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13016, author = {Pei{-}Ze Chiang and Meng{-}Shiun Tsai and Hung{-}Yu Tseng and Wei{-}Sheng Lai and Wei{-}Chen Chiu}, title = {Stylizing 3D Scene via Implicit Representation and HyperNetwork}, journal = {CoRR}, volume = {abs/2105.13016}, year = {2021}, url = {https://arxiv.org/abs/2105.13016}, eprinttype = {arXiv}, eprint = {2105.13016}, timestamp = {Fri, 18 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14322, author = {Wei{-}Jan Ko and Hui{-}Yu Huang and Yu{-}Liang Kuo and Chen{-}Yi Chiu and Li{-}Heng Wang and Wei{-}Chen Chiu}, title = {{RPG:} Learning Recursive Point Cloud Generation}, journal = {CoRR}, volume = {abs/2105.14322}, year = {2021}, url = {https://arxiv.org/abs/2105.14322}, eprinttype = {arXiv}, eprint = {2105.14322}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-15367, author = {Chia{-}Hsiang Kao and Wei{-}Chen Chiu and Pin{-}Yu Chen}, title = {{MAML} is a Noisy Contrastive Learner}, journal = {CoRR}, volume = {abs/2106.15367}, year = {2021}, url = {https://arxiv.org/abs/2106.15367}, eprinttype = {arXiv}, eprint = {2106.15367}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-15367.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06209, author = {Yu{-}An Chung and Yu Zhang and Wei Han and Chung{-}Cheng Chiu and James Qin and Ruoming Pang and Yonghui Wu}, title = {W2v-BERT: Combining Contrastive Learning and Masked Language Modeling for Self-Supervised Speech Pre-Training}, journal = {CoRR}, volume = {abs/2108.06209}, year = {2021}, url = {https://arxiv.org/abs/2108.06209}, eprinttype = {arXiv}, eprint = {2108.06209}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-13226, author = {Yu Zhang and Daniel S. Park and Wei Han and James Qin and Anmol Gulati and Joel Shor and Aren Jansen and Yuanzhong Xu and Yanping Huang and Shibo Wang and Zongwei Zhou and Bo Li and Min Ma and William Chan and Jiahui Yu and Yongqiang Wang and Liangliang Cao and Khe Chai Sim and Bhuvana Ramabhadran and Tara N. Sainath and Fran{\c{c}}oise Beaufays and Zhifeng Chen and Quoc V. Le and Chung{-}Cheng Chiu and Ruoming Pang and Yonghui Wu}, title = {BigSSL: Exploring the Frontier of Large-Scale Semi-Supervised Learning for Automatic Speech Recognition}, journal = {CoRR}, volume = {abs/2109.13226}, year = {2021}, url = {https://arxiv.org/abs/2109.13226}, eprinttype = {arXiv}, eprint = {2109.13226}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-13226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12855, author = {Wei Tsung Lu and Meng{-}Hsuan Wu and Yuh{-}Ming Chiu and Li Su}, title = {Actions Speak Louder than Listening: Evaluating Music Style Transfer based on Editing Experience}, journal = {CoRR}, volume = {abs/2110.12855}, year = {2021}, url = {https://arxiv.org/abs/2110.12855}, eprinttype = {arXiv}, eprint = {2110.12855}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-00844, author = {Hsin{-}Wei Wang and Bi{-}Cheng Yan and Hsuan{-}Sheng Chiu and Yung{-}Chang Hsu and Berlin Chen}, title = {Exploring Non-Autoregressive End-To-End Neural Modeling For English Mispronunciation Detection And Diagnosis}, journal = {CoRR}, volume = {abs/2111.00844}, year = {2021}, url = {https://arxiv.org/abs/2111.00844}, eprinttype = {arXiv}, eprint = {2111.00844}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-00844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-14182, author = {Yu Hsuan Li and Tzu{-}Yin Chao and Ching{-}Chun Huang and Pin{-}Yu Chen and Wei{-}Chen Chiu}, title = {Make an Omelette with Breaking Eggs: Zero-Shot Learning for Novel Attribute Synthesis}, journal = {CoRR}, volume = {abs/2111.14182}, year = {2021}, url = {https://arxiv.org/abs/2111.14182}, eprinttype = {arXiv}, eprint = {2111.14182}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-14182.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/JiYGCZY20, author = {Shujuan Ji and Wei Yang and Shenghui Guo and Dickson K. W. Chiu and Chunjin Zhang and Xinyue Yuan}, title = {Asymmetric response aggregation heuristics for rating prediction and recommendation}, journal = {Appl. Intell.}, volume = {50}, number = {5}, pages = {1416--1436}, year = {2020}, url = {https://doi.org/10.1007/s10489-019-01594-2}, doi = {10.1007/S10489-019-01594-2}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/JiYGCZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LinCCEBASSC20, author = {Mingquan Lin and He Cui and Weifu Chen and Arna van Engelen and Marleen de Bruijne and M. Reza Azarpazhooh and Seyed Mojtaba Sohrevardi and John David Spence and Bernard Chiu}, title = {Longitudinal assessment of carotid plaque texture in three-dimensional ultrasound images based on semi-supervised graph-based dimensionality reduction and feature selection}, journal = {Comput. Biol. Medicine}, volume = {116}, pages = {103586}, year = {2020}, url = {https://doi.org/10.1016/j.compbiomed.2019.103586}, doi = {10.1016/J.COMPBIOMED.2019.103586}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/LinCCEBASSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/HuangCLLLSLCWCC20, author = {Po{-}Jung Huang and Jui{-}Huan Chang and Hou{-}Hsien Lin and Yu{-}Xuan Li and Chi{-}Ching Lee and Chung{-}Tsai Su and Yun{-}Lung Li and Ming{-}Tai Chang and Sid Weng and Wei{-}Hung Cheng and Cheng{-}Hsun Chiu and Petrus Tang}, title = {DeepVariant-on-Spark: Small-Scale Genome Analysis Using a Cloud-Based Computing Framework}, journal = {Comput. Math. Methods Medicine}, volume = {2020}, pages = {7231205:1--7231205:7}, year = {2020}, url = {https://doi.org/10.1155/2020/7231205}, doi = {10.1155/2020/7231205}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmmm/HuangCLLLSLCWCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/CazenaveCCCCDEG20, author = {Tristan Cazenave and Yen{-}Chi Chen and Guan{-}Wei Chen and Shi{-}Yu Chen and Xian{-}Dong Chiu and Julien Dehos and Maria Elsa and Qucheng Gong and Hengyuan Hu and Vasil Khalidov and Cheng{-}Ling Li and Hsin{-}I Lin and Yu{-}Jin Lin and Xavier Martinet and Vegard Mella and J{\'{e}}r{\'{e}}my Rapin and Baptiste Rozi{\`{e}}re and Gabriel Synnaeve and Fabien Teytaud and Olivier Teytaud and Shi{-}Cheng Ye and Yi{-}Jun Ye and Shi{-}Jim Yen and Sergey Zagoruyko}, title = {Polygames: Improved zero learning}, journal = {J. Int. Comput. Games Assoc.}, volume = {42}, number = {4}, pages = {244--256}, year = {2020}, url = {https://doi.org/10.3233/ICG-200157}, doi = {10.3233/ICG-200157}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icga/CazenaveCCCCDEG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijopcd/HuangLWS20, author = {Chiung Wei Huang and Jay Yu Liao and Chia{-}Sui Wang and Zhi{-}Yuan Su}, title = {An Analysis of the Performance of Synchronous Online English Tutoring}, journal = {Int. J. Online Pedagog. Course Des.}, volume = {10}, number = {2}, pages = {21--36}, year = {2020}, url = {https://doi.org/10.4018/IJOPCD.2020040102}, doi = {10.4018/IJOPCD.2020040102}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijopcd/HuangLWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChiuSPWWC20, author = {Te{-}Chuan Chiu and Yuan{-}Yao Shih and Ai{-}Chun Pang and Chieh{-}Sheng Wang and Wei Weng and Chun{-}Ting Chou}, title = {Semisupervised Distributed Learning With Non-IID Data for AIoT Service Platform}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {10}, pages = {9266--9277}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2995162}, doi = {10.1109/JIOT.2020.2995162}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChiuSPWWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005754}, doi = {10.1109/JSSC.2020.3005754}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZCSLTSHWWHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiLYLHTLCCTHWCW20, author = {Xin Si and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun}, title = {A Twin-8T {SRAM} Computation-in-Memory Unit-Macro for Multibit CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {189--202}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952773}, doi = {10.1109/JSSC.2019.2952773}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiLYLHTLCCTHWCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XueCCKCLKLLHTCC20, author = {Cheng{-}Xin Xue and Ting{-}Wei Chang and Tung{-}Cheng Chang and Hui{-}Yao Kao and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Ya{-}Chin King and Chrong Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Wei{-}Hao Chen and Meng{-}Fan Chang and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Tsung{-}Yuan Huang}, title = {Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {203--215}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951363}, doi = {10.1109/JSSC.2019.2951363}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XueCCKCLKLLHTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DehnawMCCHCP20, author = {Amare Mulatie Dehnaw and Yibeltal Chanie Manie and Ya Yu Chen and Po Han Chiu and Hung Wei Huang and Guan Wei Chen and Peng{-}Chun Peng}, title = {Design Reliable Bus Structure Distributed Fiber Bragg Grating Sensor Network Using Gated Recurrent Unit Network}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7355}, year = {2020}, url = {https://doi.org/10.3390/s20247355}, doi = {10.3390/S20247355}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/DehnawMCCHCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangMLCLCF20, author = {De{-}Ming Yang and Robeth Viktoria Manurung and Yu{-}Syuan Lin and Tai{-}Yu Chiu and Wei{-}Qun Lai and Yu{-}Fen Chang and Tsai{-}Feng Fu}, title = {Monitoring the Heavy Metal Lead Inside Living Drosophila with a FRET-Based Biosensor}, journal = {Sensors}, volume = {20}, number = {6}, pages = {1712}, year = {2020}, url = {https://doi.org/10.3390/s20061712}, doi = {10.3390/S20061712}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangMLCLCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChiuMH20, author = {Wei{-}Yu Chiu and Shri Harish Manoharan and Tai{-}Ying Huang}, title = {Weight Induced Norm Approach to Group Decision Making for Multiobjective Optimization Problems in Systems Engineering}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {2}, pages = {1580--1591}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2019.2939824}, doi = {10.1109/JSYST.2019.2939824}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChiuMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChienHCCLC20, author = {Wei Chien and Tzong{-}Tyng Hsieh and Chien{-}Ching Chiu and Yu{-}Ting Cheng and Yang{-}Han Lee and Qiang Chen}, title = {Theoretical Derivation and Optimization Verification of {BER} for Indoor {SWIPT} Environments}, journal = {Symmetry}, volume = {12}, number = {7}, pages = {1185}, year = {2020}, url = {https://doi.org/10.3390/sym12071185}, doi = {10.3390/SYM12071185}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ChienHCCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/YehLCW20, author = {Yu{-}Ying Yeh and Yen{-}Cheng Liu and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Static2Dynamic: Video Inference From a Deep Glimpse}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {4}, number = {4}, pages = {440--449}, year = {2020}, url = {https://doi.org/10.1109/TETCI.2020.2968599}, doi = {10.1109/TETCI.2020.2968599}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/YehLCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinDCSCLL20, author = {Chih{-}Lung Lin and Ming{-}Yang Deng and Wen{-}Ching Chiu and Li{-}Wei Shih and Jui{-}Hung Chang and Yu{-}Sheng Lin and Ching{-}En Lee}, title = {A Pre-Bootstrapping Method for Use in Gate Driver Circuits to Improve the Scan Pulse Delay of High-Resolution {TFT-LCD} Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {8}, pages = {7015--7024}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2940000}, doi = {10.1109/TIE.2019.2940000}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LinDCSCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LiuCCSLKC20, author = {Yu{-}Chen Liu and Kai{-}De Chen and Chen Chen and Yong{-}Long Syu and Guan{-}Wei Lin and Katherine A. Kim and Huang{-}Jen Chiu}, title = {Quarter-Turn Transformer Design and Optimization for High Power Density 1-MHz {LLC} Resonant Converter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {2}, pages = {1580--1591}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2902821}, doi = {10.1109/TIE.2019.2902821}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LiuCCSLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChiuHC20, author = {Wei{-}Yu Chiu and Jui{-}Ting Hsieh and Chia{-}Ming Chen}, title = {Pareto Optimal Demand Response Based on Energy Costs and Load Factor in Smart Grid}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {3}, pages = {1811--1822}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2928520}, doi = {10.1109/TII.2019.2928520}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChiuHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChangCL20, author = {Ray{-}I Chang and Yu{-}Hsuan Chiu and Jeng{-}Wei Lin}, title = {Two-stage classification of tuberculosis culture diagnosis using convolutional neural network with transfer learning}, journal = {J. Supercomput.}, volume = {76}, number = {11}, pages = {8641--8656}, year = {2020}, url = {https://doi.org/10.1007/s11227-020-03152-x}, doi = {10.1007/S11227-020-03152-X}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ChangCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/YongHYCHY20, author = {Seraphina Yong and Min{-}Wei Hung and Tina Chien{-}Wen Yuan and Chih{-}Chiang Chiu and Ming{-}Chyi Huang and Chuang{-}Wen You}, editor = {Matthew J. Bietz and Andrea Wiggins}, title = {Attitudes Toward Health and Communication in Depressed Older Adults}, booktitle = {Companion Publication of the 2020 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2020, Virtual Event, USA, October, 2020}, pages = {425--429}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406865.3418328}, doi = {10.1145/3406865.3418328}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/YongHYCHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChengCC20, author = {Chia{-}Chi Cheng and Hung{-}Yu Chen and Wei{-}Chen Chiu}, title = {Time Flies: Animating a Still Image With Time-Lapse Video As Reference}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {5640--5649}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Cheng\_Time\_Flies\_Animating\_a\_Still\_Image\_With\_Time-Lapse\_Video\_As\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00568}, timestamp = {Tue, 31 Aug 2021 14:00:04 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChengCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChiuXWHHHSWHSBD20, author = {Mang Tik Chiu and Xingqian Xu and Kai Wang and Jennifer A. Hobbs and Naira Hovakimyan and Thomas S. Huang and Honghui Shi and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Ivan Dozier and Wyatt Dozier and Karen Ghandilyan and David Wilson and Hyunseong Park and Jun Hee Kim and Sungho Kim and Qinghui Liu and Michael C. Kampffmeyer and Robert Jenssen and Arnt{-}B{\o}rre Salberg and Alexandre Barbosa and Rodrigo G. Trevisan and Bingchen Zhao and Shaozuo Yu and Siwei Yang and Yin Wang and Hao Sheng and Xiao Chen and Jingyi Su and Ram Rajagopal and Andrew Y. Ng and Van Thong Huynh and Soo{-}Hyung Kim and In Seop Na and Ujjwal Baid and Shubham Innani and Prasad Dutande and Bhakti Baheti and Sanjay N. Talbar and Jianyu Tang}, title = {The 1st Agriculture-Vision Challenge: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {212--218}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w5/Chiu\_The\_1st\_Agriculture-Vision\_Challenge\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChiuXWHHHSWHSBD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChiuXWHSBKKDRWT20, author = {Mang Tik Chiu and Xingqian Xu and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Hrant Khachatrian and Hovnatan Karapetyan and Ivan Dozier and Greg Rose and David Wilson and Adrian Tudor and Naira Hovakimyan and Thomas S. Huang and Honghui Shi}, title = {Agriculture-Vision: {A} Large Aerial Image Database for Agricultural Pattern Analysis}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {2825--2835}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Chiu\_Agriculture-Vision\_A\_Large\_Aerial\_Image\_Database\_for\_Agricultural\_Pattern\_Analysis\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00290}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChiuXWHSBKKDRWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiangHMXHU20, author = {Justin Liang and Namdar Homayounfar and Wei{-}Chiu Ma and Yuwen Xiong and Rui Hu and Raquel Urtasun}, title = {PolyTransform: Deep Polygon Transformer for Instance Segmentation}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {9128--9137}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Liang\_PolyTransform\_Deep\_Polygon\_Transformer\_for\_Instance\_Segmentation\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00915}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiangHMXHU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LugmayrDTABCCCC20, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2058--2076}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Lugmayr\_NTIRE\_2020\_Challenge\_on\_Real-World\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00255}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LugmayrDTABCCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangYSCT20, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {BiFuse: Monocular 360 Depth Estimation via Bi-Projection Fusion}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {459--468}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Wang\_BiFuse\_Monocular\_360\_Depth\_Estimation\_via\_Bi-Projection\_Fusion\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00054}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangYSCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/GuMMZWXSU20, author = {Jiayuan Gu and Wei{-}Chiu Ma and Sivabalan Manivasagam and Wenyuan Zeng and Zihao Wang and Yuwen Xiong and Hao Su and Raquel Urtasun}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Weakly-Supervised 3D Shape Completion in the Wild}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12350}, pages = {283--299}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58558-7\_17}, doi = {10.1007/978-3-030-58558-7\_17}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/GuMMZWXSU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/HomayounfarXLMU20, author = {Namdar Homayounfar and Yuwen Xiong and Justin Liang and Wei{-}Chiu Ma and Raquel Urtasun}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {LevelSet {R-CNN:} {A} Deep Variational Method for Instance Segmentation}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXIII}}, series = {Lecture Notes in Computer Science}, volume = {12368}, pages = {555--571}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58592-1\_33}, doi = {10.1007/978-3-030-58592-1\_33}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/HomayounfarXLMU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhangDLTLTWZHXL20, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Xiaotong Luo and Liang Chen and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. V. Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {5--40}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_1}, doi = {10.1007/978-3-030-67070-2\_1}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhangDLTLTWZHXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcs2/Chiu0J20, author = {Wei{-}Yang Chiu and Weizhi Meng and Christian Damsgaard Jensen}, editor = {Guangquan Xu and Kaitai Liang and Chunhua Su}, title = {NoPKI - a Point-to-Point Trusted Third Party Service Based on Blockchain Consensus Algorithm}, booktitle = {Frontiers in Cyber Security - Third International Conference, {FCS} 2020, Tianjin, China, November 15-17, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1286}, pages = {197--214}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-9739-8\_16}, doi = {10.1007/978-981-15-9739-8\_16}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fcs2/Chiu0J20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KotlinskiCYCC20, author = {Piotr Kotlinski and Xi{-}Jing Chang and Chih{-}Yun Yang and Wei{-}Chen Chiu and Yung{-}Ju Chang}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Gregory D. Abowd and Flora D. Salim}, title = {Using gamification to create and label photos that are challenging for computer vision and people}, booktitle = {UbiComp/ISWC '20: 2020 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2020 {ACM} International Symposium on Wearable Computers, Virtual Event, Mexico, September 12-17, 2020}, pages = {59--62}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410530.3414420}, doi = {10.1145/3410530.3414420}, timestamp = {Tue, 22 Sep 2020 15:47:56 +0200}, biburl = {https://dblp.org/rec/conf/huc/KotlinskiCYCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/HoCSH20, author = {Tsung{-}Yu Ho and Wei{-}An Chen and Ming{-}Kung Sun and Chiung{-}Ying Huang}, title = {Visualizing the Malicious of Your Network Traffic by Explained Deep Learning}, booktitle = {2020 International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2020, Fukuoka, Japan, February 19-21, 2020}, pages = {687--692}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICAIIC48513.2020.9065247}, doi = {10.1109/ICAIIC48513.2020.9065247}, timestamp = {Mon, 04 May 2020 16:51:53 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/HoCSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SainathHLNPBCLA20, author = {Tara N. Sainath and Yanzhang He and Bo Li and Arun Narayanan and Ruoming Pang and Antoine Bruguier and Shuo{-}Yiin Chang and Wei Li and Raziel Alvarez and Zhifeng Chen and Chung{-}Cheng Chiu and David Garcia and Alexander Gruenstein and Ke Hu and Anjuli Kannan and Qiao Liang and Ian McGraw and Cal Peyser and Rohit Prabhavalkar and Golan Pundak and David Rybach and Yuan Shangguan and Yash Sheth and Trevor Strohman and Mirk{\'{o}} Visontai and Yonghui Wu and Yu Zhang and Ding Zhao}, title = {A Streaming On-Device End-To-End Model Surpassing Server-Side Conventional Model Quality and Latency}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {6059--6063}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054188}, doi = {10.1109/ICASSP40776.2020.9054188}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SainathHLNPBCLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WangCHDW20, author = {Ching{-}Chen Wang and Ching{-}Te Chiu and Chao{-}Tsung Huang and Yu{-}Chun Ding and Li{-}Wei Wang}, title = {Fast and Accurate Embedded {DCNN} for Rgb-D Based Sign Language Recognition}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1568--1572}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054076}, doi = {10.1109/ICASSP40776.2020.9054076}, timestamp = {Wed, 17 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/WangCHDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeTLYC20, author = {Yi{-}Lun Lee and Min{-}Yuan Tseng and Yu{-}Cheng Luo and Dung{-}Ru Yu and Wei{-}Chen Chiu}, title = {Learning Face Recognition Unsupervisedly by Disentanglement and Self-Augmentation}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {3018--3024}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197348}, doi = {10.1109/ICRA40945.2020.9197348}, timestamp = {Mon, 28 Sep 2020 12:19:08 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeTLYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/HsiehCWCYT20, author = {Ya{-}Chu Hsieh and Chiun{-}Li Chin and Chia{-}Shin Wei and I{-}Miao Chen and Pin{-}Yu Yeh and Ru{-}Jiun Tseng}, title = {Combining VGG16, Mask {R-CNN} and Inception {V3} to identify the benign and malignant of breast microcalcification clusters}, booktitle = {2020 International Conference on Fuzzy Theory and Its Applications, iFUZZY 2020, Hsinchu, Taiwan, November 4-7, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/iFUZZY50310.2020.9297809}, doi = {10.1109/IFUZZY50310.2020.9297809}, timestamp = {Mon, 08 Feb 2021 11:28:40 +0100}, biburl = {https://dblp.org/rec/conf/ifuzzy/HsiehCWCYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChiuWL20, author = {Jih{-}Ching Chiu and Yu{-}Yi Wang and Wei{-}Yi Lin}, title = {The Brain Memory Architecture {HW/SW} Co-Design Platform with Adaptive {CNN} Algorithm}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {197--202}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00047}, doi = {10.1109/ICS51289.2020.00047}, timestamp = {Wed, 03 Mar 2021 13:05:39 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChiuWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/GulatiQCPZYHWZW20, author = {Anmol Gulati and James Qin and Chung{-}Cheng Chiu and Niki Parmar and Yu Zhang and Jiahui Yu and Wei Han and Shibo Wang and Zhengdong Zhang and Yonghui Wu and Ruoming Pang}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Conformer: Convolution-augmented Transformer for Speech Recognition}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {5036--5040}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-3015}, doi = {10.21437/INTERSPEECH.2020-3015}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/GulatiQCPZYHWZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HanZZYCQGPW20, author = {Wei Han and Zhengdong Zhang and Yu Zhang and Jiahui Yu and Chung{-}Cheng Chiu and James Qin and Anmol Gulati and Ruoming Pang and Yonghui Wu}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {ContextNet: Improving Convolutional Neural Networks for Automatic Speech Recognition with Global Context}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {3610--3614}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-2059}, doi = {10.21437/INTERSPEECH.2020-2059}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/HanZZYCQGPW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ParkZJHCLWL20, author = {Daniel S. Park and Yu Zhang and Ye Jia and Wei Han and Chung{-}Cheng Chiu and Bo Li and Yonghui Wu and Quoc V. Le}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Improved Noisy Student Training for Automatic Speech Recognition}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {2817--2821}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1470}, doi = {10.21437/INTERSPEECH.2020-1470}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/ParkZJHCLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangGYTWLW20, author = {Chiu{-}Hua Huang and Jia{-}Wei Guo and Yu{-}Chia Yang and Pei{-}Yun Tsai and An{-}Yeu Andy Wu and Hung{-}Ju Lin and Tzung{-}Dau Wang}, title = {Weighted Pulse Decomposition Analysis of Fingertip Photoplethysmogram Signals for Blood Pressure Assessment}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180616}, doi = {10.1109/ISCAS45731.2020.9180616}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangGYTWLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsaiYYCWHCWHHCL20, author = {Ming{-}Da Tsai and Song{-}Yu Yang and Chi{-}Yao Yu and Ping{-}Yu Chen and Tzung{-}Han Wu and Mohammed Hassan and Chi{-}Tsan Chen and Chao{-}Wei Wang and Yen{-}Chuan Huang and Li{-}Han Hung and Wei{-}Hao Chiu and Anson Lin and Bo{-}Yu Lin and Arnaud Werquin and Chien{-}Cheng Lin and Yen{-}Horng Chen and Jen{-}Che Tsai and Yuan{-}Yu Fu and Bernard Tenbroek and Chinq{-}Shiun Chiu and Yi{-}Bin Lee and Guang{-}Kaai Dehng}, title = {10.3 {A} 12nm {CMOS} {RF} Transceiver Supporting 4G/5G {UL} {MIMO}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {176--178}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063012}, doi = {10.1109/ISSCC19947.2020.9063012}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TsaiYYCWHCWHHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msie/LinHCC20, author = {Tzu{-}Yu Lin and Weihua Huang and Hong Chen and Sheng{-}Hsiung Chiu}, title = {Modeling Regional Innovative System Performance in China Using {A} Dynamic Two-Stage {SBM} Model}, booktitle = {{MSIE} 2020: 2nd International Conference on Management Science and Industrial Engineering, Osaka, Japan, April, 2020}, pages = {54--59}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3396743.3396773}, doi = {10.1145/3396743.3396773}, timestamp = {Thu, 31 Aug 2023 17:43:43 +0200}, biburl = {https://dblp.org/rec/conf/msie/LinHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaccs/ChiuM020, author = {Wei{-}Yang Chiu and Weizhi Meng and Wenjuan Li}, editor = {Guojun Wang and Bing Chen and Wei Li and Roberto Di Pietro and Xuefeng Yan and Hao Han}, title = {I Can Think Like You! Towards Reaction Spoofing Attack on Brainwave-Based Authentication}, booktitle = {Security, Privacy, and Anonymity in Computation, Communication, and Storage - 13th International Conference, SpaCCS 2020, Nanjing, China, December 18-20, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12382}, pages = {251--265}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68851-6\_18}, doi = {10.1007/978-3-030-68851-6\_18}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaccs/ChiuM020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/FanLC0L20, author = {Tsai{-}Jyun Fan and Chien{-}Yu Lu and Wei{-}Chen Chiu and Li Su and Che{-}Rung Lee}, title = {Timbre-enhanced Multi-modal Music Style Transfer with Domain Balance Loss}, booktitle = {International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2020, Taipei, Taiwan, December 3-5, 2020}, pages = {102--107}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/TAAI51410.2020.00027}, doi = {10.1109/TAAI51410.2020.00027}, timestamp = {Mon, 12 Apr 2021 12:34:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/FanLC0L20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WangYCYC20, author = {Chiu{-}Hsuan Wang and Seraphina Yong and Hsin{-}Yu Chen and Yuan{-}Syun Ye and Liwei Chan}, editor = {Shamsi T. Iqbal and Karon E. MacLean and Fanny Chevalier and Stefanie Mueller}, title = {{HMD} Light: Sharing In-VR Experience via Head-Mounted Projector for Asymmetric Interaction}, booktitle = {{UIST} '20: The 33rd Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 20-23, 2020}, pages = {472--486}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379337.3415847}, doi = {10.1145/3379337.3415847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/WangYCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiYYCWHCWHHCL20, author = {Ming{-}Da Tsai and Song{-}Yu Yang and Chi{-}Yao Yu and Ping{-}Yu Chen and Tzung{-}Han Wu and Mohammed Hassan and Chi{-}Tsan Chen and Chao{-}Wei Wang and Yen{-}Chuan Huang and Li{-}Han Huang and Wei{-}Hao Chiu and Anson Lin and Bo{-}Yu Lin and Arnaud Werquin and Chien{-}Cheng Lin and Yen{-}Horng Chen and Jen{-}Che Tsai and Yuan{-}Yu Fu and Bernard Tenbroek and Chinq{-}Shiun Chiu and Yi{-}Bin Lee and Guang{-}Kaai Dehng}, title = {{RFIC} and {RF} Module for 5G Applications}, booktitle = {2020 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196407}, doi = {10.1109/VLSI-DAT49148.2020.9196407}, timestamp = {Tue, 29 Sep 2020 11:35:15 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiYYCWHCWHHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChenFCC20, author = {Hung{-}Yu Chen and I{-}Sheng Fang and Chia{-}Ming Cheng and Wei{-}Chen Chiu}, title = {Self-Contained Stylization via Steganography for Reverse and Serial Style Transfer}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2020, Snowmass Village, CO, USA, March 1-5, 2020}, pages = {2152--2160}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WACV45572.2020.9093489}, doi = {10.1109/WACV45572.2020.9093489}, timestamp = {Mon, 18 May 2020 20:02:10 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ChenFCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-01306, author = {Mang Tik Chiu and Xingqian Xu and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Hrant Khachatrian and Hovnatan Karapetyan and Ivan Dozier and Greg Rose and David Wilson and Adrian Tudor and Naira Hovakimyan and Thomas S. Huang and Honghui Shi}, title = {Agriculture-Vision: {A} Large Aerial Image Database for Agricultural Pattern Analysis}, journal = {CoRR}, volume = {abs/2001.01306}, year = {2020}, url = {http://arxiv.org/abs/2001.01306}, eprinttype = {arXiv}, eprint = {2001.01306}, timestamp = {Fri, 28 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-01306.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-08450, author = {Yu{-}Tung Liu and Tzi{-}Dar Chiueh}, title = {Low-Complexity {LSTM} Training and Inference with FloatSD8 Weight Representation}, journal = {CoRR}, volume = {abs/2001.08450}, year = {2020}, url = {https://arxiv.org/abs/2001.08450}, eprinttype = {arXiv}, eprint = {2001.08450}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-08450.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-09832, author = {Tristan Cazenave and Yen{-}Chi Chen and Guan{-}Wei Chen and Shi{-}Yu Chen and Xian{-}Dong Chiu and Julien Dehos and Maria Elsa and Qucheng Gong and Hengyuan Hu and Vasil Khalidov and Cheng{-}Ling Li and Hsin{-}I Lin and Yu{-}Jin Lin and Xavier Martinet and Vegard Mella and J{\'{e}}r{\'{e}}my Rapin and Baptiste Rozi{\`{e}}re and Gabriel Synnaeve and Fabien Teytaud and Olivier Teytaud and Shi{-}Cheng Ye and Yi{-}Jun Ye and Shi{-}Jim Yen and Sergey Zagoruyko}, title = {Polygames: Improved Zero Learning}, journal = {CoRR}, volume = {abs/2001.09832}, year = {2020}, url = {https://arxiv.org/abs/2001.09832}, eprinttype = {arXiv}, eprint = {2001.09832}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-09832.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-12710, author = {Tara N. Sainath and Yanzhang He and Bo Li and Arun Narayanan and Ruoming Pang and Antoine Bruguier and Shuo{-}Yiin Chang and Wei Li and Raziel Alvarez and Zhifeng Chen and Chung{-}Cheng Chiu and David Garcia and Alexander Gruenstein and Ke Hu and Minho Jin and Anjuli Kannan and Qiao Liang and Ian McGraw and Cal Peyser and Rohit Prabhavalkar and Golan Pundak and David Rybach and Yuan Shangguan and Yash Sheth and Trevor Strohman and Mirk{\'{o}} Visontai and Yonghui Wu and Yu Zhang and Ding Zhao}, title = {A Streaming On-Device End-to-End Model Surpassing Server-Side Conventional Model Quality and Latency}, journal = {CoRR}, volume = {abs/2003.12710}, year = {2020}, url = {https://arxiv.org/abs/2003.12710}, eprinttype = {arXiv}, eprint = {2003.12710}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-12710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-13516, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LayoutMP3D: Layout Annotation of Matterport3D}, journal = {CoRR}, volume = {abs/2003.13516}, year = {2020}, url = {https://arxiv.org/abs/2003.13516}, eprinttype = {arXiv}, eprint = {2003.13516}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-13516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-09754, author = {Mang Tik Chiu and Xingqian Xu and Kai Wang and Jennifer A. Hobbs and Naira Hovakimyan and Thomas S. Huang and Honghui Shi and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Ivan Dozier and Wyatt Dozier and Karen Ghandilyan and David Wilson and Hyunseong Park and Jun Hee Kim and Sungho Kim and Qinghui Liu and Michael C. Kampffmeyer and Robert Jenssen and Arnt{-}B{\o}rre Salberg and Alexandre Barbosa and Rodrigo G. Trevisan and Bingchen Zhao and Shaozuo Yu and Siwei Yang and Yin Wang and Hao Sheng and Xiao Chen and Jingyi Su and Ram Rajagopal and Andrew Y. Ng and Van Thong Huynh and Soo{-}Hyung Kim and In Seop Na and Ujjwal Baid and Shubham Innani and Prasad Dutande and Bhakti Baheti and Sanjay N. Talbar and Jianyu Tang}, title = {The 1st Agriculture-Vision Challenge: Methods and Results}, journal = {CoRR}, volume = {abs/2004.09754}, year = {2020}, url = {https://arxiv.org/abs/2004.09754}, eprinttype = {arXiv}, eprint = {2004.09754}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-09754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01996, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01996}, year = {2020}, url = {https://arxiv.org/abs/2005.01996}, eprinttype = {arXiv}, eprint = {2005.01996}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03191, author = {Wei Han and Zhengdong Zhang and Yu Zhang and Jiahui Yu and Chung{-}Cheng Chiu and James Qin and Anmol Gulati and Ruoming Pang and Yonghui Wu}, title = {ContextNet: Improving Convolutional Neural Networks for Automatic Speech Recognition with Global Context}, journal = {CoRR}, volume = {abs/2005.03191}, year = {2020}, url = {https://arxiv.org/abs/2005.03191}, eprinttype = {arXiv}, eprint = {2005.03191}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03271, author = {Chung{-}Cheng Chiu and Arun Narayanan and Wei Han and Rohit Prabhavalkar and Yu Zhang and Navdeep Jaitly and Ruoming Pang and Tara N. Sainath and Patrick Nguyen and Liangliang Cao and Yonghui Wu}, title = {{RNN-T} Models Fail to Generalize to Out-of-Domain Audio: Causes and Solutions}, journal = {CoRR}, volume = {abs/2005.03271}, year = {2020}, url = {https://arxiv.org/abs/2005.03271}, eprinttype = {arXiv}, eprint = {2005.03271}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03271.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-08100, author = {Anmol Gulati and James Qin and Chung{-}Cheng Chiu and Niki Parmar and Yu Zhang and Jiahui Yu and Wei Han and Shibo Wang and Zhengdong Zhang and Yonghui Wu and Ruoming Pang}, title = {Conformer: Convolution-augmented Transformer for Speech Recognition}, journal = {CoRR}, volume = {abs/2005.08100}, year = {2020}, url = {https://arxiv.org/abs/2005.08100}, eprinttype = {arXiv}, eprint = {2005.08100}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-08100.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-09629, author = {Daniel S. Park and Yu Zhang and Ye Jia and Wei Han and Chung{-}Cheng Chiu and Bo Li and Yonghui Wu and Quoc V. Le}, title = {Improved Noisy Student Training for Automatic Speech Recognition}, journal = {CoRR}, volume = {abs/2005.09629}, year = {2020}, url = {https://arxiv.org/abs/2005.09629}, eprinttype = {arXiv}, eprint = {2005.09629}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-09629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-07196, author = {Hung{-}yi Lee and Cheng{-}Hao Ho and Chien{-}Fu Lin and Chiung{-}Chih Chang and Chih{-}Wei Lee and Yau{-}Shian Wang and Tsung{-}Yuan Hsu and Kuan{-}Yu Chen}, title = {Investigation of Sentiment Controllable Chatbot}, journal = {CoRR}, volume = {abs/2007.07196}, year = {2020}, url = {https://arxiv.org/abs/2007.07196}, eprinttype = {arXiv}, eprint = {2007.07196}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-07196.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-15629, author = {Namdar Homayounfar and Yuwen Xiong and Justin Liang and Wei{-}Chiu Ma and Raquel Urtasun}, title = {LevelSet {R-CNN:} {A} Deep Variational Method for Instance Segmentation}, journal = {CoRR}, volume = {abs/2007.15629}, year = {2020}, url = {https://arxiv.org/abs/2007.15629}, eprinttype = {arXiv}, eprint = {2007.15629}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-15629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-09110, author = {Jiayuan Gu and Wei{-}Chiu Ma and Sivabalan Manivasagam and Wenyuan Zeng and Zihao Wang and Yuwen Xiong and Hao Su and Raquel Urtasun}, title = {Weakly-supervised 3D Shape Completion in the Wild}, journal = {CoRR}, volume = {abs/2008.09110}, year = {2020}, url = {https://arxiv.org/abs/2008.09110}, eprinttype = {arXiv}, eprint = {2008.09110}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-09110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06943, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Liang Chen and Xiaotong Luo and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. Victor Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.06943}, year = {2020}, url = {https://arxiv.org/abs/2009.06943}, eprinttype = {arXiv}, eprint = {2009.06943}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06030, author = {Jiahui Yu and Wei Han and Anmol Gulati and Chung{-}Cheng Chiu and Bo Li and Tara N. Sainath and Yonghui Wu and Ruoming Pang}, title = {Universal {ASR:} Unify and Improve Streaming {ASR} with Full-context Modeling}, journal = {CoRR}, volume = {abs/2010.06030}, year = {2020}, url = {https://arxiv.org/abs/2010.06030}, eprinttype = {arXiv}, eprint = {2010.06030}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06030.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10504, author = {Yu Zhang and James Qin and Daniel S. Park and Wei Han and Chung{-}Cheng Chiu and Ruoming Pang and Quoc V. Le and Yonghui Wu}, title = {Pushing the Limits of Semi-Supervised Learning for Automatic Speech Recognition}, journal = {CoRR}, volume = {abs/2010.10504}, year = {2020}, url = {https://arxiv.org/abs/2010.10504}, eprinttype = {arXiv}, eprint = {2010.10504}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11148, author = {Jiahui Yu and Chung{-}Cheng Chiu and Bo Li and Shuo{-}Yiin Chang and Tara N. Sainath and Yanzhang He and Arun Narayanan and Wei Han and Anmol Gulati and Yonghui Wu and Ruoming Pang}, title = {FastEmit: Low-latency Streaming {ASR} with Sequence-level Emission Regularization}, journal = {CoRR}, volume = {abs/2010.11148}, year = {2020}, url = {https://arxiv.org/abs/2010.11148}, eprinttype = {arXiv}, eprint = {2010.11148}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12096, author = {Thibault Doutre and Wei Han and Min Ma and Zhiyun Lu and Chung{-}Cheng Chiu and Ruoming Pang and Arun Narayanan and Ananya Misra and Yu Zhang and Liangliang Cao}, title = {Improving Streaming Automatic Speech Recognition With Non-Streaming Model Distillation On Unsupervised Data}, journal = {CoRR}, volume = {abs/2010.12096}, year = {2020}, url = {https://arxiv.org/abs/2010.12096}, eprinttype = {arXiv}, eprint = {2010.12096}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12096.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10798, author = {Bo Li and Anmol Gulati and Jiahui Yu and Tara N. Sainath and Chung{-}Cheng Chiu and Arun Narayanan and Shuo{-}Yiin Chang and Ruoming Pang and Yanzhang He and James Qin and Wei Han and Qiao Liang and Yu Zhang and Trevor Strohman and Yonghui Wu}, title = {A Better and Faster End-to-End Model for Streaming {ASR}}, journal = {CoRR}, volume = {abs/2011.10798}, year = {2020}, url = {https://arxiv.org/abs/2011.10798}, eprinttype = {arXiv}, eprint = {2011.10798}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-12377, author = {Namdar Homayounfar and Wei{-}Chiu Ma and Justin Liang and Xinyu Wu and Jack Fan and Raquel Urtasun}, title = {DAGMapper: Learning to Map by Discovering Lane Topology}, journal = {CoRR}, volume = {abs/2012.12377}, year = {2020}, url = {https://arxiv.org/abs/2012.12377}, eprinttype = {arXiv}, eprint = {2012.12377}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-12377.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/DuCWTCCPTWL19, author = {Di Du and Chia{-}Hua Chang and Yumeng Wang and Pan Tong and Wai Kin Chan and Yulun Chiu and Bo Peng and Lin Tan and John N. Weinstein and Philip L. Lorenzi}, title = {Response envelope analysis for quantitative evaluation of drug combinations}, journal = {Bioinform.}, volume = {35}, number = {19}, pages = {3761--3770}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/btz091}, doi = {10.1093/BIOINFORMATICS/BTZ091}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/DuCWTCCPTWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeHYCCCT19, author = {Chi{-}Ching Lee and Po{-}Jung Huang and Yuan{-}Ming Yeh and Sin{-}You Chen and Cheng{-}Hsun Chiu and Wei{-}Hung Cheng and Petrus Tang}, title = {Pathogenic Protist Transmembranome database (PPTdb): a web-based platform for searching and analysis of protist transmembrane proteins}, journal = {{BMC} Bioinform.}, volume = {20-S}, number = {13}, pages = {382:1--382:10}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2857-7}, doi = {10.1186/S12859-019-2857-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeHYCCCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/WangZWCYW19, author = {Dujuan Wang and Jiaqi Zhu and Xiaowen Wei and T. C. E. Cheng and Yunqiang Yin and Yanzhang Wang}, title = {Integrated production and multiple trips vehicle routing with time windows and uncertain travel times}, journal = {Comput. Oper. Res.}, volume = {103}, pages = {1--12}, year = {2019}, url = {https://doi.org/10.1016/j.cor.2018.10.011}, doi = {10.1016/J.COR.2018.10.011}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/WangZWCYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenLLCLNCLWCY19, author = {Wen{-}Liang Chen and Yi{-}Bing Lin and Yun{-}Wei Lin and Robert Chen and Jyun{-}Kai Liao and Fung{-}Ling Ng and Yuan{-}Yao Chan and You{-}Cheng Liu and Chin{-}Cheng Wang and Cheng{-}Hsun Chiu and Tai{-}Hsiang Yen}, title = {AgriTalk: IoT for Precision Soil Farming of Turmeric Cultivation}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {3}, pages = {5209--5223}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2899128}, doi = {10.1109/JIOT.2019.2899128}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChenLLCLNCLWCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WeiOSCK19, author = {Yue Wei and Yuka O. Okazaki and Richard Hau Yue So and Winnie C. W. Chu and Keiichi Kitajo}, title = {Motion sickness-susceptible participants exposed to coherent rotating dot patterns show excessive {N2} amplitudes and impaired theta-band phase synchronization}, journal = {NeuroImage}, volume = {202}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.116028}, doi = {10.1016/J.NEUROIMAGE.2019.116028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WeiOSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/ChiuFLC19, author = {Chao{-}Min Chiu and Chia{-}Yun Fu and Wei{-}Yu Lin and Chieh{-}Fan Chen}, title = {The central roles of embeddedness and engagement in virtual communities}, journal = {Online Inf. Rev.}, volume = {43}, number = {4}, pages = {531--550}, year = {2019}, url = {https://doi.org/10.1108/OIR-10-2017-0304}, doi = {10.1108/OIR-10-2017-0304}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/ChiuFLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiangKCWARLLC19, author = {Wei{-}Yuan Chiang and Chia{-}Hao Ku and Chiung{-}An Chen and Le{-}Yu Wang and Patricia Angela R. Abu and Pei{-}Zong Rao and Chih{-}Kai Liu and Chao{-}Hsiang Liao and Shih{-}Lun Chen}, title = {A Power-Efficient Multiband Planar {USB} Dongle Antenna for Wireless Sensor Networks}, journal = {Sensors}, volume = {19}, number = {11}, pages = {2568}, year = {2019}, url = {https://doi.org/10.3390/s19112568}, doi = {10.3390/S19112568}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiangKCWARLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuLWTGLW19, author = {Sheng{-}Po Chiu and Yu{-}Wei Lee and Ling{-}Yi Wu and Tse{-}Hua Tung and Sofia Gomez and Chun{-}Min Lo and Jia{-}Yi Wang}, title = {Application of {ECIS} to Assess FCCP-Induced Changes of {MSC} Micromotion and Wound Healing Migration}, journal = {Sensors}, volume = {19}, number = {14}, pages = {3210}, year = {2019}, url = {https://doi.org/10.3390/s19143210}, doi = {10.3390/S19143210}, timestamp = {Sat, 15 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiuLWTGLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LaiCCLYLLCC19, author = {Yu{-}Chi Lai and Chia{-}Hsing Chiu and Zhong{-}Qi Cai and Jin{-}Yang Lin and Chih{-}Yuan Yao and Dong{-}Yuan Lyu and Shyh{-}Yuan Lee and Kuo{-}Wei Chen and I{-}Yu Chen}, title = {OCT-Based Periodontal Inspection Framework}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5496}, year = {2019}, url = {https://doi.org/10.3390/s19245496}, doi = {10.3390/S19245496}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LaiCCLYLLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChangCSC19, author = {Hsuan{-}Hao Chang and Wei{-}Yu Chiu and Hongjian Sun and Chia{-}Ming Chen}, title = {User-Centric Multiobjective Approach to Privacy Preservation and Energy Cost Minimization in Smart Home}, journal = {{IEEE} Syst. J.}, volume = {13}, number = {1}, pages = {1030--1041}, year = {2019}, url = {https://doi.org/10.1109/JSYST.2018.2876345}, doi = {10.1109/JSYST.2018.2876345}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChangCSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/LinCSKKLW19, author = {Chin{-}Teng Lin and Ching{-}Yu Chiu and Avinash Kumar Singh and Jung{-}Tai King and Li{-}Wei Ko and Yun{-}Chen Lu and Yu{-}Kai Wang}, title = {A Wireless Multifunctional SSVEP-Based Brain-Computer Interface Assistive System}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {11}, number = {3}, pages = {375--383}, year = {2019}, url = {https://doi.org/10.1109/TCDS.2018.2820153}, doi = {10.1109/TCDS.2018.2820153}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamd/LinCSKKLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShiXCCYTJD19, author = {Weiwei Shi and Yuan Xu and Chiu{-}Sing Choy and Zhiyong Chen and Junwei Yang and Robert K. F. Teng and Mei Jiang and X. Deng}, title = {A 0.4 {V} 298 nJ/op Neural Signal Spectral Feature Extraction Module With Novel Approximate MACs and Custom Compressors}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {10}, pages = {1733--1737}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2019.2930576}, doi = {10.1109/TCSII.2019.2930576}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ShiXCCYTJD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/ChiuSWV19, author = {Wei{-}Yu Chiu and Hongjian Sun and Chao Wang and Athanasios V. Vasilakos}, title = {Guest Editorial: Special Issue on Computational Intelligence for Smart Energy Applications to Smart Cities}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {3}, number = {3}, pages = {173--176}, year = {2019}, url = {https://doi.org/10.1109/TETCI.2019.2911783}, doi = {10.1109/TETCI.2019.2911783}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/ChiuSWV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/YuCH19, author = {Gwo{-}Ruey Yu and Yu{-}Shan Chiu and Lun{-}Wei Huang}, title = {Polynomial Fuzzy Control of an Underactuated Robot Using Sum of Squares}, booktitle = {12th Asian Control Conference, {ASCC} 2019, Kitakyushu-shi, Japan, June 9-12, 2019}, pages = {895--900}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8765013}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/YuCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ChiuKPCSWHZPKNN19, author = {Chung{-}Cheng Chiu and Anjuli Kannan and Rohit Prabhavalkar and Zhifeng Chen and Tara N. Sainath and Yonghui Wu and Wei Han and Yu Zhang and Ruoming Pang and Sergey Kishchenko and Patrick Nguyen and Arun Narayanan and Hank Liao and Shuyuan Zhang}, title = {A Comparison of End-to-End Models for Long-Form Speech Recognition}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {889--896}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9003854}, doi = {10.1109/ASRU46091.2019.9003854}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asru/ChiuKPCSWHZPKNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ZhangCSTSHWWCHS19, author = {Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Yen{-}Cheng Chiu and Je{-}Min Hong and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 55nm 1-to-8 bit Configurable 6T {SRAM} based Computing-in-Memory Unit-Macro for CNN-based {AI} Edge Processors}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {217--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056933}, doi = {10.1109/A-SSCC47793.2019.9056933}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ZhangCSTSHWWCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ChenCTWC19, author = {Yen{-}Chung Chen and Keng{-}Jui Chang and Yi{-}Hsuan Tsai and Yu{-}Chiang Frank Wang and Wei{-}Chen Chiu}, title = {Guide Your Eyes: Learning Image Manipulation under Saliency Guidance}, booktitle = {30th British Machine Vision Conference 2019, {BMVC} 2019, Cardiff, UK, September 9-12, 2019}, pages = {197}, publisher = {{BMVA} Press}, year = {2019}, url = {https://bmvc2019.org/wp-content/uploads/papers/0160-paper.pdf}, timestamp = {Wed, 03 Feb 2021 08:35:59 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/ChenCTWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/MaWHXU19, author = {Wei{-}Chiu Ma and Shenlong Wang and Rui Hu and Yuwen Xiong and Raquel Urtasun}, title = {Deep Rigid Instance Scene Flow}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {3614--3622}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Ma\_Deep\_Rigid\_Instance\_Scene\_Flow\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00373}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/MaWHXU19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/YangCFLFCY19, author = {Zhichao Yang and Pengshan Cai and Yansong Feng and Fei Li and Weijiang Feng and Elena Suet{-}Ying Chiu and Hong Yu}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {Generating Classical Chinese Poems from Vernacular Chinese}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {6154--6163}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1637}, doi = {10.18653/V1/D19-1637}, timestamp = {Thu, 07 Apr 2022 09:14:07 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/YangCFLFCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChangCCCCC19, author = {Yao{-}Chung Chang and Yung{-}Chiao Chen and Tsung{-}Hau Chen and Jiann{-}Liang Chen and Shih{-}Ping Chiu and Wei{-}Hsiu Chang}, title = {Software-Defined Dynamic Bandwidth Management}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {201--205}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8702052}, doi = {10.23919/ICACT.2019.8702052}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChangCCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/JiaJMWCCALW19, author = {Ye Jia and Melvin Johnson and Wolfgang Macherey and Ron J. Weiss and Yuan Cao and Chung{-}Cheng Chiu and Naveen Ari and Stella Laurenzo and Yonghui Wu}, title = {Leveraging Weakly Supervised Data to Improve End-to-end Speech-to-text Translation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {7180--7184}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683343}, doi = {10.1109/ICASSP.2019.8683343}, timestamp = {Thu, 14 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/JiaJMWCCALW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiaoYLCKCW19, author = {Yi{-}Lun Liao and Yao{-}Cheng Yang and Yuan{-}Fang Lin and Pin{-}Jung Chen and Chia{-}Wen Kuo and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Learning Pose-aware 3D Reconstruction via 2D-3D Self-consistency}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {3857--3861}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682813}, doi = {10.1109/ICASSP.2019.8682813}, timestamp = {Sun, 30 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LiaoYLCKCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeW19, author = {Yu{-}Wei Lee and Ko{-}Chiu Wu}, title = {Game-based Learning and Augmented Reality Navigation {APP} for Children's Libraries}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991765}, doi = {10.1109/ICCE-TW46550.2019.8991765}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HomayounfarLMFW19, author = {Namdar Homayounfar and Justin Liang and Wei{-}Chiu Ma and Jack Fan and Xinyu Wu and Raquel Urtasun}, title = {DAGMapper: Learning to Map by Discovering Lane Topology}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {2911--2920}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00300}, doi = {10.1109/ICCV.2019.00300}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HomayounfarLMFW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuTCW19, author = {Chung{-}Ming Yu and Ming{-}Ke Tsai and Heng{-}Yu Chiu and Chia{-}Ling Wei}, title = {Single-Battery-Powered {CMOS/MEMS} Respiration Detection Monolithic Chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702291}, doi = {10.1109/ISCAS.2019.8702291}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuTCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiCTHWCWWSLYLHT19, author = {Xin Si and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang}, title = {A Twin-8T {SRAM} Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {396--398}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662392}, doi = {10.1109/ISSCC.2019.8662392}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiCTHWCWWSLYLHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueCLLLLWWCCHKW19, author = {Cheng{-}Xin Xue and Wei{-}Hao Chen and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Ting{-}Wei Chang and Tung{-}Cheng Chang and Tsung{-}Yuan Huang and Hui{-}Yao Kao and Shih{-}Ying Wei and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Chung{-}Chuan Lo and Ya{-}Chin King and Chorng{-}Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel {MAC} Computing Time for {CNN} Based {AI} Edge Processors}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {388--390}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662395}, doi = {10.1109/ISSCC.2019.8662395}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueCLLLLWWCCHKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipro/YangKLL19, author = {Chuan{-}Kai Yang and Chiun{-}How Kao and Yuan{-}Cheng Lai and Nai{-}Wei Lo}, editor = {Marko Koricic and Zeljko Butkovic and Karolj Skala and Zeljka Car and Marina Cicin{-}Sain and Snjezana Babic and Vlado Sruk and Dejan Skvorc and Slobodan Ribaric and Stjepan Gros and Boris Vrdoljak and Mladen Mauher and Edvard Tijan and Predrag Pale and Darko Huljenic and Tihana Galinac Grbac and Matej Janjic}, title = {Privacy-Preserving Encryption-Domain Video Retrieval over the Cloud via Block Transformations of Key Frames}, booktitle = {42nd International Convention on Information and Communication Technology, Electronics and Microelectronics, {MIPRO} 2019, Opatija, Croatia, May 20-24, 2019}, pages = {1150--1153}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/MIPRO.2019.8756798}, doi = {10.23919/MIPRO.2019.8756798}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mipro/YangKLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/WangCGMLLLJ19, author = {Weili Wang and Yihsiang Chiu and Dan Gong and Shenglin Ma and Wen Lei and Hungping Lee and Huguang Liu and Yufeng Jin}, title = {Effect of Shared Cavity on Electromechanical Performance of Piezoelectric Based Micro-machined Ultrasonic Transducer Array}, booktitle = {14th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2019, Bangkok, Thailand, April 11-14, 2019}, pages = {482--487}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NEMS.2019.8915657}, doi = {10.1109/NEMS.2019.8915657}, timestamp = {Mon, 09 Dec 2019 19:11:08 +0100}, biburl = {https://dblp.org/rec/conf/nems/WangCGMLLLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/FangCCCCCLC19, author = {Yi{-}Hsin Fang and Jyun{-}Ye Chu and Rih{-}You Chen and Kuan{-}Yu Chen and Yang{-}Jeng Chen and Bo{-}Hong Chen and Wei Lin and Yi{-}Jen Chiu}, title = {High-speed High-saturation-power modulation through a 1560nm long-cavity Directly Modulated {DFB} Laser}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817797}, doi = {10.23919/PS.2019.8817797}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/FangCCCCCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/WangCHWLCH19, author = {Yen{-}Chieh Wang and Rih{-}You Chen and Ping{-}Feng Hsieh and Yung{-}Sheng Wang and Wei Lin and Yi{-}Jen Chiu and Yung{-}Jr Hung}, title = {Distributed feedback lasers with two-layer phase-shifted moir{\'{e}} gratings}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817979}, doi = {10.23919/PS.2019.8817979}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ps/WangCHWLCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sice/ManoharanC19, author = {Shri Harish Manoharan and Wei{-}Yu Chiu}, title = {Consensus Based Formation Control of Automated Guided Vehicles Using Dynamic Destination Approach}, booktitle = {58th Annual Conference of the Society of Instrument and Control Engineers of Japan, {SICE} 2019, Hiroshima, Japan, September 10-13, 2019}, pages = {902--907}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/SICE.2019.8859814}, doi = {10.23919/SICE.2019.8859814}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/sice/ManoharanC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/LinCLC19, author = {Hsuan{-}Yu Lin and Yu{-}Hsuan Chiu and Wei{-}Chieh Liao and Ray{-}I Chang}, title = {Service-Oriented Architecture for Intelligent Management with Data Analytics and Visualization}, booktitle = {12th {IEEE} Conference on Service-Oriented Computing and Applications, {SOCA} 2019, Kaohsiung, Taiwan, November 18-21, 2019}, pages = {73--78}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCA.2019.00018}, doi = {10.1109/SOCA.2019.00018}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/LinCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TangWYHCXKWHLLH19, author = {Kea{-}Tiong Tang and Wei{-}Chen Wei and Zuo{-}Wei Yeh and Tzu{-}Hsiang Hsu and Yen{-}Cheng Chiu and Cheng{-}Xin Xue and Yu{-}Chun Kuo and Tai{-}Hsing Wen and Mon{-}Shu Ho and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang}, title = {Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778074}, doi = {10.23919/VLSIC.2019.8778074}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TangWYHCXKWHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/WangHYBC19, author = {Chiu{-}Hsuan Wang and Chen{-}Yuan Hsieh and Neng{-}Hao Yu and Andrea Bianchi and Liwei Chan}, title = {HapticSphere: Physical Support To Enable Precision Touch Interaction in Mobile Mixed-Reality}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2019, Osaka, Japan, March 23-27, 2019}, pages = {331--339}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VR.2019.8798255}, doi = {10.1109/VR.2019.8798255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/WangHYBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/TsueiCPC19, author = {Mengping Tsuei and Jen{-}I Chiu and Tsu{-}Wei Peng and Yuan{-}Chen Chang}, editor = {Tomas Trescak and Simeon Simoff and Deborah Richards and Anton Bogdanovych and Thierry Duval and Torsten W. Kuhlen and Huyen Nguyen and Shigeo Morishima and Yuichi Itoh and Richard Skarbez and Martin Masek}, title = {Preliminary Evaluation of the Usability of a Virtual Reality Game for Mudslide Education for Children}, booktitle = {25th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2019, Parramatta, NSW, Australia, November 12-15, 2019}, pages = {85:1--85:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3359996.3364710}, doi = {10.1145/3359996.3364710}, timestamp = {Mon, 19 Dec 2022 22:09:31 +0100}, biburl = {https://dblp.org/rec/conf/vrst/TsueiCPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-08295, author = {Jonathan Shen and Patrick Nguyen and Yonghui Wu and Zhifeng Chen and Mia Xu Chen and Ye Jia and Anjuli Kannan and Tara N. Sainath and Yuan Cao and Chung{-}Cheng Chiu and Yanzhang He and Jan Chorowski and Smit Hinsu and Stella Laurenzo and James Qin and Orhan Firat and Wolfgang Macherey and Suyog Gupta and Ankur Bapna and Shuyuan Zhang and Ruoming Pang and Ron J. Weiss and Rohit Prabhavalkar and Qiao Liang and Benoit Jacob and Bowen Liang and HyoukJoong Lee and Ciprian Chelba and S{\'{e}}bastien Jean and Bo Li and Melvin Johnson and Rohan Anil and Rajat Tibrewal and Xiaobing Liu and Akiko Eriguchi and Navdeep Jaitly and Naveen Ari and Colin Cherry and Parisa Haghani and Otavio Good and Youlong Cheng and Raziel Alvarez and Isaac Caswell and Wei{-}Ning Hsu and Zongheng Yang and Kuan{-}Chieh Wang and Ekaterina Gonina and Katrin Tomanek and Ben Vanik and Zelin Wu and Llion Jones and Mike Schuster and Yanping Huang and Dehao Chen and Kazuki Irie and George F. Foster and John Richardson and Klaus Macherey and Antoine Bruguier and Heiga Zen and Colin Raffel and Shankar Kumar and Kanishka Rao and David Rybach and Matthew Murray and Vijayaditya Peddinti and Maxim Krikun and Michiel Bacchiani and Thomas B. Jablin and Robert Suderman and Ian Williams and Benjamin Lee and Deepti Bhatia and Justin Carlson and Semih Yavuz and Yu Zhang and Ian McGraw and Max Galkin and Qi Ge and Golan Pundak and Chad Whipkey and Todd Wang and Uri Alon and Dmitry Lepikhin and Ye Tian and Sara Sabour and William Chan and Shubham Toshniwal and Baohua Liao and Michael Nirschl and Pat Rondon}, title = {Lingvo: a Modular and Scalable Framework for Sequence-to-Sequence Modeling}, journal = {CoRR}, volume = {abs/1902.08295}, year = {2019}, url = {http://arxiv.org/abs/1902.08295}, eprinttype = {arXiv}, eprint = {1902.08295}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-08295.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08913, author = {Wei{-}Chiu Ma and Shenlong Wang and Rui Hu and Yuwen Xiong and Raquel Urtasun}, title = {Deep Rigid Instance Scene Flow}, journal = {CoRR}, volume = {abs/1904.08913}, year = {2019}, url = {http://arxiv.org/abs/1904.08913}, eprinttype = {arXiv}, eprint = {1904.08913}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08913.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-05594, author = {Wei{-}Yu Chiu and Jui{-}Ting Hsieh and Chia{-}Ming Chen}, title = {Pareto Optimal Demand Response Based on Energy Costs and Load Factor in Smart Grid}, journal = {CoRR}, volume = {abs/1907.05594}, year = {2019}, url = {http://arxiv.org/abs/1907.05594}, eprinttype = {arXiv}, eprint = {1907.05594}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-05594.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-00279, author = {Zhichao Yang and Pengshan Cai and Yansong Feng and Fei Li and Weijiang Feng and Elena Suet{-}Ying Chiu and Hong Yu}, title = {Generating Classical Chinese Poems from Vernacular Chinese}, journal = {CoRR}, volume = {abs/1909.00279}, year = {2019}, url = {http://arxiv.org/abs/1909.00279}, eprinttype = {arXiv}, eprint = {1909.00279}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-00279.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-02242, author = {Chung{-}Cheng Chiu and Wei Han and Yu Zhang and Ruoming Pang and Sergey Kishchenko and Patrick Nguyen and Arun Narayanan and Hank Liao and Shuyuan Zhang and Anjuli Kannan and Rohit Prabhavalkar and Zhifeng Chen and Tara N. Sainath and Yonghui Wu}, title = {A comparison of end-to-end models for long-form speech recognition}, journal = {CoRR}, volume = {abs/1911.02242}, year = {2019}, url = {http://arxiv.org/abs/1911.02242}, eprinttype = {arXiv}, eprint = {1911.02242}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-02242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-02801, author = {Justin Liang and Namdar Homayounfar and Wei{-}Chiu Ma and Yuwen Xiong and Rui Hu and Raquel Urtasun}, title = {PolyTransform: Deep Polygon Transformer for Instance Segmentation}, journal = {CoRR}, volume = {abs/1912.02801}, year = {2019}, url = {http://arxiv.org/abs/1912.02801}, eprinttype = {arXiv}, eprint = {1912.02801}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-02801.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenWCS18, author = {Yung{-}Wei Chen and Hung{-}Wei Wu and Chen{-}Te Chiu and Yan{-}Kuin Su}, title = {Design of New Eight-Channel Diplexer for Multiband Wireless Communication System}, journal = {{IEEE} Access}, volume = {6}, pages = {49732--49739}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2868991}, doi = {10.1109/ACCESS.2018.2868991}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenWCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/WuJCCCTNTT18, author = {Wei{-}Sheng Wu and Yu{-}Xuan Jiang and Jer{-}Wei Chang and Yu{-}Han Chu and Yi{-}Hao Chiu and Yi{-}Hong Tsao and Torbj{\"{o}}rn E. M. Nordling and Yan Yuan Tseng and Joseph T. Tseng}, title = {HRPDviewer: human ribosome profiling data viewer}, journal = {Database J. Biol. Databases Curation}, volume = {2018}, pages = {bay074}, year = {2018}, url = {https://doi.org/10.1093/database/bay074}, doi = {10.1093/DATABASE/BAY074}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodb/WuJCCCTNTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/PengWMLWMCDW18, author = {Bo Peng and Gao Wang and Jun Ma and Man Chong Leong and Chris Wakefield and James M. Melott and Yulun Chiu and Di Du and John N. Weinstein}, title = {SoS Notebook: an interactive multi-language data analysis environment}, journal = {Bioinform.}, volume = {34}, number = {21}, pages = {3768--3770}, year = {2018}, url = {https://doi.org/10.1093/bioinformatics/bty405}, doi = {10.1093/BIOINFORMATICS/BTY405}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/PengWMLWMCDW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/JiFZLCT18, author = {Min Ji and Ji Fang and Wenya Zhang and Lijuan Liao and T. C. E. Cheng and Yuanyuan Tan}, title = {Logistics scheduling to minimize the sum of total weighted inventory cost and transport cost}, journal = {Comput. Ind. Eng.}, volume = {120}, pages = {206--215}, year = {2018}, url = {https://doi.org/10.1016/j.cie.2018.04.041}, doi = {10.1016/J.CIE.2018.04.041}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/JiFZLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChenLGBCKLFWC18, author = {Weifu Chen and Mingquan Lin and Eli Gibson and Matthew Bastian{-}Jordan and Derek W. Cool and Zahra Kassam and Huageng Liang and Guocan Feng and Aaron D. Ward and Bernard Chiu}, title = {A self-tuned graph-based framework for localization and grading prostate cancer lesions: An initial evaluation based on multiparametric magnetic resonance imaging}, journal = {Comput. Biol. Medicine}, volume = {96}, pages = {252--265}, year = {2018}, url = {https://doi.org/10.1016/j.compbiomed.2018.03.017}, doi = {10.1016/J.COMPBIOMED.2018.03.017}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChenLGBCKLFWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/HsiehSWFHH18, author = {Yi{-}Ping Hsieh and April Chiung{-}Tao Shen and Hsi{-}Sheng Wei and Jui{-}Ying Feng and Soar Ching{-}Yu Huang and Hsiao{-}Lin Hwa}, title = {Internet Addiction: {A} Closer Look at Multidimensional Parenting Practices and Child Mental Health}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {21}, number = {12}, pages = {768--773}, year = {2018}, url = {https://doi.org/10.1089/cyber.2018.0435}, doi = {10.1089/CYBER.2018.0435}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/HsiehSWFHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/LaiWCLLCW18, author = {Bo{-}Cheng Lai and Tung{-}Yu Wu and Tsou{-}Han Chiu and Kun{-}Chun Li and Chia{-}Ying Lee and Wei{-}Chen Chien and Wing Hung Wong}, title = {Towards high performance data analytic on heterogeneous many-core systems: {A} study on Bayesian Sequential Partitioning}, journal = {J. Parallel Distributed Comput.}, volume = {122}, pages = {36--50}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2018.07.011}, doi = {10.1016/J.JPDC.2018.07.011}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/LaiWCLLCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChiuCCLWPWH18, author = {Hsien{-}Chin Chiu and Shang{-}Cyun Chen and Jiun{-}Wei Chiu and Bo{-}Hong Li and Hou{-}Yu Wang and Li{-}Yi Peng and Hsiang{-}Chun Wang and Kuang{-}Po Hsueh}, title = {AlGaN/GaN Schottky barrier diodes on silicon substrates with various Fe doping concentrations in the buffer layers}, journal = {Microelectron. Reliab.}, volume = {83}, pages = {238--241}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.05.034}, doi = {10.1016/J.MICROREL.2017.05.034}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChiuCCLWPWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinHCCLCYLC18, author = {You{-}Rong Lin and Chin{-}Chi Hung and Hsien{-}Yi Chiu and Po{-}Han Chang and Bor{-}Ran Li and Sheng{-}Jen Cheng and Jia{-}Wei Yang and Shien{-}Fong Lin and Guan{-}Yu Chen}, title = {Noninvasive Glucose Monitoring with a Contact Lens and Smartphone}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3208}, year = {2018}, url = {https://doi.org/10.3390/s18103208}, doi = {10.3390/S18103208}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinHCCLCYLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuJCDLCJ18, author = {Chiu{-}Hsien Wu and Guo{-}Jhen Jiang and Kai{-}Wei Chang and Zu{-}Yin Deng and Yu{-}Ning Li and Kuen{-}Lin Chen and Chien{-}Chung Jeng}, title = {Analysis of the Sensing Properties of a Highly Stable and Reproducible Ozone Gas Sensor Based on Amorphous In-Ga-Zn-O Thin Film}, journal = {Sensors}, volume = {18}, number = {1}, pages = {163}, year = {2018}, url = {https://doi.org/10.3390/s18010163}, doi = {10.3390/S18010163}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WuJCDLCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/PeiLC18, author = {Soo{-}Chang Pei and Yun{-}Chiu Lai and Kuo{-}Wei Chang}, title = {Dilated and Shifted Discrete Hermite Functions With Minimum Time-Bandwidth Product}, journal = {{IEEE} Signal Process. Lett.}, volume = {25}, number = {6}, pages = {898--902}, year = {2018}, url = {https://doi.org/10.1109/LSP.2018.2831634}, doi = {10.1109/LSP.2018.2831634}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/PeiLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShiPYC18, author = {Weiwei Shi and An Pan and Shi Yu and Chiu{-}sing Choy}, title = {A Subthreshold Baseband Processor Core Design With Custom Modules and Cells for Passive {RFID} Tags}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {1}, pages = {159--167}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2764073}, doi = {10.1109/TCAD.2017.2764073}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShiPYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TsaiCCWW18, author = {Ming{-}Ke Tsai and Tse{-}An Chen and Heng{-}Yu Chiu and Tse{-}Wei Wu and Chia{-}Ling Wei}, title = {Monolithic Airflow Detection Chip With Automatic {DC} Offset Calibration}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {1}, pages = {107--117}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2715339}, doi = {10.1109/TCSI.2017.2715339}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TsaiCCWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiCSP18, author = {Dan Li and Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {Multiobjective Optimization for Demand Side Management Program in Smart Grid}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {14}, number = {4}, pages = {1482--1490}, year = {2018}, url = {https://doi.org/10.1109/TII.2017.2776104}, doi = {10.1109/TII.2017.2776104}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiCSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/JungTCHS18, author = {Shing Yun Jung and Ya{-}Hui Tsai and Wei{-}Yao Chiu and Jwu{-}Sheng Hu and Chuen{-}Tsai Sun}, title = {Defect Detection on Randomly Textured Surfaces by Convolutional Neural Networks}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {1456--1461}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452361}, doi = {10.1109/AIM.2018.8452361}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aimech/JungTCHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LaiHTC18, author = {Yu{-}Ting K. Lai and Jwu{-}Sheng Hu and Ya{-}Hui Tsai and Wei{-}Yao Chiu}, title = {Industrial Anomaly Detection and One-class Classification using Generative Adversarial Networks}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {1444--1449}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452228}, doi = {10.1109/AIM.2018.8452228}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aimech/LaiHTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuYFWCW18, author = {Yen{-}Cheng Liu and Yu{-}Ying Yeh and Tzu{-}Chien Fu and Sheng{-}De Wang and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Detach and Adapt: Learning Cross-Domain Disentangled Deep Representation}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {8867--8876}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Liu\_Detach\_and\_Adapt\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00924}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiuYFWCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiuCL18, author = {Kun{-}Wei Chiu and Yu{-}Guang Chen and Ing{-}Chao Lin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An efficient NBTI-aware wake-up strategy for power-gated designs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {901--904}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342136}, doi = {10.23919/DATE.2018.8342136}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ChiuCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/HoCW18, author = {Hsuan{-}I Ho and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {Summarizing First-Person Videos from Third Persons' Points of Views}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {XV}}, series = {Lecture Notes in Computer Science}, volume = {11219}, pages = {72--89}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01267-0\_5}, doi = {10.1007/978-3-030-01267-0\_5}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/HoCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenCHKCY18, author = {Hung{-}Chen Chen and Zi{-}Yuan Chen and Sin{-}Yi Huang and Lun{-}Wei Ku and Yu{-}Shian Chiu and Wei{-}Jen Yang}, editor = {Fiona Fui{-}Hoon Nah and Bo Sophia Xiao}, title = {Relation Extraction in Knowledge Base Question Answering: From General-Domain to the Catering Industry}, booktitle = {{HCI} in Business, Government, and Organizations - 5th International Conference, {HCIBGO} 2018, Held as Part of {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10923}, pages = {26--41}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91716-0\_3}, doi = {10.1007/978-3-319-91716-0\_3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChenCHKCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/ChinCTC18, author = {Chiun{-}Li Chin and Ming{-}Chieh Chin and Ting{-}Yu Tsai and Wei{-}En Chen}, title = {Facial skin image classification system using Convolutional Neural Networks deep learning algorithm}, booktitle = {9th International Conference on Awareness Science and Technology, iCAST 2018, Fukuoka, Japan, September 19-21, 2018}, pages = {51--55}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICAwST.2018.8517246}, doi = {10.1109/ICAWST.2018.8517246}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/ChinCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChiuCLCY18, author = {Ruey{-}Sen Chiu and Ya{-}Kuan Chou and Ping{-}Yeh Li and Yun{-}Ju Chen and Chao{-}Wei Yang}, title = {Experiment and Analysis of {TRIZ} Application to Heat Insulation of Paint on the Steel Deck Roofs}, booktitle = {1st {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2018, Jeju Island, Korea (South), July 23-27, 2018}, pages = {254--257}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICKII.2018.8569074}, doi = {10.1109/ICKII.2018.8569074}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChiuCLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChouTLCCYOTC18, author = {Li{-}Der Chou and Chia{-}Wei Tseng and Meng{-}Sheng Lai and Wei{-}Yu Chen and Kuo{-}Chung Chen and Chia{-}Kuan Yen and Tsung{-}Fu Ou and Wei{-}Hsiang Tsai and Yi{-}Hsuan Chiu}, title = {Classification of Malicious Traffic Using TensorFlow Machine Learning}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {186--190}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539685}, doi = {10.1109/ICTC.2018.8539685}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChouTLCCYOTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCTC18, author = {Chih{-}Wei Tsai and Yu{-}Ting Chiu and Yo{-}Hao Tu and Kuo{-}Hsing Cheng}, title = {A Wide-Range All-Digital Delay-Locked Loop for Double Data Rate Synchronous Dynamic Random Access Memory Application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8350995}, doi = {10.1109/ISCAS.2018.8350995}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuWHWHL18, author = {Jhao{-}Cheng Wu and Chia{-}Wei Wang and Yuan{-}Hao Huang and Hau{-}Tieng Wu and Po{-}Chiun Huang and Yu{-}Lun Lo}, title = {A Portable Monitoring System with Automatic Event Detection for Sleep Apnea Level-IV Evaluation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351221}, doi = {10.1109/ISCAS.2018.8351221}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuWHWHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-02050, author = {Ye Jia and Melvin Johnson and Wolfgang Macherey and Ron J. Weiss and Yuan Cao and Chung{-}Cheng Chiu and Naveen Ari and Stella Laurenzo and Yonghui Wu}, title = {Leveraging Weakly Supervised Data to Improve End-to-End Speech-to-Text Translation}, journal = {CoRR}, volume = {abs/1811.02050}, year = {2018}, url = {http://arxiv.org/abs/1811.02050}, eprinttype = {arXiv}, eprint = {1811.02050}, timestamp = {Thu, 14 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-02050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-04212, author = {Hsuan{-}Hao Chang and Wei{-}Yu Chiu and Hongjian Sun and Chia{-}Ming Chen}, title = {User-Centric Multiobjective Approach to Privacy Preservation and Energy Cost Minimization in Smart Home}, journal = {CoRR}, volume = {abs/1811.04212}, year = {2018}, url = {http://arxiv.org/abs/1811.04212}, eprinttype = {arXiv}, eprint = {1811.04212}, timestamp = {Fri, 23 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-04212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-03910, author = {Hung{-}Yu Chen and I{-}Sheng Fang and Wei{-}Chen Chiu}, title = {Self-Contained Stylization via Steganography for Reverse and Serial Style Transfer}, journal = {CoRR}, volume = {abs/1812.03910}, year = {2018}, url = {http://arxiv.org/abs/1812.03910}, eprinttype = {arXiv}, eprint = {1812.03910}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-03910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ChiuSTNZ17, author = {Wei{-}Yu Chiu and Hongjian Sun and John S. Thompson and Kiyoshi Nakayama and Shunqing Zhang}, title = {IoT and Information Processing in Smart Energy Applications}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {10}, pages = {44}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2017.8067682}, doi = {10.1109/MCOM.2017.8067682}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/ChiuSTNZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisec/HuangZLWW17, author = {Cheng{-}Ta Huang and Yu Hong Zhang and Li{-}Chiun Lin and Wei{-}Jen Wang and Shiuh{-}Jeng Wang}, title = {Mutual authentications to parties with QR-code applications in mobile systems}, journal = {Int. J. Inf. Sec.}, volume = {16}, number = {5}, pages = {525--540}, year = {2017}, url = {https://doi.org/10.1007/s10207-016-0349-6}, doi = {10.1007/S10207-016-0349-6}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisec/HuangZLWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/TsengLCL17, author = {Chao{-}Tang Tseng and Cheng{-}Hsiung Lee and Yuan{-}Shyi Peter Chiu and Wei{-}Te Lu}, title = {A discrete electromagnetism-like mechanism for parallel machine scheduling under a grade of service provision}, journal = {Int. J. Prod. Res.}, volume = {55}, number = {11}, pages = {3149--3163}, year = {2017}, url = {https://doi.org/10.1080/00207543.2016.1265683}, doi = {10.1080/00207543.2016.1265683}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/TsengLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtem/LiLCL17, author = {Chiung{-}Li Li and Yun{-}Hui Lin and Yi{-}Hsuan Chen and Wei{-}Shuo Lo}, title = {Reflexive Tourism Supply Chain Management: Sustainability in Higher Education in the Asia-Pacific Context}, journal = {Int. J. Technol. Educ. Mark.}, volume = {7}, number = {2}, pages = {1--16}, year = {2017}, url = {https://doi.org/10.4018/IJTEM.2017070101}, doi = {10.4018/IJTEM.2017070101}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtem/LiLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChiuSPP17, author = {Te{-}Chuan Chiu and Yuan{-}Yao Shih and Ai{-}Chun Pang and Che{-}Wei Pai}, title = {Optimized Day-Ahead Pricing With Renewable Energy Demand-Side Management for Smart Grids}, journal = {{IEEE} Internet Things J.}, volume = {4}, number = {2}, pages = {374--383}, year = {2017}, url = {https://doi.org/10.1109/JIOT.2016.2556006}, doi = {10.1109/JIOT.2016.2556006}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ChiuSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/LeeCC17, author = {Ming{-}Che Lee and Sheng Yu Chiu and Jia{-}Wei Chang}, title = {A Deep Convolutional Neural Network based Chinese Menu Recognition App}, journal = {Inf. Process. Lett.}, volume = {128}, pages = {14--20}, year = {2017}, url = {https://doi.org/10.1016/j.ipl.2017.07.010}, doi = {10.1016/J.IPL.2017.07.010}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipl/LeeCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangLLSLYLLCCLK17, author = {Zhibo Wang and Yongpan Liu and Albert Lee and Fang Su and Chieh{-}Pu Lo and Zhe Yuan and Jinyang Li and Chien{-}Chen Lin and Wei{-}Hao Chen and Hsiao{-}Yun Chiu and Wei{-}En Lin and Ya{-}Chin King and Chrong Jung Lin and Pedram Khalili Amiri and Kang{-}Lung Wang and Meng{-}Fan Chang and Huazhong Yang}, title = {A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving {\textgreater} 4{\texttimes} Faster Clock Frequency and {\textgreater} 6{\texttimes} Higher Restore Speed}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {10}, pages = {2769--2785}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2724024}, doi = {10.1109/JSSC.2017.2724024}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangLLSLYLLCCLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ShihCPCW17, author = {Yuan{-}Yao Shih and Wei{-}Ho Chung and Ai{-}Chun Pang and Te{-}Chuan Chiu and Hung{-}Yu Wei}, title = {Enabling Low-Latency Applications in Fog-Radio Access Networks}, journal = {{IEEE} Netw.}, volume = {31}, number = {1}, pages = {52--58}, year = {2017}, url = {https://doi.org/10.1109/MNET.2016.1500279NM}, doi = {10.1109/MNET.2016.1500279NM}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/network/ShihCPCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/YinCWW17, author = {Yunqiang Yin and T. C. E. Cheng and Dujuan Wang and Chin{-}Chia Wu}, title = {Two-agent flowshop scheduling to maximize the weighted number of just-in-time jobs}, journal = {J. Sched.}, volume = {20}, number = {4}, pages = {313--335}, year = {2017}, url = {https://doi.org/10.1007/s10951-017-0511-7}, doi = {10.1007/S10951-017-0511-7}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/YinCWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuWC17, author = {Yung{-}Da Chiu and Chao{-}Wei Wu and Chia{-}Chin Chiang}, title = {Tilted Fiber Bragg Grating Sensor with Graphene Oxide Coating for Humidity Sensing}, journal = {Sensors}, volume = {17}, number = {9}, pages = {2129}, year = {2017}, url = {https://doi.org/10.3390/s17092129}, doi = {10.3390/S17092129}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChiuWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ShenLLLCZSKCLL17, author = {Chia{-}Ping Shen and Jeng{-}Wei Lin and Feng{-}Sheng Lin and Yan{-}Yu Lam and Wei Chen and Weizhi Zhou and Hsiao{-}Ya Sung and Yi{-}Hui Kao and Ming{-}Jang Chiu and Fang{-}Yie Leu and Feipei Lai}, title = {{GA-SVM} modeling of multiclass seizure detector in epilepsy analysis system using cloud computing}, journal = {Soft Comput.}, volume = {21}, number = {8}, pages = {2139--2149}, year = {2017}, url = {https://doi.org/10.1007/s00500-015-1917-9}, doi = {10.1007/S00500-015-1917-9}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/ShenLLLCZSKCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HuangHWHYCHCLDC17, author = {Yu{-}Chieh Huang and Po{-}Tsang Huang and Shang{-}Lin Wu and Yu{-}Chen Hu and Yan{-}Huei You and Ming Chen and Yan{-}Yu Huang and Hsiao{-}Chun Chang and Yen{-}Han Lin and Jeng{-}Ren Duann and Tzai{-}Wen Chiu and Wei Hwang and Kuan{-}Neng Chen and Ching{-}Te Chuang and Jin{-}Chern Chiou}, title = {Ultrahigh-Density 256-Channel Neural Sensing Microsystem Using TSV-Embedded Neural Probes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {11}, number = {5}, pages = {1013--1025}, year = {2017}, url = {https://doi.org/10.1109/TBCAS.2017.2669439}, doi = {10.1109/TBCAS.2017.2669439}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HuangHWHYCHCLDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/ChienCCLY17, author = {Wei Chien and Chien{-}Ching Chiu and Yu{-}Ting Cheng and Shu{-}Han Liao and Horng{-}Shiou Yen}, title = {Multi-objective optimization for {UWB} antenna array by {APSO} algorithm}, journal = {Telecommun. Syst.}, volume = {64}, number = {4}, pages = {649--660}, year = {2017}, url = {https://doi.org/10.1007/s11235-016-0197-8}, doi = {10.1007/S11235-016-0197-8}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/ChienCCLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ChiuLLW17, author = {Shang{-}Lun Chiu and Kate Ching{-}Ju Lin and Guang{-}Xun Lin and Hung{-}Yu Wei}, title = {Empowering Device-to-Device Networks with Cross-Link Interference Management}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {16}, number = {4}, pages = {950--963}, year = {2017}, url = {https://doi.org/10.1109/TMC.2016.2582169}, doi = {10.1109/TMC.2016.2582169}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/ChiuLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/Chiu17, author = {Wei{-}Yu Chiu}, title = {Method of Reduction of Variables for Bilinear Matrix Inequality Problems in System and Control Designs}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {47}, number = {7}, pages = {1241--1256}, year = {2017}, url = {https://doi.org/10.1109/TSMC.2016.2571323}, doi = {10.1109/TSMC.2016.2571323}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/Chiu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SunLCCCCY17, author = {Xiaoyu Sun and Rui Liu and Yi{-}Ju Chen and Hsiao{-}Yun Chiu and Wei{-}Hao Chen and Meng{-}Fan Chang and Shimeng Yu}, title = {Low-VDD Operation of {SRAM} Synaptic Array for Implementing Ternary Neural Network}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {10}, pages = {2962--2965}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2727528}, doi = {10.1109/TVLSI.2017.2727528}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SunLCCCCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/ChenCGBCKLWSF17, author = {Weifu Chen and Bernard Chiu and Eli Gibson and Matthew Bastian{-}Jordan and Derek Cool and Zahra Kassam and Huageng Liang and Aaron D. Ward and Qi Shen and Guocan Feng}, title = {A Graph-Based Multi-kernel Feature Weight Learning Framework for Detection and Grading of Prostate Lesions Using Multi-parametric {MR} Images}, booktitle = {4th {IAPR} Asian Conference on Pattern Recognition, {ACPR} 2017, Nanjing, China, November 26-29, 2017}, pages = {658--663}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ACPR.2017.150}, doi = {10.1109/ACPR.2017.150}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acpr/ChenCGBCKLWSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/ChiuL17, author = {Wei{-}Yu Chiu and Guan{-}Ting Lin}, title = {A cross-layer design for power flow control in smart grids}, booktitle = {11th Asian Control Conference, {ASCC} 2017, Gold Coast, Australia, December 17-20, 2017}, pages = {1584--1589}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASCC.2017.8287410}, doi = {10.1109/ASCC.2017.8287410}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ascc/ChiuL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuCOCCKLLS17, author = {Chung{-}Yu Wu and Cheng{-}Hsiang Cheng and Yi{-}Huan Ou{-}Yang and Chiung{-}Ghu Chen and Wei{-}Ming Chen and Ming{-}Dou Ker and Chen{-}Yi Lee and Sheng{-}Fu Liang and Fu{-}Zen Shaw}, title = {Design considerations and clinical applications of closed-loop neural disorder control SoCs}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {295--298}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858337}, doi = {10.1109/ASPDAC.2017.7858337}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuCOCCKLLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChiuJLC17, author = {Wei{-}Lun Chiu and Iris Hui{-}Ru Jiang and Chien{-}Pang Lu and Yu{-}Tung Chang}, title = {Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {64:1--64:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062303}, doi = {10.1145/3061639.3062303}, timestamp = {Tue, 06 Nov 2018 16:58:15 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChiuJLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HsuLCHW17, author = {Wei{-}Yen Hsu and Jun{-}Yi Lu and Chih{-}Chia Chien and Meng{-}Chiu Hsieh and Yu{-}Hsiang Wang}, editor = {Tung Bui}, title = {Emotion and Concentration Integrated System: Applied to the Detection and Analysis of Consumer Preference}, booktitle = {50th Hawaii International Conference on System Sciences, {HICSS} 2017, Hilton Waikoloa Village, Hawaii, USA, January 4-7, 2017}, pages = {1--10}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2017}, url = {https://hdl.handle.net/10125/41335}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/HsuLCHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ChiuTH17, author = {Wei{-}Ting Chiu and Yu Tai and Wen{-}Liang Hsue}, editor = {Yong Liu and Liang Zhao and Guoyong Cai and Guoqing Xiao and Kenli Li and Lipo Wang}, title = {Image watermarking based on discrete fractional fourier transforms with multiple parameters}, booktitle = {13th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery, {ICNC-FSKD} 2017, Guilin, China, July 29-31, 2017}, pages = {2687--2693}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FSKD.2017.8393202}, doi = {10.1109/FSKD.2017.8393202}, timestamp = {Mon, 08 Mar 2021 17:45:50 +0100}, biburl = {https://dblp.org/rec/conf/icnc/ChiuTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHWHLSCLCCC17, author = {Po{-}Tsang Huang and Yu{-}Chieh Huang and Shang{-}Lin Wu and Yu{-}Chen Hu and Ming{-}Wei Lu and Ting{-}Wei Sheng and Fung{-}Kai Chang and Chun{-}Pin Lin and Nien{-}Shang Chang and Hung{-}Lieh Chen and Chi{-}Shi Chen and Jeng{-}Ren Duann and Tzai{-}Wen Chiu and Wei Hwang and Kuan{-}Neng Chen and Ching{-}Te Chuang and Jin{-}Chern Chiou}, title = {An implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable {\(\mu\)}-needle array and flexible interposer}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050687}, doi = {10.1109/ISCAS.2017.8050687}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHWHLSCLCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsaiLCCTLBTTCDC17, author = {Ming{-}Da Tsai and Chien{-}Cheng Lin and Ping{-}Yu Chen and Tao{-}Yao Chang and Chien{-}Wei Tseng and Lai{-}Ching Lin and Chris Beale and Bosen Tseng and Bernard Tenbroek and Chinq{-}Shiun Chiu and Guang{-}Kaai Dehng and George Chien}, title = {13.1 {A} fully integrated multimode front-end module for {GSM/EDGE/TD-SCDMA/TD-LTE} applications using a Class-F {CMOS} power amplifier}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {216--217}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870338}, doi = {10.1109/ISSCC.2017.7870338}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TsaiLCCTLBTTCDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/JaoMCWHC17, author = {Jo{-}Chi Jao and Ka{-}Wai Mac and Chiung{-}Yun Chang and Yu{-}Chiuan Wu and Chia{-}Chi Hsiao and Po{-}Chou Chen}, editor = {Andrzej Kr{\'{o}}l and Barjor Gimi}, title = {Monitoring of {VX2} tumor growth in rabbit liver using T2-weighted and dynamic contrast-enhanced magnetic resonance imaging at 1.5T}, booktitle = {Medical Imaging 2017: Biomedical Applications in Molecular, Structural, and Functional Imaging, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10137}, pages = {1013728}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254383}, doi = {10.1117/12.2254383}, timestamp = {Thu, 09 May 2019 17:10:31 +0200}, biburl = {https://dblp.org/rec/conf/mibam/JaoMCWHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LinCZGBCKCWC17, author = {Mingquan Lin and Weifu Chen and Mingbo Zhao and Eli Gibson and Matthew Bastian{-}Jordan and Derek W. Cool and Zahra Kassam and Tommy W. S. Chow and Aaron D. Ward and Bernard Chiu}, editor = {Samuel G. Armato III and Nicholas A. Petrick}, title = {Prostate lesion detection and localization based on locality alignment discriminant analysis}, booktitle = {Medical Imaging 2017: Computer-Aided Diagnosis, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10134}, pages = {101344A}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2255621}, doi = {10.1117/12.2255621}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/LinCZGBCKCWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChengZLC17, author = {Jieyu Cheng and Mingbo Zhao and Minquan Lin and Bernard Chiu}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {{AWM:} Adaptive Weight Matting for medical image segmentation}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {101332P}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254774}, doi = {10.1117/12.2254774}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ChengZLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/FuCW17, author = {Tzu{-}Chien Fu and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, editor = {Naonori Ueda and Shinji Watanabe and Tomoko Matsui and Jen{-}Tzung Chien and Jan Larsen}, title = {Learning guided convolutional neural networks for cross-resolution face recognition}, booktitle = {27th {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2017, Tokyo, Japan, September 25-28, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MLSP.2017.8168180}, doi = {10.1109/MLSP.2017.8168180}, timestamp = {Tue, 30 Jun 2020 10:25:30 +0200}, biburl = {https://dblp.org/rec/conf/mlsp/FuCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/LiuCWW17, author = {Yen{-}Cheng Liu and Wei{-}Chen Chiu and Sheng{-}De Wang and Yu{-}Chiang Frank Wang}, editor = {Naonori Ueda and Shinji Watanabe and Tomoko Matsui and Jen{-}Tzung Chien and Jan Larsen}, title = {Domain-Adaptive generative adversarial networks for sketch-to-photo inversion}, booktitle = {27th {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2017, Tokyo, Japan, September 25-28, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MLSP.2017.8168181}, doi = {10.1109/MLSP.2017.8168181}, timestamp = {Sat, 23 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mlsp/LiuCWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/LeeYCC17, author = {Ming{-}Che Lee and Sheng{-}Cheng Yeh and Sheng Yu Chiu and Jia{-}Wei Chang}, title = {A Deep Convolutional Neural Network Based Virtual Elderly Companion Agent}, booktitle = {Proceedings of the 8th {ACM} on Multimedia Systems Conference, MMSys 2017, Taipei, Taiwan, June 20-23, 2017}, pages = {235--238}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083187.3083220}, doi = {10.1145/3083187.3083220}, timestamp = {Sat, 28 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/LeeYCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LiaoCCC17, author = {Yi{-}Chi Liao and Yen{-}Chiu Chen and Li{-}Wei Chan and Bing{-}Yu Chen}, editor = {Krzysztof Gajos and Jennifer Mankoff and Chris Harrison}, title = {Dwell+: Multi-Level Mode Selection Using Vibrotactile Cues}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2017, Quebec City, QC, Canada, October 22 - 25, 2017}, pages = {5--16}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126594.3126627}, doi = {10.1145/3126594.3126627}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/LiaoCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChenWCL17, author = {Sheng{-}Yen Chen and Chia{-}I Wei and Yu{-}Chen Chiu and Bo{-}Cheng Charles Lai}, title = {A Hadoop-based Principle Component Analysis on embedded heterogeneous platform}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939667}, doi = {10.1109/VLSI-DAT.2017.7939667}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChenWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LiSC17, author = {Dan Li and Hongjian Sun and Wei{-}Yu Chiu}, title = {Achieving Low Carbon Emission Using Smart Grid Technologies}, booktitle = {85th {IEEE} Vehicular Technology Conference, {VTC} Spring 2017, Sydney, Australia, June 4-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCSpring.2017.8108624}, doi = {10.1109/VTCSPRING.2017.8108624}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LiSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Chiu17, author = {Wei{-}Yu Chiu}, title = {Method of Reduction of Variables for Bilinear Matrix Inequality Problems in System and Control Designs}, journal = {CoRR}, volume = {abs/1705.02095}, year = {2017}, url = {http://arxiv.org/abs/1705.02095}, eprinttype = {arXiv}, eprint = {1705.02095}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Chiu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Chiu17a, author = {Wei{-}Yu Chiu}, title = {Multiobjective controller design by solving a multiobjective matrix inequality problem}, journal = {CoRR}, volume = {abs/1705.02109}, year = {2017}, url = {http://arxiv.org/abs/1705.02109}, eprinttype = {arXiv}, eprint = {1705.02109}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Chiu17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChiuSP17, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {A Multiobjective Approach to Multimicrogrid System Design}, journal = {CoRR}, volume = {abs/1705.02123}, year = {2017}, url = {http://arxiv.org/abs/1705.02123}, eprinttype = {arXiv}, eprint = {1705.02123}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChiuSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChiuSP17a, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {Energy Imbalance Management Using a Robust Pricing Scheme}, journal = {CoRR}, volume = {abs/1705.02135}, year = {2017}, url = {http://arxiv.org/abs/1705.02135}, eprinttype = {arXiv}, eprint = {1705.02135}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChiuSP17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FuLCWW17, author = {Tzu{-}Chien Fu and Yen{-}Cheng Liu and Wei{-}Chen Chiu and Sheng{-}De Wang and Yu{-}Chiang Frank Wang}, title = {Learning Cross-Domain Disentangled Deep Representation with Supervision from {A} Single Domain}, journal = {CoRR}, volume = {abs/1705.01314}, year = {2017}, url = {http://arxiv.org/abs/1705.01314}, eprinttype = {arXiv}, eprint = {1705.01314}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FuLCWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-08922, author = {Hsuan{-}I Ho and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {For Your Eyes Only: Learning to Summarize First-Person Videos}, journal = {CoRR}, volume = {abs/1711.08922}, year = {2017}, url = {http://arxiv.org/abs/1711.08922}, eprinttype = {arXiv}, eprint = {1711.08922}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-08922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChiuCC16, author = {Bernard Chiu and Weifu Chen and Jieyu Cheng}, title = {Concise biomarker for spatial-temporal change in three-dimensional ultrasound measurement of carotid vessel wall and plaque thickness based on a graph-based random walk framework: Towards sensitive evaluation of response to therapy}, journal = {Comput. Biol. Medicine}, volume = {79}, pages = {149--162}, year = {2016}, url = {https://doi.org/10.1016/j.compbiomed.2016.10.015}, doi = {10.1016/J.COMPBIOMED.2016.10.015}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChiuCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HsiehSWFHH16, author = {Yi{-}Ping Hsieh and April Chiung{-}Tao Shen and Hsi{-}Sheng Wei and Jui{-}Ying Feng and Soar Ching{-}Yu Huang and Hsiao{-}Lin Hwa}, title = {Associations between child maltreatment, PTSD, and internet addiction among Taiwanese students}, journal = {Comput. Hum. Behav.}, volume = {56}, pages = {209--214}, year = {2016}, url = {https://doi.org/10.1016/j.chb.2015.11.048}, doi = {10.1016/J.CHB.2015.11.048}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/HsiehSWFHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ChiuSTNZ16, author = {Wei{-}Yu Chiu and Hongjian Sun and John S. Thompson and Kiyoshi Nakayama and Shunqing Zhang}, title = {Integrated Communications, Control, and Computing Technologies for Enabling Autonomous Smart Grid}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {12}, pages = {58--59}, year = {2016}, url = {https://doi.org/10.1109/MCOM.2016.7786111}, doi = {10.1109/MCOM.2016.7786111}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/ChiuSTNZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/PawarZJWRCA16, author = {Aishwarya Pawar and Yongjie Zhang and Yue Jia and Xiaodong Wei and Timon Rabczuk and Chiu Ling Chan and Cosmin Anitescu}, title = {Adaptive FEM-based nonrigid image registration using truncated hierarchical B-splines}, journal = {Comput. Math. Appl.}, volume = {72}, number = {8}, pages = {2028--2040}, year = {2016}, url = {https://doi.org/10.1016/j.camwa.2016.05.020}, doi = {10.1016/J.CAMWA.2016.05.020}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/PawarZJWRCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/LaiWYCHTH16, author = {Jiing{-}Yih Lai and Ming{-}Hsuan Wang and Zi{-}Wei You and Yu{-}Kai Chiu and Chia{-}Hsiang Hsu and Yao{-}Chen Tsai and Chung{-}Yi Huang}, title = {Recognition of virtual loops on 3D {CAD} models based on the B-rep model}, journal = {Eng. Comput.}, volume = {32}, number = {4}, pages = {593--606}, year = {2016}, url = {https://doi.org/10.1007/s00366-016-0436-3}, doi = {10.1007/S00366-016-0436-3}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/LaiWYCHTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/YuanC16, author = {Soe{-}Tsyr Daphne Yuan and Peng{-}Wei Chiu}, title = {Persuasive narrative advertisement generator: {A} microenterprise service innovation perspective}, journal = {Inf. Syst. Frontiers}, volume = {18}, number = {3}, pages = {597--619}, year = {2016}, url = {https://doi.org/10.1007/s10796-015-9548-3}, doi = {10.1007/S10796-015-9548-3}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/YuanC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/HuangCTLW16, author = {Chin{-}Wei Huang and Yung{-}Ho Chiu and Chien{-}heng Tu and Zheng{-}ying Luo and Zebin Wang}, title = {Using the nonhomogeneous frontier two-stage {DEA} model to assess the efficiencies of expense utilization and operation of the Taiwanese hotel industry}, journal = {Int. Trans. Oper. Res.}, volume = {23}, number = {6}, pages = {1067--1087}, year = {2016}, url = {https://doi.org/10.1111/itor.12180}, doi = {10.1111/ITOR.12180}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/HuangCTLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LiuCLLCYC16, author = {Ying{-}Chieh Liu and Chien{-}Hung Chen and Chien{-}Wei Lee and Yu{-}Sheng Lin and Hsin{-}Yun Chen and Jou{-}Yin Yeh and Sherry Yueh{-}Hsia Chiu}, title = {Design and usability evaluation of user-centered and visual-based aids for dietary food measurement on mobile devices in a randomized controlled trial}, journal = {J. Biomed. Informatics}, volume = {64}, pages = {122--130}, year = {2016}, url = {https://doi.org/10.1016/j.jbi.2016.10.001}, doi = {10.1016/J.JBI.2016.10.001}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LiuCLLCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuLLCCWWLHCLCLC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen}, title = {A 0.5 nJ/Pixel 4 {K} {H.265/HEVC} Codec {LSI} for Multi-Format Smartphone Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {56--67}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2465857}, doi = {10.1109/JSSC.2015.2465857}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuLLCCWWLHCLCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/ChiuYJ16, author = {Wei{-}Yu Chiu and Gary G. Yen and Teng{-}Kuei Juan}, title = {Minimum Manhattan Distance Approach to Multiple Criteria Decision Making in Multiobjective Optimization Problems}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {20}, number = {6}, pages = {972--985}, year = {2016}, url = {https://doi.org/10.1109/TEVC.2016.2564158}, doi = {10.1109/TEVC.2016.2564158}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/ChiuYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ChenLCLW16, author = {Bo{-}Si Chen and Kate Ching{-}Ju Lin and Shang{-}Lun Chiu and Roger Lee and Hung{-}Yu Wei}, title = {Multiplexing-Diversity Medium Access for Multi-User {MIMO} Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {15}, number = {5}, pages = {1211--1223}, year = {2016}, url = {https://doi.org/10.1109/TMC.2015.2450744}, doi = {10.1109/TMC.2015.2450744}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ChenLCLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aclnut/WangCJHCK16, author = {Wei{-}Chung Wang and Hung{-}Chen Chen and Zhi{-}Kai Ji and Hui{-}I Hsiao and Yu{-}Shian Chiu and Lun{-}Wei Ku}, editor = {Bo Han and Alan Ritter and Leon Derczynski and Wei Xu and Tim Baldwin}, title = {Whose Nickname is This? Recognizing Politicians from Their Aliases}, booktitle = {Proceedings of the 2nd Workshop on Noisy User-generated Text, NUT@COLING 2016, Osaka, Japan, December 11, 2016}, pages = {61--69}, publisher = {The {COLING} 2016 Organizing Committee}, year = {2016}, url = {https://aclanthology.org/W16-3910/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aclnut/WangCJHCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/WuTHCGLC16, author = {Chia{-}Tung Wu and Yu{-}Fen Tzeng and Te{-}Wei Ho and Shyh{-}Wei Chen and Bih{-}Shya Gau and Feipei Lai and Hung{-}Yu Chiu}, editor = {Ravi Kumar and James Caverlee and Hanghang Tong}, title = {A smart phone application in improving healthy lifestyles and health outcomes for school-age children with asthma}, booktitle = {2016 {IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2016, San Francisco, CA, USA, August 18-21, 2016}, pages = {1034--1037}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ASONAM.2016.7752368}, doi = {10.1109/ASONAM.2016.7752368}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asunam/WuTHCGLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChiuCSL16, author = {Yu{-}Jui Chiu and Wei Cai and Yuesong Shi and Yu{-}Hwa Lo}, title = {A Self-Confined Single-Cell Loading Platform Combining {PDMS} Mesh and Patterned Cytop for Non-invasive Studies of Single Cell Secretions}, booktitle = {16th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2016, Taichung, Taiwan, October 31 - November 2, 2016}, pages = {113--120}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBE.2016.15}, doi = {10.1109/BIBE.2016.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChiuCSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/Chiu16, author = {Wei{-}Yu Chiu}, title = {Analysis of an H{\(\infty\)} design for dynamic pricing in the smart grid}, booktitle = {55th {IEEE} Conference on Decision and Control, {CDC} 2016, Las Vegas, NV, USA, December 12-14, 2016}, pages = {3234--3239}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CDC.2016.7798755}, doi = {10.1109/CDC.2016.7798755}, timestamp = {Fri, 04 Mar 2022 13:29:43 +0100}, biburl = {https://dblp.org/rec/conf/cdc/Chiu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crc/OuyangKCWTW16, author = {Chen{-}Sen Ouyang and Tzu{-}Chin Kao and Yu{-}Yuan Cheng and Chih{-}Hung Wu and Chiung{-}Hui Tsai and Meng{-}Wei Wu}, editor = {Dong Sun and Wei{-}Hsin Liao and Sergei Gorlatch}, title = {An Improved Fuzzy Extreme Learning Machine for Classification and Regression}, booktitle = {2016 International Conference on Cybernetics, Robotics and Control, {CRC} 2016, Hong Kong, August 19-21, 2016}, pages = {91--94}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CRC.2016.028}, doi = {10.1109/CRC.2016.028}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/crc/OuyangKCWTW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangC16, author = {Li{-}Han Chang and Wei{-}Yu Chiu}, title = {Construction of a multirobot exploration system}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800366}, doi = {10.1109/GCCE.2016.7800366}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChangC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HuangC16a, author = {Tai{-}Ying Huang and Wei{-}Yu Chiu}, title = {Systematic framework for solving real-world problems with multiple objectives}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800365}, doi = {10.1109/GCCE.2016.7800365}, timestamp = {Mon, 19 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HuangC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HuangCCH16, author = {Tai{-}Ying Huang and Hsin{-}Chien Chen and Wei{-}Yu Chiu and Chien{-}Hsueh Huang}, title = {A smartphone-based design of wireless human-on-the-bike monitoring system}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800367}, doi = {10.1109/GCCE.2016.7800367}, timestamp = {Mon, 19 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HuangCCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/LinHC16, author = {Ting{-}Wei Lin and Po{-}Yu Huang and Claire Wan{-}Chiung Cheng}, title = {Computer-aided diagnosis in medical imaging: Review of legal barriers to entry for the commercial systems}, booktitle = {18th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2016, Munich, Germany, September 14-16, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HealthCom.2016.7749517}, doi = {10.1109/HEALTHCOM.2016.7749517}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/LinHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JiangSC16, author = {Jing Jiang and Hongjian Sun and Wei{-}Yu Chiu}, title = {Energy efficient massive {MIMO} system design for smart grid communications}, booktitle = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, pages = {337--341}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCW.2016.7503810}, doi = {10.1109/ICCW.2016.7503810}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/JiangSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/LiSC16, author = {Dan Li and Hongjian Sun and Wei{-}Yu Chiu}, title = {A layered approach for enabling demand side management in smart grid}, booktitle = {2016 International Conference on Control, Automation and Information Sciences (ICCAIS), Ansan, South Korea, October 27-29, 2016}, pages = {54--59}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCAIS.2016.7822435}, doi = {10.1109/ICCAIS.2016.7822435}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccais/LiSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ChengKPYL16, author = {Yung{-}Pin Cheng and Chiu{-}Yu Ku and Wei{-}Chen Pan and Chuan Yang and Ting{-}Shu Lin}, editor = {Laura K. Dillon and Willem Visser and Laurie A. Williams}, title = {Toward arbitrary mapping for debugging visualizations}, booktitle = {Proceedings of the 38th International Conference on Software Engineering, {ICSE} 2016, Austin, TX, USA, May 14-22, 2016 - Companion Volume}, pages = {605--608}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2889160.2889167}, doi = {10.1145/2889160.2889167}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/ChengKPYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuHZJC16, author = {Yi{-}Wei Chiu and Yu{-}Hao Hu and Jun{-}Kai Zhao and Shyh{-}Jye Jou and Ching{-}Te Chuang}, title = {A subthreshold {SRAM} with embedded data-aware write-assist and adaptive data-aware keeper}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1014--1017}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527415}, doi = {10.1109/ISCAS.2016.7527415}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuHZJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHWHYCHCLDC16, author = {Yu{-}Chieh Huang and Po{-}Tsang Huang and Shang{-}Lin Wu and Yu{-}Chen Hu and Yan{-}Huei You and Ming Chen and Yan{-}Yu Huang and Hsiao{-}Chun Chang and Yen{-}Han Lin and Jeng{-}Ren Duann and Tzai{-}Wen Chiu and Wei Hwang and Kuan{-}Neng Chen and Ching{-}Te Chuang and Jin{-}Chern Chiou}, title = {An ultra-high-density 256-channel/25mm2 neural sensing microsystem using TSV-embedded neural probes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {1302--1305}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527487}, doi = {10.1109/ISCAS.2016.7527487}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHWHYCHCLDC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/ChenFT16, author = {Helen Kai{-}Yun Chen and Wei{-}te Fang and Chiu{-}yu Tseng}, title = {Advance prosodic indexing - Acoustic realization of prompted information projection in continuous speeches and discourses}, booktitle = {10th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2016, Tianjin, China, October 17-20, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCSLP.2016.7918412}, doi = {10.1109/ISCSLP.2016.7918412}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/ChenFT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/HsiuWHLLYHC16, author = {Min{-}Chieh Hsiu and Chiuan Wang and Da{-}Yuan Huang and Jhe{-}Wei Lin and Yu{-}Chih Lin and De{-}Nian Yang and Yi{-}Ping Hung and Mike Y. Chen}, editor = {Fabio Patern{\`{o}} and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Karen Church and Jonna H{\"{a}}kkil{\"{a}} and Antonio Kr{\"{u}}ger and Marcos Serrano}, title = {Nail+: sensing fingernail deformation to detect finger force touch interactions on rigid surfaces}, booktitle = {Proceedings of the 18th International Conference on Human-Computer Interaction with Mobile Devices and Services, MobileHCI 2016, Florence, Italy, September 6-9, 2016}, pages = {1--6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2935334.2935362}, doi = {10.1145/2935334.2935362}, timestamp = {Sat, 30 Sep 2023 09:52:37 +0200}, biburl = {https://dblp.org/rec/conf/mhci/HsiuWHLLYHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChangFCCL16, author = {Hsin Hsin Chang and Chen Su Fu and Shu Hui Chen and Wei Zong Chiu and Yu{-}Yu Lu}, editor = {Ting{-}Peng Liang and Shin{-}Yuan Hung and Patrick Y. K. Chau and She{-}I Chang}, title = {Customer Readiness, Market Orientation and Transaction frequency in Mobile Banking Service Recovery}, booktitle = {20th Pacific Asia Conference on Information Systems, {PACIS} 2016, Chiayi, Taiwan, June 27 - July 1, 2016}, pages = {281}, year = {2016}, url = {http://aisel.aisnet.org/pacis2016/281}, timestamp = {Tue, 08 Nov 2016 09:28:09 +0100}, biburl = {https://dblp.org/rec/conf/pacis/ChangFCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChiuCPYY16, author = {Te{-}Chuan Chiu and Wei{-}Ho Chung and Ai{-}Chun Pang and Ya{-}Ju Yu and Pei{-}Hsuan Yen}, title = {Ultra-low latency service provision in 5G Fog-Radio Access Networks}, booktitle = {27th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2016, Valencia, Spain, September 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PIMRC.2016.7794956}, doi = {10.1109/PIMRC.2016.7794956}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChiuCPYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HuangCJCCYHC16, author = {Da{-}Yuan Huang and Li{-}Wei Chan and Xiao{-}Feng Jian and Chiun{-}Yao Chang and Mu{-}Hsuan Chen and De{-}Nian Yang and Yi{-}Ping Hung and Bing{-}Yu Chen}, editor = {Yuichi Itoh and Bing{-}Yu Chen}, title = {Vibroplay: authoring three-dimensional spatial-temporal tactile effects with direct manipulation}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Emerging Technologies}, pages = {3:1--3:2}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2988240.2988250}, doi = {10.1145/2988240.2988250}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/HuangCJCCYHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WeiWCLYWH16, author = {Shih{-}Yao Wei and Chen{-}Yu Wang and Ting{-}Wei Chiu and Yi{-}Ping Lo and Zhiwei Yang and Hsing{-}Mang Wang and Yi{-}Ping Hung}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {RunPlay: Action Recognition Using Wearable Device Apply on Parkour Game}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016 Adjunct Volume, Tokyo, Japan, October 16 - 19, 2016}, pages = {133--135}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984751.2985731}, doi = {10.1145/2984751.2985731}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/WeiWCLYWH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/LinLCL15, author = {Shu{-}Chiung Lin and Sheng Wei Lin and Patrick Shicheng Chen and Yung{-}Kuei Liu}, title = {Adoption of 4G wireless services under consideration of technology and economic perspectives}, journal = {Int. J. Mob. Commun.}, volume = {13}, number = {1}, pages = {71--91}, year = {2015}, url = {https://doi.org/10.1504/IJMC.2015.065891}, doi = {10.1504/IJMC.2015.065891}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/LinLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/ChangZZY15, author = {Ke{-}Chiun Chang and Wei Zhou and Sifei Zhang and Chien{-}Chung Yuan}, title = {Threshold effects of the patent H-index in the relationship between patent citations and market value}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {66}, number = {12}, pages = {2697--2703}, year = {2015}, url = {https://doi.org/10.1002/asi.23354}, doi = {10.1002/ASI.23354}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/ChangZZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhouXC15, author = {Yuan Zhou and Benwei Xu and Yun Chiu}, title = {A 12 bit 160 MS/s Two-Step {SAR} {ADC} With Background Bit-Weight Calibration Using a Time-Domain Proximity Detector}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {4}, pages = {920--931}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2384025}, doi = {10.1109/JSSC.2014.2384025}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhouXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangKLHW15, author = {Jer{-}Chyi Wang and Rajat Subhra Karmakar and Yu{-}Jen Lu and Chiung{-}Ying Huang and Kuo{-}Chen Wei}, title = {Characterization of Piezoresistive {PEDOT:} {PSS} Pressure Sensors with Inter-Digitated and Cross-Point Electrode Structures}, journal = {Sensors}, volume = {15}, number = {1}, pages = {818--831}, year = {2015}, url = {https://doi.org/10.3390/s150100818}, doi = {10.3390/S150100818}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangKLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuQCS15, author = {Yanyan Yu and Weibao Qiu and Bernard Chiu and Lei Sun}, title = {Feasibility of Multiple Micro-Particle Trapping - {A} Simulation Study}, journal = {Sensors}, volume = {15}, number = {3}, pages = {4958--4974}, year = {2015}, url = {https://doi.org/10.3390/s150304958}, doi = {10.3390/S150304958}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YuQCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SuCWCLJ15, author = {Ming{-}Chiuan Su and Wei{-}Zen Chen and Pei{-}Si Wu and Yu{-}Hsian Chen and Chao{-}Cheng Lee and Shyh{-}Jye Jou}, title = {A 10-Gb/s, 1.24 pJ/bit, Burst-Mode Clock and Data Recovery With Jitter Suppression}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {3}, pages = {743--751}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2014.2367573}, doi = {10.1109/TCSI.2014.2367573}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SuCWCLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChiuSP15, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {A Multiobjective Approach to Multimicrogrid System Design}, journal = {{IEEE} Trans. Smart Grid}, volume = {6}, number = {5}, pages = {2263--2272}, year = {2015}, url = {https://doi.org/10.1109/TSG.2015.2399497}, doi = {10.1109/TSG.2015.2399497}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChiuSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChangCCWC15, author = {Chung{-}Hsien Chang and Bo{-}Wei Chen and Shi{-}Huang Chen and Jhing{-}Fa Wang and Yu{-}Hao Chiu}, title = {Low-Complexity Hardware Design for Fast Solving LSPs With Coordinated Polynomial Solution}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {2}, pages = {230--243}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2305699}, doi = {10.1109/TVLSI.2014.2305699}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChangCCWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LiuPHC15, author = {Bing{-}Hong Liu and Van{-}Trung Pham and Bo{-}Yu Hou and Shih{-}Wei Chiu}, title = {Virtual-coordinate-based delivery-guaranteed routing protocol in three-dimensional wireless sensor networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {15}, number = {2}, pages = {215--227}, year = {2015}, url = {https://doi.org/10.1002/wcm.2336}, doi = {10.1002/WCM.2336}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LiuPHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/ChiuSP15, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {An H{\(\infty\)} design for dynamic pricing in the smart grid}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244790}, doi = {10.1109/ASCC.2015.7244790}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ascc/ChiuSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ase-bigdata/LiuJWLCCTW15, author = {Chao{-}Lin Liu and Guantao Jin and Hongsu Wang and Qingfeng Liu and Wen{-}Huei Cheng and Wei{-}Yun Chiu and Richard Tzong{-}Han Tsai and Yu{-}Chun Wang}, title = {Textual Analysis for Studying Chinese Historical Documents and Literary Novels}, booktitle = {Proceedings of the {ASE} BigData {\&} SocialInformatics 2015, {ASE} BD{\&}SI 2015, Kaohsiung, Taiwan, October 7-9, 2015}, pages = {30:1--30:10}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818869.2818912}, doi = {10.1145/2818869.2818912}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ase-bigdata/LiuJWLCCTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emccompo/YuanYTC15, author = {Shih{-}Yi Yuan and Ting{-}Wei Yeh and Yung{-}Chi Tang and Chiu{-}Kuo Chen}, title = {Time-domain {EMI} measurement methodology}, booktitle = {10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits, {EMC} Compo 2015, Edinburgh, UK, November 10-13, 2015}, pages = {174--178}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMCCompo.2015.7358352}, doi = {10.1109/EMCCOMPO.2015.7358352}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/emccompo/YuanYTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YangCLCCMCWLLLT15, author = {Hsiang{-}An Yang and Chao{-}Chang Chiu and Shin{-}Chi Lai and Jui{-}Lung Chen and Chih{-}Wei Chang and Che{-}Hao Meng and Ke{-}Horng Chen and Chin{-}Long Wey and Ying{-}Hsi Lin and Chao{-}Cheng Lee and Jian{-}Ru Lin and Tsung{-}Yen Tsai and Hsin{-}Yu Luo}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {120V/ns output slew rate enhancement technique and high voltage clamping circuit in high integrated gate driver for power GaN FETs}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {291--294}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313884}, doi = {10.1109/ESSCIRC.2015.7313884}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YangCLCCMCWLLLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChenC15, author = {Yi{-}Wen Chen and Wei{-}Yu Chiu}, title = {A framework for a consumer-end energy management system in smart grid}, booktitle = {{IEEE} 4th Global Conference on Consumer Electronics, {GCCE} 2015, Osaka, Japan, 27-30 October 2015}, pages = {101--103}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GCCE.2015.7398499}, doi = {10.1109/GCCE.2015.7398499}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChenC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/JuanCC15, author = {Teng{-}Kuei Juan and Wei{-}Yu Chiu and Shih{-}Gang Chen}, title = {A framework for an automatic program detection system}, booktitle = {{IEEE} 4th Global Conference on Consumer Electronics, {GCCE} 2015, Osaka, Japan, 27-30 October 2015}, pages = {104--105}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GCCE.2015.7398529}, doi = {10.1109/GCCE.2015.7398529}, timestamp = {Mon, 19 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/JuanCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChiuW15a, author = {Hung{-}Wei Chiu and Shie{-}Yuan Wang}, title = {Boosting the OpenFlow control-plane message exchange performance of OpenvSwitch}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {5284--5289}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249163}, doi = {10.1109/ICC.2015.7249163}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChiuW15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeJLCY15, author = {Pei{-}Yu Lee and Iris Hui{-}Ru Jiang and Cheng{-}Ruei Li and Wei{-}Lun Chiu and Yu{-}Ming Yang}, editor = {Diana Marculescu and Frank Liu}, title = {iTimerC 2.0: Fast Incremental Timing and {CPPR} Analysis}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {890--894}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372665}, doi = {10.1109/ICCAD.2015.7372665}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeJLCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/HsiehCLCW15, author = {Yao{-}Ching Hsieh and Yong{-}Nong Chang and Kun{-}Ying Lee and Yu{-}Chun Chiu and Wei{-}Ting Wu}, title = {Bidirectional softly switched dc-to-dc converter with galvanic isolation}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2015, Seville, Spain, March 17-19, 2015}, pages = {952--956}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIT.2015.7125220}, doi = {10.1109/ICIT.2015.7125220}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/HsiehCLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HsuLWLLCHWHL15, author = {Li Chieh Hsu and Yu{-}Min Lin and Chien Liang Wu and Wei Kun Lee and Yen Chun Liu and Cheng Pu Chiu and Hsin Kuo Hsu and Chun Yi Wang and Chien Chung Huang and Chin Fu Lin}, title = {Effects of copper {CMP} and post clean process on {VRDB} and {TDDB} at 28nm and advanced technology node}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112775}, doi = {10.1109/IRPS.2015.7112775}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/HsuLWLLCHWHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KaoLCLLHHS15, author = {Tzu{-}Cheng Kao and Chen{-}Hsin Lien and Chien{-}Wei Chiu and Jian{-}Hsing Lee and Yen{-}Hsiang Lo and Chung{-}Yu Hung and Tsung{-}Yi Huang and Hung{-}Der Su}, title = {Robust {ESD} self-protected {LDNMOSFET} by an enhanced displacement-current triggering}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112796}, doi = {10.1109/IRPS.2015.7112796}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KaoLCLLHHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/WangHCCCCC15, author = {Cheng{-}Yao Wang and Min{-}Chieh Hsiu and Po{-}Tsung Chiu and Chiao{-}Hui Chang and Li{-}Wei Chan and Bing{-}Yu Chen and Mike Y. Chen}, editor = {Sebastian Boring and Enrico Rukzio and Hans Gellersen and Ken Hinckley}, title = {PalmGesture: Using Palms as Gesture Interfaces for Eyes-free Input}, booktitle = {Proceedings of the 17th International Conference on Human-Computer Interaction with Mobile Devices and Services, MobileHCI 2015, Copenhagen, Denmark, August 24-27, 2015}, pages = {217--226}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2785830.2785885}, doi = {10.1145/2785830.2785885}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/WangHCCCCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/ChenFT15, author = {Helen Kai{-}Yun Chen and Wei{-}te Fang and Chiu{-}yu Tseng}, title = {Information content, weighting and distribution in continuous speech prosody - {A} cross-genre comparison}, booktitle = {2015 International Conference Oriental {COCOSDA} held jointly with 2015 Conference on Asian Spoken Language Research and Evaluation (O-COCOSDA/CASLRE), Shanghai, China, October 28-30, 2015}, pages = {75--80}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICSDA.2015.7357868}, doi = {10.1109/ICSDA.2015.7357868}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/ChenFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LuWCCHCSLHKLCC15, author = {I{-}Cheng Lu and Chia{-}Chien Wei and Hsing{-}Yu Chen and Kuan{-}Zhou Chen and Cheng{-}Hsiang Huang and Kai{-}Lun Chi and Jin{-}Wei Shi and Fan{-}I Lai and Dan{-}Hua Hsieh and Hao{-}Ching Kuo and Wei Lin and Shi{-}Wei Chiu and Jyehong Chen}, title = {High-speed and duo-mode 850 nm VCSELs for 47 Gbps optical interconnect over 1 km {OM4} fiber}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1364/OFC.2015.W1D.3}, doi = {10.1364/OFC.2015.W1D.3}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LuWCCHCSLHKLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/HuangHFHC15, author = {Sheng{-}Wen Huang and Yu Wen Hung and Tzu{-}Wei Fu and Jack Shih{-}Chieh Hsu and Chao{-}Min Chiu}, editor = {Atreyi Kankanhalli and Andrew Burton{-}Jones and Thompson S. H. Teo}, title = {Understanding the Impact of Service Failure and Recovery Justice on Consumers' Satisfaction and Repurchase Intention}, booktitle = {19th Pacific Asia Conference on Information Systems, {PACIS} 2015, Singapore, July 5-9, 2015}, pages = {55}, year = {2015}, url = {http://aisel.aisnet.org/pacis2015/55}, timestamp = {Sat, 12 Dec 2015 19:19:58 +0100}, biburl = {https://dblp.org/rec/conf/pacis/HuangHFHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LinWHCCTC15, author = {Jhe{-}Wei Lin and Chiuan Wang and Yi Yao Huang and Kuan{-}Ting Chou and Hsuan{-}Yu Chen and Wei{-}Luan Tseng and Mike Y. Chen}, editor = {Celine Latulipe and Bjoern Hartmann and Tovi Grossman}, title = {BackHand: Sensing Hand Gestures via Back of the Hand}, booktitle = {Proceedings of the 28th Annual {ACM} Symposium on User Interface Software {\&} Technology, {UIST} 2015, Charlotte, NC, USA, November 8-11, 2015}, pages = {557--564}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2807442.2807462}, doi = {10.1145/2807442.2807462}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/LinWHCCTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HsiehC15, author = {Jui{-}Ting Hsieh and Wei{-}Yu Chiu}, title = {Implementation of a Transparent Power Information System on Campus Using Existing Infrastructures}, booktitle = {{IEEE} 81st Vehicular Technology Conference, {VTC} Spring 2015, Glasgow, United Kingdom, 11-14 May, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCSpring.2015.7145873}, doi = {10.1109/VTCSPRING.2015.7145873}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HsiehC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/KoHHCCM15, author = {Yu{-}Jung Ko and Hui{-}Ming Huang and Wei{-}Han Hsing and Jerry Chou and Hung{-}Chih Chiu and Hsi{-}Pin Ma}, title = {A Patient-centered medical environment with wearable sensors and cloud monitoring}, booktitle = {2nd {IEEE} World Forum on Internet of Things, WF-IoT 2015, Milan, Italy, December 14-16, 2015}, pages = {628--633}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WF-IoT.2015.7389127}, doi = {10.1109/WF-IOT.2015.7389127}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/KoHHCCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuJWLCCTW15, author = {Chao{-}Lin Liu and Guantao Jin and Hongsu Wang and Qingfeng Liu and Wen{-}Huei Cheng and Wei{-}Yun Chiu and Richard Tzong{-}Han Tsai and Yu{-}Chun Wang}, title = {Textual Analysis for Studying Chinese Historical Documents and Literary Novels}, journal = {CoRR}, volume = {abs/1510.03021}, year = {2015}, url = {http://arxiv.org/abs/1510.03021}, eprinttype = {arXiv}, eprint = {1510.03021}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuJWLCCTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/LaiJCHW14, author = {Fu{-}Jou Lai and Mei{-}Huei Jhu and Chia{-}Chun Chiu and Yueh{-}Min Huang and Wei{-}Sheng Wu}, title = {Identifying cooperative transcription factors in yeast using multiple data sources}, journal = {{BMC} Syst. Biol.}, volume = {8}, number = {{S-5}}, pages = {S2}, year = {2014}, url = {https://doi.org/10.1186/1752-0509-8-S5-S2}, doi = {10.1186/1752-0509-8-S5-S2}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/LaiJCHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChengLLJ14, author = {T. C. Edwin Cheng and Chih{-}Yu Liu and Wen{-}Chiung Lee and Min Ji}, title = {Two-agent single-machine scheduling to minimize the weighted sum of the agents' objective functions}, journal = {Comput. Ind. Eng.}, volume = {78}, pages = {66--73}, year = {2014}, url = {https://doi.org/10.1016/j.cie.2014.09.028}, doi = {10.1016/J.CIE.2014.09.028}, timestamp = {Fri, 26 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/ChengLLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/TangDCCL14, author = {Cheng{-}Jen Tang and Miau{-}Ru Dai and Chi{-}Cheng Chuang and Yu{-}Sheng Chiu and Wei{-}Sen Lin}, title = {A load control method for small data centers participating in demand response programs}, journal = {Future Gener. Comput. Syst.}, volume = {32}, pages = {232--245}, year = {2014}, url = {https://doi.org/10.1016/j.future.2013.07.020}, doi = {10.1016/J.FUTURE.2013.07.020}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/TangDCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChengCLKJFYCK14, author = {Shih{-}Jen Cheng and Huang{-}Jen Chiu and Yu{-}Kang Lo and Shu{-}Wei Kuo and Kuo{-}Kuang Jen and Kuo{-}Sheng Fu and Gwo{-}Huei You and Kun{-}Feng Chen and Chien{-}Min Kao}, title = {Design and implementation of a contact-less power charger for robot applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {42}, number = {6}, pages = {584--604}, year = {2014}, url = {https://doi.org/10.1002/cta.1872}, doi = {10.1002/CTA.1872}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChengCLKJFYCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChiuLKCL14, author = {Huang{-}Jen Chiu and Yu{-}Kang Lo and Shu{-}Wei Kuo and Shih{-}Jen Cheng and Feng{-}Tsuen Lin}, title = {Design and implementation of a high-efficiency bidirectional {DC-DC} Converter for {DC} micro-grid system applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {42}, number = {11}, pages = {1139--1153}, year = {2014}, url = {https://doi.org/10.1002/cta.1910}, doi = {10.1002/CTA.1910}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChiuLKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/LinLLWWCWJTHSC14, author = {Wei{-}Chun Lin and Tsair{-}Fwu Lee and Shu{-}Yuan Lin and Li{-}Fu Wu and Hung{-}Yu Wang and Liyun Chang and Jia{-}Ming Wu and Jing{-}Chuan Jiang and Chiu{-}Ching Tuan and Mong{-}Fong Horng and Chin{-}Shiuh Shieh and Pei{-}Ju Chao}, title = {Non-invasive Knee Osteoarthritis Diagnosis via Vibroarthrographic Signal Analysis}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {5}, number = {3}, pages = {497--507}, year = {2014}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2014/vol5/JIH-MSP-2014-03-016.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/LinLLWWCWJTHSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenCCHJKLHCFCHLWSHYW14, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Yue{-}Loong Hsin and Sheng{-}Fu Liang and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Chung{-}Yu Wu}, title = {A Fully Integrated 8-Channel Closed-Loop Neural-Prosthetic {CMOS} SoC for Real-Time Epileptic Seizure Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {1}, pages = {232--247}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2284346}, doi = {10.1109/JSSC.2013.2284346}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenCCHJKLHCFCHLWSHYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XuNYYW14, author = {Ruoyu Xu and Wai Chiu Ng and George Jie Yuan and Shouyi Yin and Shaojun Wei}, title = {A 1/2.5 inch {VGA} 400 fps {CMOS} Image Sensor With High Sensitivity for Machine Vision}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {10}, pages = {2342--2351}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2014.2345018}, doi = {10.1109/JSSC.2014.2345018}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XuNYYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChiuT14, author = {Wei{-}Chih Chiu and Bing{-}Yue Tsui}, title = {High performance of CNT-interconnects by the multi-layer structure}, journal = {Microelectron. Reliab.}, volume = {54}, number = {4}, pages = {778--784}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.12.024}, doi = {10.1016/J.MICROREL.2013.12.024}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChiuT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HsiehKHHTWCWL14, author = {Jian{-}Yu Hsieh and Po{-}Hung Kuo and Yi{-}Chun Huang and Yu{-}Jie Huang and Rong{-}Da Tsai and Tao Wang and Hung{-}Wei Chiu and Yao{-}Hong Wang and Shey{-}Shi Lu}, title = {A Remotely-Controlled Locomotive {IC} Driven by Electrolytic Bubbles and Wireless Powering}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {787--798}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2382341}, doi = {10.1109/TBCAS.2014.2382341}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/HsiehKHHTWCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChiuHTZCJC14, author = {Yi{-}Wei Chiu and Yu{-}Hao Hu and Ming{-}Hsien Tu and Jun{-}Kai Zhao and Yuan{-}Hua Chu and Shyh{-}Jye Jou and Ching{-}Te Chuang}, title = {40 nm Bit-Interleaving 12T Subthreshold {SRAM} With Data-Aware Write-Assist}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2578--2585}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2332267}, doi = {10.1109/TCSI.2014.2332267}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChiuHTZCJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LuoCCHCCCLC14, author = {Shien{-}Chun Luo and Kuo{-}Chiang Chang and Ming{-}Pin Chen and Ching{-}Ji Huang and Yi{-}Fang Chiu and Po{-}Hsun Chen and Liang{-}Chia Cheng and Chih{-}Wei Liu and Yuan{-}Hua Chu}, title = {Separate Clock Network Voltage for Correcting Random Errors in {ULV} Clocked Storage Cells}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {12}, pages = {947--951}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2356913}, doi = {10.1109/TCSII.2014.2356913}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LuoCCHCCCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChaiCH14, author = {Li{-}Wei Chai and Po{-}Lin Chiu and Yuan{-}Hao Huang}, title = {A 2-D Interpolation-Based {QRD} Processor With Partial Layer Mapping for {MIMO-OFDM} Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {12}, pages = {2689--2700}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2297713}, doi = {10.1109/TVLSI.2013.2297713}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChaiCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LeeCWL14, author = {Ting{-}Wei Lee and Yen{-}Lin Chiu and Yu{-}Shan Wu and Heng{-}Sung Liu}, title = {An intelligent image-based customer analysis service}, booktitle = {The 16th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2014, Hsinchu, Taiwan, September 17-19, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APNOMS.2014.6996534}, doi = {10.1109/APNOMS.2014.6996534}, timestamp = {Wed, 13 Nov 2019 15:14:09 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/LeeCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChiuHCC14, author = {Yu{-}Hsien Chiu and Kun{-}Yi Huang and Hsiu{-}E. Chiu and Wei{-}Hao Chen}, title = {Automatic assessment of affective episodes for daily activities analysis}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041674}, doi = {10.1109/APSIPA.2014.7041674}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChiuHCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SuLYHCCLTL14, author = {Yi{-}Ping Su and Chiun{-}He Lin and Te{-}Fu Yang and Ru{-}Yu Huang and Wei{-}Chung Chen and Ke{-}Horng Chen and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chao{-}Cheng Lee}, title = {{CCM/GM} relative skip energy control in single-inductor multiple-output {DC-DC} converter for wearable device power solution}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {65--68}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008861}, doi = {10.1109/ASSCC.2014.7008861}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/SuLYHCCLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/YuCLCC14, author = {Yanyan Yu and Jieyu Cheng and Jizhou Li and Weifu Chen and Bernard Chiu}, title = {Automatic prostate segmentation from transrectal ultrasound images}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2014, Proceedings, Lausanne, Switzerland, October 22-24, 2014}, pages = {117--120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BioCAS.2014.6981659}, doi = {10.1109/BIOCAS.2014.6981659}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/YuCLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/TungMY14, author = {Hsiao{-}Yu Tung and Wei{-}Chiu Ma and Tian{-}Li Yu}, title = {Novel traffic signal timing adjustment strategy based on Genetic Algorithm}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2014, Beijing, China, July 6-11, 2014}, pages = {2353--2360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CEC.2014.6900288}, doi = {10.1109/CEC.2014.6900288}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cec/TungMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChiuPSP14, author = {Te{-}Chuan Chiu and Che{-}Wei Pai and Yuan{-}Yao Shih and Ai{-}Chun Pang}, title = {Optimal day-ahead pricing with renewable energy for smart grid}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014, Workshops Proceedings}, pages = {472--476}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCW.2014.6881243}, doi = {10.1109/ICCW.2014.6881243}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChiuPSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChiuYPK14, author = {Te{-}Chuan Chiu and Ya{-}Ju Yu and Ai{-}Chun Pang and Tei{-}Wei Kuo}, title = {Profit-aware base station operation for green cellular networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {2630--2635}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6883720}, doi = {10.1109/ICC.2014.6883720}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChiuYPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/Chiu14, author = {Wei{-}Yu Chiu}, title = {A multiobjective approach to resource management in smart grid}, booktitle = {The International Conference on Control, Automation and Information Sciences, {ICCAIS} 2014, Gwangju, South Korea, December 2-5, 2014}, pages = {182--187}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAIS.2014.7020554}, doi = {10.1109/ICCAIS.2014.7020554}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccais/Chiu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/LiangDTY14, author = {Shuang Liang and Xiaojiang Du and Chiu C. Tan and Wei Yu}, title = {An effective online scheme for detecting Android malware}, booktitle = {23rd International Conference on Computer Communication and Networks, {ICCCN} 2014, Shanghai, China, August 4-7, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCCN.2014.6911740}, doi = {10.1109/ICCCN.2014.6911740}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccn/LiangDTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChiuCH14, author = {Yi{-}Tung Chiu and Duan{-}Yu Chen and Jun{-}Wei Hsieh}, title = {Real-time traffic light detection on resource-limited mobile platform}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2014, Taipei, Taiwan, May 26-28, 2014}, pages = {211--212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE-TW.2014.6904063}, doi = {10.1109/ICCE-TW.2014.6904063}, timestamp = {Thu, 25 Nov 2021 16:44:13 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChiuCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/KoCC14, author = {Li{-}Wei Ko and Yu{-}Ting Chen and Ming{-}Chuan Chiu}, editor = {Jianzhong Cha and Shuo{-}Yan Chou and Josip Stjepandic and Richard Curran and Wensheng Xu}, title = {Integrating Music Therapy and Music Information Retrieval Using Music Pattern Analysis}, booktitle = {Moving Integrated Product Development to Service Clouds in the Global Economy - Proceedings of the 21st {ISPE} Inc. International Conference on Concurrent Engineering, Beijing Jiaotong University, China, September 8-11, 2014}, series = {Advances in Transdisciplinary Engineering}, volume = {1}, pages = {678--687}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-440-4-678}, doi = {10.3233/978-1-61499-440-4-678}, timestamp = {Fri, 22 Sep 2023 11:29:30 +0200}, biburl = {https://dblp.org/rec/conf/ispe/KoCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangCHWWLCSCCC14, author = {Po{-}Tsang Huang and Lei{-}Chun Chou and Teng{-}Chieh Huang and Shang{-}Lin Wu and Tang{-}Shuan Wang and Yu{-}Rou Lin and Chuan{-}An Cheng and Wen{-}Wei Shen and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Ching{-}Te Chuang and Wei Hwang and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ming{-}Hsiang Cheng and Yueh{-}Lung Lin and Ho{-}Ming Tong}, title = {18.6 2.5D heterogeneously integrated bio-sensing microsystem for multi-channel neural-sensing applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {320--321}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757452}, doi = {10.1109/ISSCC.2014.6757452}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangCHWWLCSCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KuoHHHTWCL14, author = {Po{-}Hung Kuo and Jian{-}Yu Hsieh and Yi{-}Chun Huang and Yu{-}Jie Huang and Rong{-}Da Tsai and Tao Wang and Hung{-}Wei Chiu and Shey{-}Shi Lu}, title = {18.7 {A} remotely controlled locomotive {IC} driven by electrolytic bubbles and wireless powering}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {322--323}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757453}, doi = {10.1109/ISSCC.2014.6757453}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KuoHHHTWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/ChenFT14, author = {Helen Kai{-}Yun Chen and Wei{-}te Fang and Chiu{-}yu Tseng}, title = {Prosodic features of Mandarin repair in classroom lecture speech}, booktitle = {2014 17th Oriental Chapter of the International Committee for the Co-ordination and Standardization of Speech Databases and Assessment Techniques (COCOSDA), Phuket, Thailand, September 10-12, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICSDA.2014.7051431}, doi = {10.1109/ICSDA.2014.7051431}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/ChenFT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/WengCCHC14, author = {Julia Tzu{-}Ya Weng and Yi{-}Cheng Chen and Pei{-}Chann Chang and Shin{-}Ping Huang and Yu{-}Wei Chiu}, editor = {Wen{-}Chih Peng and Haixun Wang and James Bailey and Vincent S. Tseng and Tu Bao Ho and Zhi{-}Hua Zhou and Arbee L. P. Chen}, title = {Comparative Analysis of 3D-Culture System for Murine Neonatal Heart Regeneration: {A} Systematic Approach for Big Gene Expression Data}, booktitle = {Trends and Applications in Knowledge Discovery and Data Mining - {PAKDD} 2014 International Workshops: DANTH, BDM, MobiSocial, BigEC, CloudSD, MSMV-MBI, SDA, DMDA-Health, ALSIP, SocNet, DMBIH, BigPMA,Tainan, Taiwan, May 13-16, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8643}, pages = {754--764}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13186-3\_67}, doi = {10.1007/978-3-319-13186-3\_67}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/WengCCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/HsuWTCLC14, author = {Yen{-}Chun Hsu and Yu{-}Sung Wu and Tsung{-}Han Tsai and Yi Pin Chiu and Chih{-}Hung Lin and Zhi{-}Wei Chen}, title = {MicroApp: Architecting Web Application for Non-uniform Trustworthiness in Cloud Computing Environment}, booktitle = {{IEEE} Eighth International Conference on Software Security and Reliability, {SERE} 2014, San Francisco, CA, USA, June 30 - July 2, 2014 - Companion Volume}, pages = {97--106}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SERE-C.2014.27}, doi = {10.1109/SERE-C.2014.27}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ssiri/HsuWTCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/HsiehLLCWW14, author = {Ping{-}Jung Hsieh and Po{-}Hung Lin and Yu{-}Chen Lee and Rong{-}Dong Chiu and Hung{-}Yu Wei and Wen{-}Hsin Wei}, title = {CoPS: Context Prefetching handover scheme on 4G outdoor small cell testbed}, booktitle = {12th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, WiOpt 2014, Hammamet, Tunisia, May 12-16, 2014}, pages = {50--56}, publisher = {{IEEE}}, year = {2014}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2014/HsiehLLCWW14.pdf}, doi = {10.1109/WIOPT.2014.6850278}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/HsiehLLCWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/ChengCLL13, author = {T. C. Edwin Cheng and Yu{-}Hsiang Chung and Shan{-}Ci Liao and Wen{-}Chiung Lee}, title = {Two-agent singe-machine scheduling with release times to minimize the total weighted completion time}, journal = {Comput. Oper. Res.}, volume = {40}, number = {1}, pages = {353--361}, year = {2013}, url = {https://doi.org/10.1016/j.cor.2012.07.013}, doi = {10.1016/J.COR.2012.07.013}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/ChengCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/YuehCCL13, author = {Hsiu{-}Ping Yueh and Tzy{-}Ling Chen and Li{-}An Chiu and Wei{-}Chien Lin}, title = {Exploring Factors Affecting Learner's Perception of Learning Information and Communication Technology: {A} {HLM} Analysis of a National Farmers' Training Program in Taiwan}, journal = {J. Educ. Technol. Soc.}, volume = {16}, number = {1}, pages = {231--242}, year = {2013}, url = {http://www.ifets.info/download\_pdf.php?j\_id=58\&a\_id=1330}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/YuehCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangSLWKCYCLLCSKKT13, author = {Meng{-}Fan Chang and Shyh{-}Shyuan Sheu and Ku{-}Feng Lin and Che{-}Wei Wu and Chia{-}Chen Kuo and Pi{-}Feng Chiu and Yih{-}Shan Yang and Yu{-}Sheng Chen and Heng{-}Yuan Lee and Chen{-}Hsin Lien and Frederick T. Chen and Keng{-}Li Su and Tzu{-}Kun Ku and Ming{-}Jer Kao and Ming{-}Jinn Tsai}, title = {A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive {RAM} (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {878--891}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2230515}, doi = {10.1109/JSSC.2012.2230515}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChangSLWKCYCLLCSKKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeePCWCLWTHL13, author = {Yu{-}Huei Lee and Shen{-}Yu Peng and Chao{-}Chang Chiu and Alex Chun{-}Hsien Wu and Ke{-}Horng Chen and Ying{-}Hsi Lin and Shih{-}Wei Wang and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {A Low Quiescent Current Asynchronous Digital-LDO With PLL-Modulated Fast-DVS Power Management in 40 nm SoC for {MIPS} Performance Improvement}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {1018--1030}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237991}, doi = {10.1109/JSSC.2013.2237991}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeePCWCLWTHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChiuCYLH13, author = {Yung{-}Hsiang Chiu and Kuo{-}Liang Chung and Wei{-}Ning Yang and Chien{-}Hsiung Lin and Yong{-}Huai Huang}, title = {Universal intra coding for arbitrary {RGB} color filter arrays in {HEVC}}, journal = {J. Vis. Commun. Image Represent.}, volume = {24}, number = {7}, pages = {867--884}, year = {2013}, url = {https://doi.org/10.1016/j.jvcir.2013.05.012}, doi = {10.1016/J.JVCIR.2013.05.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChiuCYLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChiuT13, author = {Wei{-}Chih Chiu and Bing{-}Yue Tsui}, title = {Characteristics of size dependent conductivity of the CNT-interconnects formed by low temperature process}, journal = {Microelectron. Reliab.}, volume = {53}, number = {6}, pages = {906--911}, year = {2013}, url = {https://doi.org/10.1016/j.microrel.2013.03.001}, doi = {10.1016/J.MICROREL.2013.03.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChiuT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChiuCP13, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen and H. Vincent Poor}, title = {A Multiobjective Approach for Source Estimation in Fuzzy Networked Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {7}, pages = {1890--1900}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2012.2226488}, doi = {10.1109/TCSI.2012.2226488}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChiuCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChengLCK13, author = {Shih{-}Jen Cheng and Yu{-}Kang Lo and Huang{-}Jen Chiu and Shu{-}Wei Kuo}, title = {High-Efficiency Digital-Controlled Interleaved Power Converter for High-Power {PEM} Fuel-Cell Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {60}, number = {2}, pages = {773--780}, year = {2013}, url = {https://doi.org/10.1109/TIE.2012.2206349}, doi = {10.1109/TIE.2012.2206349}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChengLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChiuSP13, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {Energy Imbalance Management Using a Robust Pricing Scheme}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {2}, pages = {896--904}, year = {2013}, url = {https://doi.org/10.1109/TSG.2012.2216554}, doi = {10.1109/TSG.2012.2216554}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChiuSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChiuHLWHLCKH13, author = {Ching{-}Te Chiu and Yu{-}Hao Hsu and Wei{-}Chih Lai and Jen{-}Ming Wu and Shawn S. H. Hsu and Yang{-}Syu Lin and Fanta Chen and Min{-}Sheng Kao and Yarsun Hsu}, title = {Low Propagation Delay Load-Balanced 4 {\texttimes} 4 Switch Fabric {IC} in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {8}, pages = {1481--1495}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2212618}, doi = {10.1109/TVLSI.2012.2212618}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChiuHLWHLCKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/HuangTCSLCCHCTC13, author = {Yan{-}Pin Huang and Ruoh{-}Ning Tzeng and Yu{-}San Chien and Ming{-}Shaw Shy and Teu{-}Hua Lin and Kuo{-}Hua Chen and Ching{-}Te Chuang and Wei Hwang and Chi{-}Tsung Chiu and Ho{-}Ming Tong and Kuan{-}Neng Chen}, title = {Low temperature ({\textless}180 {\textdegree}C) bonding for 3D integration}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702319}, doi = {10.1109/3DIC.2013.6702319}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/HuangTCSLCCHCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WuJLLC13, author = {Yu{-}Shan Wu and Gwo{-}Hwa Ju and Ting{-}Wei Lee and Heng{-}Sung Liu and Yen{-}Lin Chiu}, title = {Using temporal-domain peak interval determination for video-based short-term heart rate measurement}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2013, Kaohsiung, Taiwan, October 29 - November 1, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/APSIPA.2013.6694163}, doi = {10.1109/APSIPA.2013.6694163}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/WuJLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChenLSSLCL13, author = {Wei Chen and Yan{-}Yu Lam and Chia{-}Ping Shen and Hsiao{-}Ya Sung and Jeng{-}Wei Lin and Ming{-}Jang Chiu and Feipei Lai}, title = {Ultra-fast Epileptic seizure detection using {EMD} based on multichannel electroencephalogram}, booktitle = {13th {IEEE} International Conference on BioInformatics and BioEngineering, {BIBE} 2013, Chania, Greece, November 10-13, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBE.2013.6701576}, doi = {10.1109/BIBE.2013.6701576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChenLSSLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SuCWCLJ13, author = {Ming{-}Chiuan Su and Wei{-}Zen Chen and Pei{-}Si Wu and Yu{-}Hsian Chen and Chao{-}Cheng Lee and Shyh{-}Jye Jou}, title = {A 10Gbps, 1.24pJ/bit, burst-mode clock and data recovery with jitter suppression}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658469}, doi = {10.1109/CICC.2013.6658469}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SuCWCLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/KaoCCYBWC13, author = {Ting{-}hui Kao and Yu{-}Wei Chang and Hsun{-}wen Chiu and Tzu{-}Hsi Yen and Joanne Boisson and Jian{-}Cheng Wu and Jason S. Chang}, editor = {Hwee Tou Ng and Joel R. Tetreault and Siew Mei Wu and Yuanbin Wu and Christian Hadiwinoto}, title = {CoNLL-2013 Shared Task: Grammatical Error Correction {NTHU} System Description}, booktitle = {Proceedings of the Seventeenth Conference on Computational Natural Language Learning: Shared Task, CoNLL 2013, Sofia, Bulgaria, August 8-9, 2013}, pages = {20--25}, publisher = {{ACL}}, year = {2013}, url = {https://aclanthology.org/W13-3603/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/KaoCCYBWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ChoWCL13, author = {Wei{-}Ting Cho and Lien{-}Chun Wang and Yu{-}Sheng Chiu and Chin{-}Feng Lai}, title = {A Lightweight Appliance Recognition Approach for Smart Grid}, booktitle = {{IEEE} 11th International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2013, Chengdu, China, December 21-22, 2013}, pages = {469--474}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DASC.2013.109}, doi = {10.1109/DASC.2013.109}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/ChoWCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/WangCCL13, author = {Lien{-}Chun Wang and Wei{-}Ting Cho and Yu{-}Sheng Chiu and Chin{-}Feng Lai}, title = {A Parallel Multi-appliance Recognition for Smart Meter}, booktitle = {{IEEE} 11th International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2013, Chengdu, China, December 21-22, 2013}, pages = {475--480}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DASC.2013.110}, doi = {10.1109/DASC.2013.110}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/WangCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HieshLSCLSLCL13, author = {Ming{-}Hsien Hiesh and Andy Yan{-}Yu Lam and Chia{-}Ping Shen and Wei Chen and Feng{-}Shen Lin and Hsiao{-}Ya Sung and Jeng{-}Wei Lin and Ming{-}Jang Chiu and Feipei Lai}, title = {Classification of schizophrenia using Genetic Algorithm-Support Vector Machine {(GA-SVM)}}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {6047--6050}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610931}, doi = {10.1109/EMBC.2013.6610931}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HieshLSCLSLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ShenZLSLCLPCL13, author = {Chia{-}Ping Shen and Weizhi Zhou and Feng{-}Sheng Lin and Hsiao{-}Ya Sung and Yan{-}Yu Lam and Wei Chen and Jeng{-}Wei Lin and Ming{-}Kai Pan and Ming{-}Jang Chiu and Feipei Lai}, title = {Epilepsy analytic system with cloud computing}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {1644--1647}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609832}, doi = {10.1109/EMBC.2013.6609832}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ShenZLSLCLPCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/LeeLTWWLC13, author = {Chien{-}Yung Lee and Yu{-}Wei Lee and Cheng{-}Chun Tu and Pai{-}Wei Wang and Yu{-}Cheng Wang and Chih{-}Yu Lin and Tzi{-}cker Chiueh}, editor = {Jeffrey O. Kephart and Calton Pu and Xiaoyun Zhu}, title = {Autonomic Fail-over for a Software-Defined Container Computer Network}, booktitle = {10th International Conference on Autonomic Computing, ICAC'13, San Jose, CA, USA, June 26-28, 2013}, pages = {225--234}, publisher = {{USENIX} Association}, year = {2013}, url = {https://www.usenix.org/conference/icac13/technical-sessions/presentation/lee}, timestamp = {Wed, 04 Jul 2018 13:06:35 +0200}, biburl = {https://dblp.org/rec/conf/icac/LeeLTWWLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/WangCZC13, author = {Shie{-}Yuan Wang and Hung{-}Wei Chiu and Jie Zheng and Xiao Chen}, title = {A cooperative approach to fully utilizing the aggregate bandwidth of all service channels in {IEEE} 802.11p/1609 networks}, booktitle = {2013 {IEEE} Symposium on Computers and Communications, {ISCC} 2013, Split, Croatia, 7-10 July, 2013}, pages = {354--359}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISCC.2013.6754972}, doi = {10.1109/ISCC.2013.6754972}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/WangCZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChiuHTZJC13, author = {Yi{-}Wei Chiu and Yu{-}Hao Hu and Ming{-}Hsien Tu and Jun{-}Kai Zhao and Shyh{-}Jye Jou and Ching{-}Te Chuang}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {A 40 nm 0.32 {V} 3.5 MHz 11T single-ended bit-interleaving subthreshold {SRAM} with data-aware write-assist}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {51--56}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629266}, doi = {10.1109/ISLPED.2013.6629266}, timestamp = {Thu, 06 Jun 2024 10:53:08 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChiuHTZJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Shun{-}Ting Chang and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Sheng{-}Fu Liang and Tzu{-}Chieh Chien and Sih{-}Yen Wu and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Jin{-}Chern Chiou and Chih{-}Wei Chang and Lei{-}Chun Chou and Chung{-}Yu Wu}, title = {A fully integrated 8-channel closed-loop neural-prosthetic SoC for real-time epileptic seizure control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {286--287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487737}, doi = {10.1109/ISSCC.2013.6487737}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/ChiuCYHL13, author = {Yung{-}Hsiang Chiu and Kuo{-}Liang Chung and Wei{-}Ning Yang and Yong{-}Huai Huang and Chih{-}Ming Lin}, editor = {Shipeng Li and Abdulmotaleb El{-}Saddik and Meng Wang and Tao Mei and Nicu Sebe and Shuicheng Yan and Richang Hong and Cathal Gurrin}, title = {Fast Coding Unit Decision Algorithm for Compressing Depth Maps in {HEVC}}, booktitle = {Advances in Multimedia Modeling, 19th International Conference, {MMM} 2013, Huangshan, China, January 7-9, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7733}, pages = {165--175}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-35728-2\_16}, doi = {10.1007/978-3-642-35728-2\_16}, timestamp = {Mon, 23 Nov 2020 15:58:17 +0100}, biburl = {https://dblp.org/rec/conf/mmm/ChiuCYHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-1842, author = {Hongjian Sun and Wei{-}Yu Chiu and Arumugam Nallanathan}, title = {Adaptive Compressive Spectrum Sensing for Wideband Cognitive Radios}, journal = {CoRR}, volume = {abs/1302.1842}, year = {2013}, url = {http://arxiv.org/abs/1302.1842}, eprinttype = {arXiv}, eprint = {1302.1842}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-1842.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-1847, author = {Hongjian Sun and Wei{-}Yu Chiu and Jing Jiang and Arumugam Nallanathan and H. Vincent Poor}, title = {Wideband Spectrum Sensing with Sub-Nyquist Sampling in Cognitive Radios}, journal = {CoRR}, volume = {abs/1302.1847}, year = {2013}, url = {http://arxiv.org/abs/1302.1847}, eprinttype = {arXiv}, eprint = {1302.1847}, timestamp = {Tue, 12 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-1847.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/SheCLWCLCC12, author = {Hsiao{-}Ching She and Meng{-}Tzu Cheng and Ta{-}Wei Li and Chia{-}Yu Wang and Hsin{-}Tien Chiu and Pei{-}Zon Lee and Wen{-}Chi Chou and Ming{-}Hua Chuang}, title = {Web-based undergraduate chemistry problem-solving: The interplay of task performance, domain knowledge and web-searching strategies}, journal = {Comput. Educ.}, volume = {59}, number = {2}, pages = {750--761}, year = {2012}, url = {https://doi.org/10.1016/j.compedu.2012.02.005}, doi = {10.1016/J.COMPEDU.2012.02.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/SheCLWCLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cejor/ChiuHT12, author = {Yung{-}Ho Chiu and Chin{-}Wei Huang and Chung{-}Te Ting}, title = {A non-radial measure of different systems for Taiwanese tourist hotels' efficiency assessment}, journal = {Central Eur. J. Oper. Res.}, volume = {20}, number = {1}, pages = {45--63}, year = {2012}, url = {https://doi.org/10.1007/s10100-010-0149-4}, doi = {10.1007/S10100-010-0149-4}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cejor/ChiuHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/YenWWHC12, author = {HsiuJu Rebecca Yen and Wenkai Wang and Chih{-}Ping Wei and Sheila Hsuan{-}Yu Hsu and Hung{-}Chang Chiu}, title = {Service innovation readiness: Dimensions and performance outcome}, journal = {Decis. Support Syst.}, volume = {53}, number = {4}, pages = {813--824}, year = {2012}, url = {https://doi.org/10.1016/j.dss.2012.05.015}, doi = {10.1016/J.DSS.2012.05.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/YenWWHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/LaiKCW12, author = {Yu{-}Jen Lai and Wei{-}Hao Kuo and Wan{-}Ting Chiu and Hung{-}Yu Wei}, title = {Accelerometer-Assisted 802.11 Rate Adaptation on Mobile WiFi Access}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {246}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-246}, doi = {10.1186/1687-1499-2012-246}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/LaiKCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShihCCW12, author = {Cho{-}Wei Shih and Hui{-}Chuan Chu and Yuh{-}Min Chen and Chiun{-}Cheng Wen}, title = {The effectiveness of image features based on fractal image coding for image annotation}, journal = {Expert Syst. Appl.}, volume = {39}, number = {17}, pages = {12897--12904}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.05.003}, doi = {10.1016/J.ESWA.2012.05.003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ShihCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/SunCN12, author = {Hongjian Sun and Wei{-}Yu Chiu and Arumugam Nallanathan}, title = {Adaptive Compressive Spectrum Sensing for Wideband Cognitive Radios}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {11}, pages = {1812--1815}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2012.092812.121648}, doi = {10.1109/LCOMM.2012.092812.121648}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/SunCN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/ChuangCHCKLHCLKYT12, author = {Yaliang Chuang and Ling{-}Yuah Chiu and Jya{-}Cheng Hu and George Chang and Hsin{-}Liu Kao and Tsen{-}Ying Lin and Kuang{-}Shih Huang and Li{-}Erh Chang and Rung{-}Huei Liang and Tai{-}Wei Kan and Li{-}Te Yang and Shuang{-}Yu Tsai}, title = {Demo hour}, journal = {Interactions}, volume = {19}, number = {4}, pages = {6--7}, year = {2012}, url = {https://doi.org/10.1145/2212877.2212880}, doi = {10.1145/2212877.2212880}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/ChuangCHCKLHCLKYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuCWCSCT12, author = {Pi{-}Feng Chiu and Meng{-}Fan Chang and Che{-}Wei Wu and Ching{-}Hao Chuang and Shyh{-}Shyuan Sheu and Yu{-}Sheng Chen and Ming{-}Jinn Tsai}, title = {Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and {SRAM} With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1483--1496}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2192661}, doi = {10.1109/JSSC.2012.2192661}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuCWCSCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChiuCYHL12, author = {Yung{-}Hsiang Chiu and Kuo{-}Liang Chung and Wei{-}Ning Yang and Yong{-}Huai Huang and Chi{-}Huang Liao}, title = {Parameter-free based two-stage method for binarizing degraded document images}, journal = {Pattern Recognit.}, volume = {45}, number = {12}, pages = {4250--4262}, year = {2012}, url = {https://doi.org/10.1016/j.patcog.2012.02.023}, doi = {10.1016/J.PATCOG.2012.02.023}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/ChiuCYHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TsaiCWHH12, author = {Wei{-}Yu Tsai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shawn S. H. Hsu and Yarsun Hsu}, title = {A Novel Low Gate-Count Pipeline Topology With Multiplexer-Flip-Flops for Serial Link}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {11}, pages = {2600--2610}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2012.2206494}, doi = {10.1109/TCSI.2012.2206494}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TsaiCWHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ChiuCY12, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen and Chang{-}Yi Yang}, title = {Robust Relative Location Estimation in Wireless Sensor Networks with Inexact Position Problems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {11}, number = {6}, pages = {935--946}, year = {2012}, url = {https://doi.org/10.1109/TMC.2011.111}, doi = {10.1109/TMC.2011.111}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ChiuCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/TsaiLSCCL12, author = {Tzong{-}Ru Tsai and Chin{-}Wei Lin and Yi{-}Ling Sung and Pei{-}Ting Chou and Chiu{-}Ling Chen and Yuhlong Lio}, title = {Inference From Lumen Degradation Data Under Wiener Diffusion Process}, journal = {{IEEE} Trans. Reliab.}, volume = {61}, number = {3}, pages = {710--718}, year = {2012}, url = {https://doi.org/10.1109/TR.2012.2207533}, doi = {10.1109/TR.2012.2207533}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/TsaiLSCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/SunCJNP12, author = {Hongjian Sun and Wei{-}Yu Chiu and Jing Jiang and Arumugam Nallanathan and H. Vincent Poor}, title = {Wideband Spectrum Sensing With Sub-Nyquist Sampling in Cognitive Radios}, journal = {{IEEE} Trans. Signal Process.}, volume = {60}, number = {11}, pages = {6068--6073}, year = {2012}, url = {https://doi.org/10.1109/TSP.2012.2212892}, doi = {10.1109/TSP.2012.2212892}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/SunCJNP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/ChiuehTWWLH12, author = {Tzi{-}cker Chiueh and Cheng{-}Chun Tu and Yu{-}Cheng Wang and Pai{-}Wei Wang and Kai{-}Wen Li and Yu{-}Ming Huang}, editor = {Rong Chang}, title = {Peregrine: An All-Layer-2 Container Computer Network}, booktitle = {2012 {IEEE} Fifth International Conference on Cloud Computing, Honolulu, HI, USA, June 24-29, 2012}, pages = {686--693}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CLOUD.2012.69}, doi = {10.1109/CLOUD.2012.69}, timestamp = {Wed, 09 Aug 2023 12:14:21 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/ChiuehTWWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChiuLL12, author = {Yu{-}Hsiang Bosco Chiu and Ming{-}Sui Lee and Wei{-}Kai Liao}, title = {Voting-based depth map refinement and propagation for 2D to 3D conversion}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411937/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChiuLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WuLJLC12, author = {Yu{-}Shan Wu and Heng{-}Sung Liu and Gwo{-}Hwa Ju and Ting{-}Wei Lee and Yen{-}Lin Chiu}, title = {Using the visual Words based on Affine-SIFT descriptors for face recognition}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6412022/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/WuLJLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/KaoLSSMWCLHLC12, author = {Jui{-}Hung Kao and Feipei Lai and Wei{-}Zen Sun and Chia{-}Ping Shen and Huei{-}Ming Ma and Jin{-}Ming Wu and Meng{-}Yu Chiu and Horng{-}Twu Liaw and Kai{-}Chieh Hsu and Yan{-}Yu Lam and Shih{-}Ching Cheng}, title = {A Web-based Medical Emergency Guiding System}, booktitle = {International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2012, Istanbul, Turkey, 26-29 August 2012}, pages = {739--744}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASONAM.2012.136}, doi = {10.1109/ASONAM.2012.136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/KaoLSSMWCLHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SuCKLCLHLC12, author = {Yi{-}Ping Su and Shao{-}Wei Chiu and Chun{-}Chieh Kuo and Yu{-}Huei Lee and Ke{-}Horng Chen and Ying{-}Hsi Lin and Chen{-}Chih Huang and Chao{-}Cheng Lee and Yu{-}Wen Chen}, title = {Inductorless and electrolytic capacitorless pseudo-sine current controller in {LED} lighting system with 1.1W/2.2W power reduction}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {442--445}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341350}, doi = {10.1109/ESSCIRC.2012.6341350}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SuCKLCLHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LinCLJL12, author = {Yu{-}Ching Lin and Yu{-}Ying Chiu and Hau{-}Wei Lee and Bo{-}Yan Jhan and Chuen{-}Horng Lin}, title = {The Study of Automate Locate Special Fiducial Marks}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {51--54}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.144}, doi = {10.1109/ICGEC.2012.144}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LinCLJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/SuWCHC12, author = {Yu{-}Chuan Su and Guan{-}Long Wu and Tzu{-}Hsuan Chiu and Winston H. Hsu and Kuo{-}Wei Chang}, title = {Evaluating Gaussian Like Image Representations over Local Features}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {979--984}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICME.2012.23}, doi = {10.1109/ICME.2012.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/SuWCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/HuangCCYLYK12, author = {Yi{-}Chen Huang and Tsung{-}Long Chen and Bo{-}Chun Chiu and Chih{-}Wei Yi and Chung{-}Wei Lin and Yu{-}Jung Yeh and Lun{-}Chia Kuo}, title = {Calculate Golf Swing Trajectories from {IMU} Sensing Data}, booktitle = {41st International Conference on Parallel Processing Workshops, {ICPPW} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {505--513}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPPW.2012.69}, doi = {10.1109/ICPPW.2012.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/HuangCCYLYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChiuSP12, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {Robust power flow control in smart grids with fluctuating effects}, booktitle = {2012 Proceedings {IEEE} {INFOCOM} Workshops, Orlando, FL, USA, March 25-30, 2012}, pages = {97--102}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/INFCOMW.2012.6193527}, doi = {10.1109/INFCOMW.2012.6193527}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChiuSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuLCWCLCCWCHLT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Hao{-}Wei Li and Chung{-}Hung Tsai}, title = {A 775-{\(\mathrm{\mu}\)}W/fps/view {H.264/MVC} decoder chip compliant with 3D Blu-ray specifications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271516}, doi = {10.1109/ISCAS.2012.6271516}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuLCWCLCCWCHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCWHHT12, author = {Wei{-}Yu Tsai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shawn S. H. Hsu and Yarsun Hsu and Ying{-}Fang Tsao}, title = {A novel low gate-count serializer topology with Multiplexer-Flip-Flops}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {245--248}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271795}, doi = {10.1109/ISCAS.2012.6271795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCWHHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChenCCC12, author = {Whai{-}En Chen and Chun{-}Chieh Chiu and Yuan{-}Bo Chang and Ying{-}Wei Chiang}, title = {An Enhanced Port Forwarding {(EPF)} solution for {NAT} traversal in 3GPP Machine Type Communications}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {780--785}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314303}, doi = {10.1109/IWCMC.2012.6314303}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ChenCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paisi/ChangKWC12, author = {Weiping Chang and Yungchang Ku and Sinru Wu and Chaochang Chiu}, editor = {Michael Chau and G. Alan Wang and Wei Thoo Yue and Hsinchun Chen}, title = {CybercrimeIR - {A} Technological Perspective to Fight Cybercrime}, booktitle = {Intelligence and Security Informatics - Pacific Asia Workshop, {PAISI} 2012, Kuala Lumpur, Malaysia, May 29, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7299}, pages = {36--44}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-30428-6\_3}, doi = {10.1007/978-3-642-30428-6\_3}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/paisi/ChangKWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/ChiuSP12, author = {Wei{-}Yu Chiu and Hongjian Sun and H. Vincent Poor}, title = {Demand-side energy storage system management in smart grid}, booktitle = {{IEEE} Third International Conference on Smart Grid Communications, SmartGridComm 2012, Tainan, Taiwan, November 5-8, 2012}, pages = {73--78}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SmartGridComm.2012.6485962}, doi = {10.1109/SMARTGRIDCOMM.2012.6485962}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/ChiuSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LaiTYCHLCYWHCHC12, author = {Chang{-}Ming Lai and Kai{-}Wen Tan and Liu{-}Yuan Yu and Yen{-}Ju Chen and Jun{-}Wei Huang and Shr{-}Chau Lai and Feng{-}Hsu Chung and Chia{-}Fung Yen and Jen{-}Ming Wu and Po{-}Chiun Huang and Keh{-}Jeng Chang and Shi{-}Yu Huang and Ta{-}Shun Chu}, title = {A {UWB} {IR} timed-array radar using time-shifted direct-sampling architecture}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {54--55}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243786}, doi = {10.1109/VLSIC.2012.6243786}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LaiTYCHLCYWHCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeePWCYHCLWYHL12, author = {Yu{-}Huei Lee and Shen{-}Yu Peng and Alex Chun{-}Hsien Wu and Chao{-}Chang Chiu and Yao{-}Yi Yang and Ming{-}Hsin Huang and Ke{-}Horng Chen and Ying{-}Hsi Lin and Shih{-}Wei Wang and Ching{-}Yuan Yeh and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {A 50nA quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40nm {CMOS} for 5.6 times {MIPS} performance}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {178--179}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243848}, doi = {10.1109/VLSIC.2012.6243848}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeePWCYHCLWYHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12, author = {Po{-}Lung Chen and Chen{-}Tse Tsai and Yao{-}Nan Chen and Ku{-}Chun Chou and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Yu{-}Cheng Chou and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Rong{-}Bing Chiu and Chieh{-}Yen Lin and Chien{-}Chih Wang and Po{-}Wei Wang and Wei{-}Lun Su and Chen{-}Hung Wu and Tsung{-}Ting Kuo and Todd G. McKenzie and Ya{-}Hsuan Chang and Chun{-}Sung Ferng and Chia{-}Mau Ni and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {A Linear Ensemble of Individual and Blended Models for Music Rating Prediction}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {21--60}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/chen12a.html}, timestamp = {Wed, 29 May 2019 08:41:47 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12, author = {Todd G. McKenzie and Chun{-}Sung Ferng and Yao{-}Nan Chen and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Ya{-}Hsuan Chang and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Chieh{-}Yen Lin and Po{-}Wei Wang and Chia{-}Mau Ni and Wei{-}Lun Su and Tsung{-}Ting Kuo and Chen{-}Tse Tsai and Po{-}Lung Chen and Rong{-}Bing Chiu and Ku{-}Chun Chou and Yu{-}Cheng Chou and Chien{-}Chih Wang and Chen{-}Hung Wu and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {Novel Models and Ensemble Techniques to Discriminate Favorite Items from Unrated Ones for Personalized Music Recommendation}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {101--135}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/mckenzie12a.html}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1210-5898, author = {Chao{-}Lin Liu and Guantao Jin and Qingfeng Liu and Wei{-}Yun Chiu and Yih{-}Soong Yu}, title = {Some Chances and Challenges in Applying Language Technologies to Historical Studies in Chinese}, journal = {CoRR}, volume = {abs/1210.5898}, year = {2012}, url = {http://arxiv.org/abs/1210.5898}, eprinttype = {arXiv}, eprint = {1210.5898}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1210-5898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/ChiuHT11, author = {Yung{-}Ho Chiu and Chin{-}Wei Huang and Chung{-}Te Ting}, title = {Measuring the Repair Performance for stricken Cultivated Land and Agricultural Efficiency in China with a Modified Two-Stage {DEA} Model}, journal = {Asia Pac. J. Oper. Res.}, volume = {28}, number = {5}, pages = {633--649}, year = {2011}, url = {https://doi.org/10.1142/S021759591100348X}, doi = {10.1142/S021759591100348X}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apjor/ChiuHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cera/KorposhLWW11, author = {Dmytro Korposh and Yu{-}Cheng Lee and Chiu{-}Chi Wei and Chiou Shuei Wei}, title = {Modeling the Effects of Existing Knowledge on the Creation of New Knowledges}, journal = {Concurr. Eng. Res. Appl.}, volume = {19}, number = {3}, pages = {225--234}, year = {2011}, url = {https://doi.org/10.1177/1063293X11418134}, doi = {10.1177/1063293X11418134}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cera/KorposhLWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ChiuHM11, author = {Yung{-}Ho Chiu and Chin{-}Wei Huang and Chun{-}Mei Ma}, title = {Assessment of China transit and economic efficiencies in a modified value-chains {DEA} model}, journal = {Eur. J. Oper. Res.}, volume = {209}, number = {2}, pages = {95--103}, year = {2011}, url = {https://doi.org/10.1016/j.ejor.2010.05.010}, doi = {10.1016/J.EJOR.2010.05.010}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ChiuHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijclclp/LiuJLCY11, author = {Chao{-}Lin Liu and Guantao Jin and Qingfeng Liu and Wei{-}Yun Chiu and Yih{-}Soong Yu}, title = {Some Chances and Challenges in Applying Language Technologies to Historical Studies in Chinese}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {16}, number = {1-2}, year = {2011}, url = {http://www.aclclp.org.tw/clclp/v16n12/v16n12a3.pdf}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijclclp/LiuJLCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssoe/ChiuWHCCKT11, author = {Dickson K. W. Chiu and Yuexuan Wang and Patrick C. K. Hung and Vivying S. Y. Cheng and Kai{-}Kin Chan and Eleanna Kafeza and Wei{-}Feng Tung}, title = {Governance of Cross-Organizational Healthcare Document Exchange through Watermarking Services and Alerts}, journal = {Int. J. Syst. Serv. Oriented Eng.}, volume = {2}, number = {4}, pages = {83--108}, year = {2011}, url = {https://doi.org/10.4018/jssoe.2011100105}, doi = {10.4018/JSSOE.2011100105}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssoe/ChiuWHCCKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangHLWLLCLCYMC11, author = {Pingli Huang and Szukang Hsien and Victor Lu and Peiyuan Wan and Seung{-}Chul Lee and Wenbo Liu and Bo{-}Wei Chen and Yung{-}Pin Lee and Wen{-}Tsao Chen and Tzu{-}Yi Yang and Gin{-}Kou Ma and Yun Chiu}, title = {SHA-Less Pipelined {ADC} With In Situ Background Clock-Skew Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {8}, pages = {1893--1903}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2011.2151510}, doi = {10.1109/JSSC.2011.2151510}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HuangHLWLLCLCYMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenYLLTCCYYC11, author = {Po See Chen and Tzung Lieh Yeh and I. Hui Lee and Cheng Bill Lin and Hsin Chun Tsai and Kao Chin Chen and Nan{-}Tsing Chiu and Wei Jen Yao and Yen Kuang Yang and Yuan{-}Hwa Chou}, title = {Effects of {C825T} polymorphism of the {GNB3} gene on availability of dopamine transporter in healthy volunteers - {A} {SPECT} study}, journal = {NeuroImage}, volume = {56}, number = {3}, pages = {1526--1530}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2010.10.082}, doi = {10.1016/J.NEUROIMAGE.2010.10.082}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenYLLTCCYYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/WuHC11, author = {Bo{-}Sheng Wu and Chen{-}Chiung Hsieh and Yu{-}Wei Chen}, title = {A Reverse-Order Scheduling Scheme for Broadcasting Continuous Multimedia Data Over a Single Channel}, journal = {{IEEE} Trans. Broadcast.}, volume = {57}, number = {3}, pages = {721--728}, year = {2011}, url = {https://doi.org/10.1109/TBC.2011.2128530}, doi = {10.1109/TBC.2011.2128530}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/WuHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChiuC11, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen}, title = {Multisource Prediction Under Nonlinear Dynamics in WSNs Using a Robust Fuzzy Approach}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {1}, pages = {137--149}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2055331}, doi = {10.1109/TCSI.2010.2055331}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChiuC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChiuHCH11, author = {Po{-}Lin Chiu and Lin{-}Zheng Huang and Li{-}Wei Chai and Yuan{-}Hao Huang}, title = {Interpolation-Based {QR} Decomposition and Channel Estimation Processor for {MIMO-OFDM} System}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {5}, pages = {1129--1141}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2092090}, doi = {10.1109/TCSI.2010.2092090}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChiuHCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinCCH11, author = {Tsung{-}Hsien Lin and Chao{-}Ching Chi and Wei{-}Hao Chiu and Yu{-}Hsiang Huang}, title = {A Synchronous 50{\%} Duty-Cycle Clock Generator in 0.35- {\(\mu\)} m {CMOS}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {4}, pages = {585--591}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2037910}, doi = {10.1109/TVLSI.2009.2037910}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinCCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChiuWKCHWTW11, author = {Ching{-}Te Chiu and Tsun{-}Hsien Wang and Wei{-}Ming Ke and Chen{-}Yu Chuang and Jhih{-}Siao Huang and Wei{-}Su Wong and Ren{-}Song Tsay and Cyuan{-}Jhe Wu}, title = {Real-Time Tone-Mapping Processor with Integrated Photographic and Gradient Compression using 0.13 {\(\mu\)}m Technology on an Arm Soc Platform}, journal = {J. Signal Process. Syst.}, volume = {64}, number = {1}, pages = {93--107}, year = {2011}, url = {https://doi.org/10.1007/s11265-010-0491-8}, doi = {10.1007/S11265-010-0491-8}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChiuWKCHWTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/HsuLCCTHCCYCC11, author = {Pang{-}Wei Hsu and Tzong{-}Huei Lin and Herbert H. Chang and Yu{-}Ting Chen and Yin{-}Jiun Tseng and Chia{-}Hung Hsiao and Chia{-}Tai Chan and Hung{-}Wen Chiu and David Hung{-}Tsang Yen and Po{-}Chou Chen and Woei{-}Chyn Chu}, title = {Simulations and experiments for optimal deployment of an RFID-based location-aware system}, journal = {Wirel. Commun. Mob. Comput.}, volume = {11}, number = {6}, pages = {679--691}, year = {2011}, url = {https://doi.org/10.1002/wcm.848}, doi = {10.1002/WCM.848}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/HsuLCCTHCCYCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsuLCWHCKLH11, author = {Yu{-}Hao Hsu and Yang{-}Syu Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Fanta Chen and Min{-}Sheng Kao and Wei{-}Chih Lai and Yarsun Hsu}, title = {A 32Gbps low propagation delay 4{\texttimes}4 switch {IC} for feedback-based system in 0.13{\(\mu\)}m {CMOS} technology}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {105--106}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722160}, doi = {10.1109/ASPDAC.2011.5722160}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HsuLCWHCKLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChangCLL11, author = {Yu{-}Cheng Chang and Wei{-}Hao Chiu and Chen{-}Chien Lin and Tsung{-}Hsien Lin}, title = {A 4MHz {BW} 69dB {SNDR} continuous-time delta-sigma modulator with reduced sensitivity to clock jitter}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {265--268}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123561}, doi = {10.1109/ASSCC.2011.6123561}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChangCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChiuHCLH11, author = {Po{-}Lin Chiu and Lin{-}Zheng Huang and Li{-}Wei Chai and Chun{-}Fu Liao and Yuan{-}Hao Huang}, title = {A 684Mbps 57mW joint {QR} decomposition and {MIMO} processor for 4{\texttimes}4 {MIMO-OFDM} systems}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {309--312}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123574}, doi = {10.1109/ASSCC.2011.6123574}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChiuHCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeFCCLCCSHL11, author = {Yu{-}Huei Lee and Ming{-}Yan Fan and Wei{-}Chung Chen and Ke{-}Horng Chen and Sheng{-}Fa Liu and Pao{-}Hsien Chiu and Sandy Chen and Chun{-}Yu Shen and Ming{-}Ta Hsieh and Huai{-}An Li}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {A near-zero cross-regulation single-inductor bipolar-output {(SIBO)} converter with an active-energy-correlation control for driving cholesteric-LCD}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055341}, doi = {10.1109/CICC.2011.6055341}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeFCCLCCSHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChenYTCW11, author = {Wei{-}Ming Chen and Wen{-}Chia Yang and Tzung{-}Yun Tsai and Herming Chiueh and Chung{-}Yu Wu}, title = {The design of {CMOS} general-purpose analog front-end circuit with tunable gain and bandwidth for biopotential signal recording systems}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {4784--4787}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091185}, doi = {10.1109/IEMBS.2011.6091185}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChenYTCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PanWSS11, author = {Chiu{-}wei Pan and Zhao Wang and Yuanchen Song and Carl Sechen}, editor = {David Atienza and Yuan Xie and Jos{\'{e}} L. Ayala and Ken S. Stevens}, title = {Power efficient partial product compression}, booktitle = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010, Lausanne, Switzerland, May 2-6, 2011}, pages = {347--350}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1973009.1973079}, doi = {10.1145/1973009.1973079}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PanWSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HongHWHSLCLHCTC11, author = {Chung{-}Hung Hong and Wei{-}Ta Hsiao and Hui{-}Min Wang and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Yung{-}Pin Lee and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Yu Chen}, title = {The Sustained Exhilarating Cardiac Responses after Listening to the Very Fast and Complex Rhythm}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {53--56}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.18}, doi = {10.1109/IBICA.2011.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HongHWHSLCLHCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HsiaoHWHSLCLHCTC11, author = {Wei{-}Ta Hsiao and Chung{-}Hung Hong and Hui{-}Min Wang and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Yung{-}Pin Lee and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Yu Chen}, title = {Removement of the Three Minutes Irritating Music Produced No Incremental Relaxation}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {57--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.19}, doi = {10.1109/IBICA.2011.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HsiaoHWHSLCLHCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HuangLHWHCTHHSLCC11, author = {Sheng{-}Chieh Huang and Yung{-}Pin Lee and Min{-}Hua Hsieh and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Wei{-}Yu Chen}, title = {What's Happening to Our Body after Drinking Coke? The Characteristic of the Blood Pressure Wave in Radial Artery}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {41--44}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.15}, doi = {10.1109/IBICA.2011.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HuangLHWHCTHHSLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/LeeHSLCWHCTHHC11, author = {Yung{-}Pin Lee and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Wei{-}Yu Chen}, title = {A Novel and Low-Cost Cosmetic Chip Using 4x4 Array Photoplethysmography}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {61--64}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.20}, doi = {10.1109/IBICA.2011.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/LeeHSLCWHCTHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/ShaoLCHLWHCTHHC11, author = {Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Sheng{-}Chieh Huang and Yung{-}Pin Lee and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Wei{-}Yu Chen}, title = {Camera-Based Cosmetic Platform to Measure Microcirculation and Skin Condition of Faces}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.16}, doi = {10.1109/IBICA.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/ShaoLCHLWHCTHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/WeyLLWYYLTJ11, author = {Tzuu{-}Shaang Wey and Te{-}Hsuan Liu and Min{-}Chuan Lin and Ruey{-}Lue Wang and Chiung{-}Min Yeh and Chen{-}Wei Yu and Chen{-}Fu Lin and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {A {CMOS} Temperature Sensor Using Cascoded {PNP} Transistors}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {233--236}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.61}, doi = {10.1109/IBICA.2011.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/WeyLLWYYLTJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/WangCTL11, author = {Hung{-}Yu Wang and Chun{-}Wei Chiu and Hung{-}Yuan Tseng and Hsieh{-}Wei Lee}, editor = {Junzo Watada and Pau{-}Choo Chung and Jim{-}Min Lin and Chin{-}Shiuh Shieh and Jeng{-}Shyang Pan}, title = {Design of Low Adder Cost {FIR} Digital Filters Using Graph Representation}, booktitle = {Fifth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2011, Kinmen, Taiwan / Xiamen, China, August 29 - September 1, 2011}, pages = {118--121}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICGEC.2011.36}, doi = {10.1109/ICGEC.2011.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icgec/WangCTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChiuLCYH11, author = {Po{-}Hsun Chiu and Shih{-}Yao Lin and Li{-}Wei Chan and Neng{-}Hao Yu and Yi{-}Ping Hung}, title = {Novel projector calibration approaches of multi-resolution display}, booktitle = {Proceedings of the 2011 {IEEE} International Conference on Multimedia and Expo, {ICME} 2011, 11-15 July, 2011, Barcelona, Catalonia, Spain}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICME.2011.6011885}, doi = {10.1109/ICME.2011.6011885}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ChiuLCYH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaiCH11, author = {Li{-}Wei Chai and Po{-}Lin Chiu and Yuan{-}Hao Huang}, title = {Reduced-complexity interpolation-based {QR} decomposition using partial layer mapping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2381--2384}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938082}, doi = {10.1109/ISCAS.2011.5938082}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaiCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChiuLTJC11, author = {Yi{-}Wei Chiu and Jihi{-}Yu Lin and Ming{-}Hsien Tu and Shyh{-}Jye Jou and Ching{-}Te Chuang}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {8T single-ended sub-threshold {SRAM} with cross-point data-aware write operation}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {169--174}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016846\&CFID=34981777\&CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChiuLTJC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SheuCLWCCKYCLLLGWCSLCWKKT11, author = {Shyh{-}Shyuan Sheu and Meng{-}Fan Chang and Ku{-}Feng Lin and Che{-}Wei Wu and Yu{-}Sheng Chen and Pi{-}Feng Chiu and Chia{-}Chen Kuo and Yih{-}Shan Yang and Pei{-}Chia Chiang and Wen{-}Pin Lin and Che{-}He Lin and Heng{-}Yuan Lee and Peiyi Gu and Sumin Wang and Frederick T. Chen and Keng{-}Li Su and Chen{-}Hsin Lien and Kuo{-}Hsing Cheng and Hsin{-}Tun Wu and Tzu{-}Kun Ku and Ming{-}Jer Kao and Ming{-}Jinn Tsai}, title = {A 4Mb embedded {SLC} resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {200--202}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746281}, doi = {10.1109/ISSCC.2011.5746281}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SheuCLWCCKYCLLLGWCSLCWKKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/WuLCYC11, author = {Tsung{-}Hsien Wu and Jen{-}I Liang and Yu{-}Wei Chiu and Ming{-}Long Yeh and Chia{-}Hsin Chen}, title = {Mechanical quantification of the Epithelial mesenchymal transition}, booktitle = {6th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2011, Kaohsiung, Taiwan, February 20-23, 2011}, pages = {458--461}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NEMS.2011.6017391}, doi = {10.1109/NEMS.2011.6017391}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/WuLCYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/DayLLTTYHCH11, author = {Min{-}Yuh Day and Re{-}Yuan Lee and Cheng{-}Tai Liu and Chun Tu and Chin{-}Sheng Tseng and Loong Tern Yap and Allen{-}Green C. L. Huang and Yu{-}Hsuan Chiu and Wei{-}Ze Hong}, editor = {Noriko Kando and Daisuke Ishikawa and Miho Sugimoto}, title = {{IMTKU} Textual Entailment System for Recognizing Inference in Text at {NTCIR-9} {RITE}}, booktitle = {Proceedings of the 9th {NTCIR} Workshop Meeting on Evaluation of Information Access Technologies: Information Retrieval, Question Answering and Cross-Lingual Information Access, NTCIR-9, National Center of Sciences, Tokyo, Japan, December 6-9, 2011}, publisher = {National Institute of Informatics {(NII)}}, year = {2011}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings9/NTCIR/08-NTCIR9-RITE-DayM.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/DayLLTTYHCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/YuhLHHYC11, author = {Ping{-}Hung Yuh and Cliff Chiung{-}Yu Lin and Tsung{-}Wei Huang and Tsung{-}Yi Ho and Chia{-}Lin Yang and Yao{-}Wen Chang}, editor = {Janet Meiling Wang and Deming Chen}, title = {A SAT-based routing algorithm for cross-referencing biochips}, booktitle = {2011 International Workshop on System Level Interconnect Prediction, {SLIP} 2011, San Diego, CA, USA, June 5, 2011}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SLIP.2011.6135436}, doi = {10.1109/SLIP.2011.6135436}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/YuhLHHYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/LinCWHB10, author = {Wei{-}Yang Lin and Yen{-}Lin Chiu and Kerry R. Widder and Yu Hen Hu and Nigel Boston}, title = {Robust and Accurate Curvature Estimation Using Adaptive Line Integrals}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/240309}, doi = {10.1155/2010/240309}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/LinCWHB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenHCS10, author = {Ching{-}Chi Chen and Wei{-}Yen Hsu and Shih{-}Hsuan Chiu and Yung{-}Nien Sun}, title = {An Efficient Algorithm for Point Set Registration Using Analytic Differential Approach}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {93-D}, number = {11}, pages = {3100--3107}, year = {2010}, url = {https://doi.org/10.1587/transinf.E93.D.3100}, doi = {10.1587/TRANSINF.E93.D.3100}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenHCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/LeungCPLGNCSHHMA10, author = {Ka Nang Leung and Chiu{-}sing Choy and Kong{-}Pang Pun and Lincoln Lai Kan Leung and Jianping Guo and Yuen Sum Ng and Chi Fat Chan and Weiwei Shi and Yang Hong and Marco Ho and Ki{-}Leung Mak and Yanqing Ai}, title = {{RF} Module Design of Passive {UHF} {RFID} Tag Implemented in {CMOS} 90-nm Technology}, journal = {J. Low Power Electron.}, volume = {6}, number = {1}, pages = {141--149}, year = {2010}, url = {https://doi.org/10.1166/jolpe.2010.1064}, doi = {10.1166/JOLPE.2010.1064}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/LeungCPLGNCSHHMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuHL10, author = {Wei{-}Hao Chiu and Yu{-}Hsiang Huang and Tsung{-}Hsien Lin}, title = {A Dynamic Phase Error Compensation Technique for Fast-Locking Phase-Locked Loops}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {6}, pages = {1137--1149}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2046235}, doi = {10.1109/JSSC.2010.2046235}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DingCTCHCCCC10, author = {Li{-}Fu Ding and Wei{-}Yin Chen and Pei{-}Kuei Tsung and Tzu{-}Der Chuang and Pai{-}Heng Hsiao and Yu{-}Han Chen and Hsu{-}Kuang Chiu and Shao{-}Yi Chien and Liang{-}Gee Chen}, title = {A 212 MPixels/s 4096 {\(^\times\)} 2160p Multiview Video Encoder Chip for 3D/Quad Full {HDTV} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {1}, pages = {46--58}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2031787}, doi = {10.1109/JSSC.2009.2031787}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DingCTCHCCCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HsuHBLTCJHLCL10, author = {Jung{-}Lung Hsu and Wim Van Hecke and Chyi{-}Huey Bai and Cheng{-}Hui Lee and Yuh{-}Feng Tsai and Hou{-}Chang Chiu and Fu{-}Shan Jaw and Chien{-}Yeh Hsu and Jyu{-}Gang Leu and Wei{-}Hung Chen and Alexander Leemans}, title = {Microstructural white matter changes in normal aging: {A} diffusion tensor imaging study with higher-order polynomial regression models}, journal = {NeuroImage}, volume = {49}, number = {1}, pages = {32--43}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.08.031}, doi = {10.1016/J.NEUROIMAGE.2009.08.031}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HsuHBLTCJHLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LinYCT10, author = {Chia{-}Hung Lin and Shiao{-}An Yuan and Shih{-}Wei Chiu and Ming{-}Jer Tsai}, title = {ProgressFace: An Algorithm to Improve Routing Efficiency of GPSR-Like Routing Protocols in Wireless Ad Hoc Networks}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {6}, pages = {822--834}, year = {2010}, url = {https://doi.org/10.1109/TC.2010.47}, doi = {10.1109/TC.2010.47}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LinYCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JuangCT10, author = {Tso{-}Bing Juang and Chin{-}Chieh Chiu and Ming{-}Yu Tsai}, title = {Improved Area-Efficient Weighted Modulo 2\({}^{\mbox{n}}\) + 1 Adder Design With Simple Correction Schemes}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {3}, pages = {198--202}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2040302}, doi = {10.1109/TCSII.2010.2040302}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JuangCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/WuHC10, author = {Bo{-}Sheng Wu and Chen{-}Chiung Hsieh and Yu{-}Wei Chen}, title = {Zero-latency scheduling scheme for broadcasting popular movies}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {4}, pages = {2317--2323}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5681106}, doi = {10.1109/TCE.2010.5681106}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/WuHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ChiuC10, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen}, title = {A Mixed-Norm Approach Using Simulated Annealing with Changeable Neighborhood for Mobile Location Estimation}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {9}, number = {5}, pages = {633--642}, year = {2010}, url = {https://doi.org/10.1109/TMC.2009.172}, doi = {10.1109/TMC.2009.172}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ChiuC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangCTCCC10, author = {Yuan{-}Teng Chang and Wei{-}Che Chen and Hung{-}Yue Tsai and Wei{-}Min Cheng and Chang{-}Jiu Chen and Fu{-}Chiung Cheng}, title = {A low-latency {GALS} interface implementation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774997}, doi = {10.1109/APCCAS.2010.5774997}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangCTCCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoCCH10, author = {Chun{-}Fu Liao and Li{-}Wei Chai and Po{-}Lin Chiu and Yuan{-}Hao Huang}, title = {Multi-stage lattice-reduction-aided {MIMO} detector using reverse-order {LLL} algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {100--103}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774735}, doi = {10.1109/APCCAS.2010.5774735}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoCCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HuangHLWLLCLCYMC10, author = {Pingli Huang and Szukang Hsien and Victor Lu and Peiyuan Wan and Seung{-}Chul Lee and Wenbo Liu and Bo{-}Wei Chen and Yung{-}Pin Lee and Wen{-}Tsao Chen and Tzu{-}Yi Yang and Gin{-}Kou Ma and Yun Chiu}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {SHA-less pipelined {ADC} converting 10th Nyquist band with in-situ clock-skew calibration}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617406}, doi = {10.1109/CICC.2010.5617406}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/HuangHLWLLCLCYMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LinCWHB10, author = {Wei{-}Yang Lin and Yen{-}Lin Chiu and Kerry R. Widder and Yu Hen Hu and Nigel Boston}, title = {An integral-based curvature estimator and its application in face recognition}, booktitle = {Proceedings of the 2010 {IEEE} International Conference on Multimedia and Expo, {ICME} 2010, 19-23 July 2010, Singapore}, pages = {185--190}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICME.2010.5582580}, doi = {10.1109/ICME.2010.5582580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LinCWHB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuLL10, author = {Wei{-}Yao Chiu and Yu{-}Ming Lee and Yinyi Lin}, title = {Efficient zero-block mode decision algorithm for high bit-rate coding in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4189--4192}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537582}, doi = {10.1109/ISCAS.2010.5537582}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCHLCWHHYC10, author = {Chih{-}Hsing Lin and Yung{-}Chang Chang and Wen{-}Chih Huang and Wei{-}Chih Lai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Chun{-}Ming Huang and Chih{-}Chyau Yang and Shih{-}Lun Chen}, title = {A packet-based emulating platform with serializer/deserializer interface for heterogeneous {IP} verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1061--1064}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537351}, doi = {10.1109/ISCAS.2010.5537351}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCHLCWHHYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiCWHH10, author = {Wei{-}Yu Tsai and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Yarsun Hsu}, title = {A novel {MUX-FF} circuit for low power and high speed serial link interfaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {4305--4308}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537547}, doi = {10.1109/ISCAS.2010.5537547}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiCWHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangYLCCLCWY10, author = {Meng{-}Fan Chang and Shu{-}Meng Yang and Chih{-}Wei Liang and Chih{-}Chyuang Chiang and Pi{-}Feng Chiu and Ku{-}Feng Lin and Yuan{-}Hua Chu and Wen{-}Chin Wu and Hiroyuki Yamauchi}, title = {A 0.29V embedded {NAND-ROM} in 90nm {CMOS} for ultra-low-voltage applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {266--267}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433914}, doi = {10.1109/ISSCC.2010.5433914}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangYLCCLCWY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/WangHYCW10, author = {Wenkai Wang and HsuanYu Sheila Hsu and HsiuJu Rebecca Yen and Hung{-}Chang Chiu and Chih{-}Ping Wei}, title = {Developing and Validating Service Innovation Readiness}, booktitle = {Pacific Asia Conference on Information Systems, {PACIS} 2010, Taipei, Taiwan, 9-12 July 2010}, pages = {71}, publisher = {AISeL}, year = {2010}, url = {http://aisel.aisnet.org/pacis2010/71}, timestamp = {Tue, 28 Feb 2012 16:59:14 +0100}, biburl = {https://dblp.org/rec/conf/pacis/WangHYCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LeeCHL10, author = {Yu{-}Ming Lee and Wei{-}Yao Chiu and Hui{-}Jane Hsieh and Yinyi Lin}, editor = {Guoping Qiu and Kin{-}Man Lam and Hitoshi Kiya and Xiangyang Xue and C.{-}C. Jay Kuo and Michael S. Lew}, title = {Improving Computation of Zero-Blocks for Zero-Block Mode Decision Using Prediction Motion Vector}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2010 - 11th Pacific Rim Conference on Multimedia, Shanghai, China, September 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6298}, pages = {607--616}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15696-0\_56}, doi = {10.1007/978-3-642-15696-0\_56}, timestamp = {Mon, 26 Jun 2023 20:47:39 +0200}, biburl = {https://dblp.org/rec/conf/pcm/LeeCHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/LaiKCCW10, author = {Yu{-}Jen Lai and Wei{-}Hao Kuo and Wan{-}Ting Chiu and Shao{-}Ting Chang and Hung{-}Yu Wei}, editor = {Shivkumar Kalyanaraman and Venkata N. Padmanabhan and K. K. Ramakrishnan and Rajeev Shorey and Geoffrey M. Voelker}, title = {Accelerometer-assisted 802.11 rate adaptation on mass rapid transit system}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2010 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, New Delhi, India, August 30 -September 3, 2010}, pages = {421--422}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1851182.1851241}, doi = {10.1145/1851182.1851241}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/LaiKCCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ChenHC09, author = {Yuh{-}Shyan Chen and Wei{-}Han Hsiao and Kau{-}Lin Chiu}, title = {A cross-layer partner-based fast handoff mechanism for {IEEE} 802.11 wireless networks}, journal = {Int. J. Commun. Syst.}, volume = {22}, number = {12}, pages = {1515--1541}, year = {2009}, url = {https://doi.org/10.1002/dac.1033}, doi = {10.1002/DAC.1033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/ChenHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChiuWSWLL09, author = {Jainn{-}Shiun Chiu and Yuh{-}Feng Wang and Yu{-}Cheih Su and Ling{-}Huei Wei and Jian{-}Guo Liao and Yu{-}Chuan Li}, title = {Artificial Neural Network to Predict Skeletal Metastasis in Patients with Prostate Cancer}, journal = {J. Medical Syst.}, volume = {33}, number = {2}, pages = {91--100}, year = {2009}, url = {https://doi.org/10.1007/s10916-008-9168-2}, doi = {10.1007/S10916-008-9168-2}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChiuWSWLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/WeiCL09, author = {Chiu{-}Chi Wei and Su{-}Hui Chen and Yu{-}Chen Lee}, title = {A model for assessing organizational knowledge inventory}, journal = {Kybernetes}, volume = {38}, number = {9}, pages = {1491--1507}, year = {2009}, url = {https://doi.org/10.1108/03684920910991487}, doi = {10.1108/03684920910991487}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kybernetes/WeiCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenWCYKHL09, author = {Hsiao{-}Chin Chen and Tao Wang and Hung{-}Wei Chiu and Yu{-}Che Yang and Tze{-}Huei Kao and Guo{-}Wei Huang and Shey{-}Shi Lu}, title = {A 5-GHz-Band {CMOS} Receiver With Low {LO} Self-Mixing Front End}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {4}, pages = {705--713}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2004341}, doi = {10.1109/TCSI.2008.2004341}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ChenWCYKHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/ChenLLC09, author = {Yuh{-}Shyan Chen and Yi{-}Jiun Liao and Yun{-}Wei Lin and Ge{-}Ming Chiu}, title = {HVE-mobicast: a hierarchical-variant-egg-based mobicast routing protocol for wireless sensornets}, journal = {Telecommun. Syst.}, volume = {41}, number = {2}, pages = {121--140}, year = {2009}, url = {https://doi.org/10.1007/s11235-009-9154-0}, doi = {10.1007/S11235-009-9154-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/ChenLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ChiuC09, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen}, title = {Mobile Positioning problem in Manhattan-like urban areas: uniqueness of solution, optimal deployment of BSs, and fuzzy implementation}, journal = {{IEEE} Trans. Signal Process.}, volume = {57}, number = {12}, pages = {4918--4929}, year = {2009}, url = {https://doi.org/10.1109/TSP.2009.2026601}, doi = {10.1109/TSP.2009.2026601}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ChiuC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenTWWW09, author = {Lien{-}Wu Chen and Yu{-}Chee Tseng and You{-}Chiun Wang and Da{-}Wei Wang and Jan{-}Jan Wu}, title = {Exploiting Spectral Reuse in Routing, Resource Allocation, and Scheduling for {IEEE} 802.16 Mesh Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {58}, number = {1}, pages = {301--313}, year = {2009}, url = {https://doi.org/10.1109/TVT.2008.923685}, doi = {10.1109/TVT.2008.923685}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenTWWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChiuC09, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen}, title = {Mobile location estimation in urban areas using mixed Manhattan/Euclidean norm and convex optimization}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {1}, pages = {414--423}, year = {2009}, url = {https://doi.org/10.1109/T-WC.2009.080156}, doi = {10.1109/T-WC.2009.080156}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ChiuC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/WangTHSTCY09, author = {Chi{-}Wei Wang and Meng{-}Hsiun Tsai and An{-}Mei Hsu and Chiung{-}Chyi Shen and Shyh{-}Chang Tsaur and Yung{-}Kuan Chan and Shys{-}Fan Yang{-}Mao}, editor = {Hamid R. Arabnia and Mary Qu Yang}, title = {An Automated Image-Based Cell Counter}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {683--689}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Wed, 28 Oct 2009 10:44:56 +0100}, biburl = {https://dblp.org/rec/conf/biocomp/WangTHSTCY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/HuangCCSSL09, author = {Sheng{-}Wei Huang and Yung{-}Chang Chiu and Zhong{-}Ho Chen and Ce{-}Kuen Shieh and Alvin Wen{-}Yu Su and Tyng{-}Yeu Liang}, title = {A Region-Based Allocation Approach for Page-Based Scratch-Pad Memory in Embedded Systems}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.350}, doi = {10.1109/CSE.2009.350}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/HuangCCSSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinHYTS09, author = {Chiuhsiang Joe Lin and Min{-}Chih Hsieh and Hui{-}Chi Yu and Ping{-}Jung Tsai and Wei{-}Jung Shiang}, editor = {Julie A. Jacko}, title = {Comparing the Usability of the Icons and Functions between {IE6.0} and {IE7.0}}, booktitle = {Human-Computer Interaction. New Trends, 13th International Conference, {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5610}, pages = {465--473}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02574-7\_52}, doi = {10.1007/978-3-642-02574-7\_52}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinHYTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinYYC09, author = {Chiuhsiang Joe Lin and Chih{-}Wei Yang and Tzu{-}Chung Yenn and Lai{-}Yu Cheng}, editor = {Julie A. Jacko}, title = {Allocating Human-System Interfaces Functions by Levels of Automation in an Advanced Control Room}, booktitle = {Human-Computer Interaction. Interacting in Various Application Domains, 13th International Conference, {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {5613}, pages = {741--750}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02583-9\_80}, doi = {10.1007/978-3-642-02583-9\_80}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinYYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LinWC09, author = {Yang{-}Chiuan Lin and Hung{-}Yu Wang and Wei{-}Jeng Cheng}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {A Novel Vehicle Brake Light System}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {398--401}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.248}, doi = {10.1109/IIH-MSP.2009.248}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LinWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiYC09, author = {I{-}Wei Lai and Tsung{-}Han Yu and Tzi{-}Dar Chiueh}, title = {Low-complexity Adaptive Channel Estimation for {OFDM} System in Fast-fading Channel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {685--688}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117841}, doi = {10.1109/ISCAS.2009.5117841}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/DingCTCCCHCCLCC09, author = {Li{-}Fu Ding and Wei{-}Yin Chen and Pei{-}Kuei Tsung and Tzu{-}Der Chuang and Hsu{-}Kuang Chiu and Yu{-}Han Chen and Pai{-}Heng Hsiao and Shao{-}Yi Chien and Tung{-}Chien Chen and Ping{-}Chih Lin and Chia{-}Yu Chang and Liang{-}Gee Chen}, title = {A 212MPixels/s 4096{\texttimes}2160p multiview video encoder chip for 3D/quad {HDTV} applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {154--155}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977354}, doi = {10.1109/ISSCC.2009.4977354}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/DingCTCCCHCCLCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Chih{-}Chieh Yang and Shih{-}Hung Lin and Kuo{-}Pin Lan and Chien{-}Hua Wu and Ting{-}Hsun Wei and Chi{-}Chin Lien and Jiun{-}Yuan Wu and Chih{-}Hao Hsiao and Te{-}Wei Chen and Yeh{-}Lin Chu and Guan{-}Yi Lin and Yung{-}Chang Chang and Kung{-}Sheng Lin and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Chien{-}Hung Lin and Yung{-}Teng Lin and Shang{-}Ming Lee and Ya{-}Ching Yang and Yu{-}Lun Cheng and Chen{-}Chia Lee and Ming{-}Shiang Lai and Wen{-}Hua Wu and Ted Hu and Chao{-}Wei Tseng and Chen{-}Yu Hsiao and Wei{-}Liang Lee and Bo{-}Jiun Chen and Pao{-}Cheng Chiu and Shang{-}Ping Chen and Kun{-}Hsien Li and Kuan{-}Hua Chao and Chien{-}Ming Chen and Chuan{-}Cheng Hsiao and Jeffrey Ju and Wei{-}Hung Huang and Chi{-}Hui Wang and Hung{-}Sung Li and Evan Su and Joe Chen}, title = {A multi-format Blu-ray player SoC in 90nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {152--153}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977353}, doi = {10.1109/ISSCC.2009.4977353}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuCHCLCYMC09, author = {Wenbo Liu and Yuchun Chang and Szukang Hsien and Bo{-}Wei Chen and Yung{-}Pin Lee and Wen{-}Tsao Chen and Tzu{-}Yi Yang and Gin{-}Kou Ma and Yun Chiu}, title = {A 600MS/s 30mW 0.13{\(\mathrm{\mu}\)}m {CMOS} {ADC} array achieving over 60dB {SFDR} with adaptive digital equalization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {82--83}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977318}, doi = {10.1109/ISSCC.2009.4977318}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LiuCHCLCYMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChuLHCT09, author = {Po{-}Heng Chu and Rung{-}Bin Lin and Da{-}Wei Hsu and Yu{-}Hsing Chen and Wei{-}Chiu Tseng}, title = {Context-aware Post Routing Redundant Via Insertion}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2009, 13-15 May 2009, Tampa, Florida, {USA}}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISVLSI.2009.39}, doi = {10.1109/ISVLSI.2009.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChuLHCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChiuC09, author = {Wei{-}Yu Chiu and Bor{-}Sen Chen}, editor = {Mohsen Guizani and Peter M{\"{u}}ller and Klaus{-}Peter F{\"{a}}hnrich and Athanasios V. Vasilakos and Yan Zhang and Jun Zhang}, title = {Locating mobiles in general urban areas using combined convex optimization and weight-product tracking method}, booktitle = {Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, {IWCMC} 2009, Leipzig, Germany, June 21-24, 2009}, pages = {1085--1089}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1582379.1582617}, doi = {10.1145/1582379.1582617}, timestamp = {Thu, 15 Aug 2024 07:54:34 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ChiuC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/YenLWWO09, author = {Show{-}Jane Yen and Yue{-}Shi Lee and Chiu{-}Kuang Wang and Jung{-}Wei Wu and Liang{-}Yuh Ouyang}, editor = {Thanaruk Theeramunkong and Boonserm Kijsirikul and Nick Cercone and Tu Bao Ho}, title = {The Studies of Mining Frequent Patterns Based on Frequent Pattern Tree}, booktitle = {Advances in Knowledge Discovery and Data Mining, 13th Pacific-Asia Conference, {PAKDD} 2009, Bangkok, Thailand, April 27-30, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5476}, pages = {232--241}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01307-2\_23}, doi = {10.1007/978-3-642-01307-2\_23}, timestamp = {Fri, 25 Dec 2020 01:15:13 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/YenLWWO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/PaoCCC09, author = {Wei{-}Cheng Pao and Hsien{-}Cheng Chiu and Dah{-}Chung Chang and Yung{-}Fang Chen}, title = {Recursive parameter estimation for regression channel model in pilot-aided {OFDM} systems}, booktitle = {2009 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2009, Proceedings, Budapest, Hungary, 5-8 April 2009}, pages = {959--964}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/WCNC.2009.4917937}, doi = {10.1109/WCNC.2009.4917937}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/PaoCCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HsuLBLTCC08, author = {Jung{-}Lung Hsu and Alexander Leemans and Chyi{-}Huey Bai and Cheng{-}Hui Lee and Yuh{-}Feng Tsai and Hou{-}Chang Chiu and Wei{-}Hung Chen}, title = {Gender differences and age-related white matter changes of the human brain: {A} diffusion tensor imaging study}, journal = {NeuroImage}, volume = {39}, number = {2}, pages = {566--577}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2007.09.017}, doi = {10.1016/J.NEUROIMAGE.2007.09.017}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HsuLBLTCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LinCHCKLHHD08, author = {Chin{-}Teng Lin and Yu{-}Chieh Chen and Teng{-}Yi Huang and Tien{-}Ting Chiu and Li{-}Wei Ko and Sheng{-}Fu Liang and Hung{-}Yi Hsieh and Shang Hwa Hsu and Jeng{-}Ren Duann}, title = {Development of Wireless Brain Computer Interface With Embedded Multitask Scheduling and its Application on Real-Time Driver's Drowsiness Detection and Warning}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {55}, number = {5}, pages = {1582--1591}, year = {2008}, url = {https://doi.org/10.1109/TBME.2008.918566}, doi = {10.1109/TBME.2008.918566}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LinCHCKLHHD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HongCGNSLLCP08, author = {Yang Hong and Chi Fat Chan and Jianping Guo and Yuen Sum Ng and Weiwei Shi and Lincoln Lai Kan Leung and Ka Nang Leung and Chiu{-}sing Choy and Kong{-}Pang Pun}, title = {Design of passive {UHF} {RFID} tag in 130nm {CMOS} technology}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1371--1374}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746284}, doi = {10.1109/APCCAS.2008.4746284}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HongCGNSLLCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoLCYLC08, author = {Chun{-}Hao Liao and Jun{-}Wei Lin and Yen{-}Shuo Chang and Ching{-}Hao Yu and Chun{-}Hao Liu and Tzi{-}Dar Chiueh}, title = {An {IP} generator for quasi-cyclic {LDPC} convolutional code decoders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746354}, doi = {10.1109/APCCAS.2008.4746354}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoLCYLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csse/YenLWW08, author = {Show{-}Jane Yen and Yue{-}Shi Lee and Chiu{-}Kuang Wang and Jung{-}Wei Wu}, title = {An Efficient Approach for Mining Frequent Patterns Based on Traversing a Frequent Pattern Tree}, booktitle = {International Conference on Computer Science and Software Engineering, {CSSE} 2008, Volume 4: Embedded Programming / Database Technology / Neural Networks and Applications / Other Applications, December 12-14, 2008, Wuhan, China}, pages = {354--357}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CSSE.2008.801}, doi = {10.1109/CSSE.2008.801}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csse/YenLWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TsengCL08, author = {Wei{-}Chiu Tseng and Yu{-}Hsing Chen and Rung{-}Bin Lin}, title = {Router and cell library co-development for improving redundant via insertion at pins}, booktitle = {26th International Conference on Computer Design, {ICCD} 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings}, pages = {646--651}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCD.2008.4751929}, doi = {10.1109/ICCD.2008.4751929}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/TsengCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChiuWKCCYT08, author = {Ching{-}Te Chiu and Tsun{-}Hsien Wang and Wei{-}Ming Ke and Chen{-}Yu Chuang and Jhih{-}Rong Chen and Rong Yang and Ren{-}Song Tsay}, title = {Design optimization of a global/local tone mapping processor on arm {SOC} platform for real-time high dynamic range video}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2008, October 12-15, 2008, San Diego, California, {USA}}, pages = {1400--1403}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICIP.2008.4712026}, doi = {10.1109/ICIP.2008.4712026}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChiuWKCCYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCCCLK08, author = {Chin{-}Teng Lin and Hong{-}Zhang Lin and Tzai{-}Wen Chiu and Chih{-}Feng Chao and Yu{-}Chieh Chen and Sheng{-}Fu Liang and Li{-}Wei Ko}, title = {Distraction-related {EEG} dynamics in virtual reality driving simulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {1088--1091}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541611}, doi = {10.1109/ISCAS.2008.4541611}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCCCLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHLHCCC08, author = {Chung{-}Fu Lin and Chia{-}Fu Huang and De{-}Chung Lu and Chih{-}Chiang Hsu and Wen{-}Tsung Chiu and Yu{-}Wei Chen and Yeong{-}Jar Chang}, editor = {Douglas Young and Nur A. Touba}, title = {A Low-Cost Programmable Memory {BIST} Design for Multiple Memory Instances}, booktitle = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara, California, USA, October 26-31, 2008}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/TEST.2008.4700691}, doi = {10.1109/TEST.2008.4700691}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinHLHCCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/ChangSCCH08, author = {Ruey{-}Feng Chang and Yi{-}Wei Shen and Jiayu Chen and Yi{-}Hong Chou and Chiun{-}Sheng Huang}, editor = {Maryellen L. Giger and Nico Karssemeijer}, title = {Rib detection for whole breast ultrasound image}, booktitle = {Medical Imaging 2008: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6915}, pages = {691525}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.769602}, doi = {10.1117/12.769602}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/ChangSCCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/ChengCCLT08, author = {Yung{-}Pin Cheng and Jih{-}Feng Chen and Ming{-}Chieh Chiu and Nien{-}Wei Lai and Chien{-}Chih Tseng}, editor = {Gail E. Harris}, title = {xDIVA: a debugging visualization system with composable visualization metaphors}, booktitle = {Companion to the 23rd Annual {ACM} {SIGPLAN} Conference on Object-Oriented Programming, Systems, Languages, and Applications, {OOPSLA} 2008, October 19-13, 2007, Nashville, TN, {USA}}, pages = {807--810}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1449814.1449869}, doi = {10.1145/1449814.1449869}, timestamp = {Mon, 12 Jul 2021 15:34:15 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/ChengCCLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChiuWKCHWT08, author = {Ching{-}Te Chiu and Tsun{-}Hsien Wang and Wei{-}Ming Ke and Chen{-}Yu Chuang and Jhih{-}Siao Huang and Wei{-}Su Wong and Ren{-}Song Tsay}, title = {A 100MHz real-time tone mapping processor with integrated photographic and gradient compression in 0.13 mum technology}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2008, October 8-10, 2008, Washington, {D.C.} Metro Area, {USA}}, pages = {25--30}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SIPS.2008.4671732}, doi = {10.1109/SIPS.2008.4671732}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChiuWKCHWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vee/YuKLC08, author = {Yang Yu and Hariharan Kolam and Lap{-}Chung Lam and Tzi{-}cker Chiueh}, editor = {David Gregg and Vikram S. Adve and Brian N. Bershad}, title = {Applications of a feather-weight virtual machine}, booktitle = {Proceedings of the 4th International Conference on Virtual Execution Environments, {VEE} 2008, Seattle, WA, USA, March 5-7, 2008}, pages = {171--180}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1346256.1346280}, doi = {10.1145/1346256.1346280}, timestamp = {Mon, 12 Jul 2021 15:34:15 +0200}, biburl = {https://dblp.org/rec/conf/vee/YuKLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HuangCCL07, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Secure multicast in dynamic environments}, journal = {Comput. Networks}, volume = {51}, number = {10}, pages = {2805--2817}, year = {2007}, url = {https://doi.org/10.1016/j.comnet.2006.11.027}, doi = {10.1016/J.COMNET.2006.11.027}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/HuangCCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/YuanCN07, author = {Jinjiang Yuan and T. C. Edwin Cheng and C. T. Ng}, title = {NP-hardness of the single-variable-resource scheduling problem to minimize the total weighted completion time}, journal = {Eur. J. Oper. Res.}, volume = {178}, number = {2}, pages = {631--633}, year = {2007}, url = {https://doi.org/10.1016/j.ejor.2006.02.014}, doi = {10.1016/J.EJOR.2006.02.014}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/YuanCN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/NgY07, author = {Truman Chiu{-}Yam Ng and Wei Yu}, title = {Joint optimization of relay strategies and resource allocations in cooperative cellular networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {25}, number = {2}, pages = {328--339}, year = {2007}, url = {https://doi.org/10.1109/JSAC.2007.070209}, doi = {10.1109/JSAC.2007.070209}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/NgY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenYLH07, author = {Bo{-}Chiuan Chen and Cheng{-}Chi Yu and Wei{-}Shuo Lee and Wei{-}Feng Hsu}, title = {Design of an Electric Differential System for Three-Wheeled Electric Welfare Vehicles With Driver-in-the-Loop Verification}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {56}, number = {4}, pages = {1498--1505}, year = {2007}, url = {https://doi.org/10.1109/TVT.2007.896975}, doi = {10.1109/TVT.2007.896975}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenYLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinJYHCT07, author = {Chiuhsiang Joe Lin and Yung{-}Tsan Jou and Chih{-}Wei Yang and Chun{-}Lang Huang and Tse{-}Jung Chen and Ming{-}Zhe Tsai}, editor = {Julie A. Jacko}, title = {Designing an Ergonomic Computer Console on the Ship}, booktitle = {Human-Computer Interaction. {HCI} Applications and Services, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4553}, pages = {629--638}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73111-5\_71}, doi = {10.1007/978-3-540-73111-5\_71}, timestamp = {Sun, 02 Jun 2019 21:16:47 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinJYHCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinJYLHC07, author = {Chiuhsiang Joe Lin and Yung{-}Tsan Jou and Chih{-}Wei Yang and Shi{-}Bin Lin and Yao{-}Hung Hsieh and Hung{-}Jen Chen}, editor = {Julie A. Jacko}, title = {A Human-Centered Systems Approach to Ship Facility Design}, booktitle = {Human-Computer Interaction. {HCI} Applications and Services, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4553}, pages = {639--648}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73111-5\_72}, doi = {10.1007/978-3-540-73111-5\_72}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinJYLHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinSYJYC07, author = {Chiuhsiang Joe Lin and Dylan Sung and Ching{-}Chow Yang and Yung{-}Tsan Jou and Chih{-}Wei Yang and Lai{-}Yu Cheng}, editor = {Nuray M. Aykin}, title = {Designing Globally Accepted Human Interfaces for Instant Messaging}, booktitle = {Usability and Internationalization. Global and Local User Interfaces, Second International Conference on Usability and Internationalization, {UI-HCII} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4560}, pages = {150--159}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73289-1\_19}, doi = {10.1007/978-3-540-73289-1\_19}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinSYJYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YangLJY07, author = {Chih{-}Wei Yang and Chiuhsiang Joe Lin and Yung{-}Tsan Jou and Tzu{-}Chung Yenn}, editor = {Don Harris}, title = {A Review of Current Human Reliability Assessment Methods Utilized in High Hazard Human-System Interface Design}, booktitle = {Engineering Psychology and Cognitive Ergonomics, 7th International Conference, {EPCE} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4562}, pages = {212--221}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73331-7\_23}, doi = {10.1007/978-3-540-73331-7\_23}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/YangLJY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChienWLHCG07, author = {Chih{-}Da Chien and Chih{-}Wei Wang and Chiun{-}Chau Lin and Tien{-}Wei Hsieh and Yuan{-}Hwa Chu and Jiun{-}In Guo}, title = {A Low Latency Memory Controller for Video Coding Systems}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {1211--1214}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284874}, doi = {10.1109/ICME.2007.4284874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChienWLHCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WuHWCC07, author = {Qi Wu and Chingchun Huang and Shih{-}yu Wang and Wei{-}Chen Chiu and Tsuhan Chen}, title = {Robust Parking Space Detection Considering Inter-Space Correlation}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {659--662}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284736}, doi = {10.1109/ICME.2007.4284736}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/WuHWCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmap/ChenCLCYTS07, author = {Jia{-}Ming Chen and Chiu{-}Ling Chen and Jian{-}Liang Luo and Po{-}Wen Cheng and Chia{-}Hao Yu and Shau{-}Yin Tseng and Wei{-}Kuan Shih}, editor = {S{\'{e}}rgio M. M. de Faria and Pedro A. Amado Assun{\c{c}}{\~{a}}o}, title = {Realization and Optimization of {H.264} Decoder for Dual-Core SoC}, booktitle = {{SIGMAP} 2007 - Proceedings of the Second International Conference on Signal Processing and Multimedia Applications, Barcelona, Spain, July 28-31, 2007, {SIGMAP} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {309--316}, publisher = {{INSTICC} Press}, year = {2007}, timestamp = {Fri, 14 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmap/ChenCLCYTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenHC07, author = {Yuh{-}Shyan Chen and Wei{-}Han Hsiao and Kau{-}Lin Chiu}, title = {Cross-Layer Partner-Based Fast Handoff Mechanism for {IEEE} 802.11 Wireless Networks}, booktitle = {Proceedings of the 66th {IEEE} Vehicular Technology Conference, {VTC} Fall 2007, 30 September - 3 October 2007, Baltimore, MD, {USA}}, pages = {1474--1478}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VETECF.2007.314}, doi = {10.1109/VETECF.2007.314}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/WangCCTHCLH07, author = {Shie{-}Yuan Wang and Chih{-}Liang Chou and Y. H. Chiu and Yan{-}Shiun Tzeng and M. S. Hsu and Yow{-}Wei Cheng and W. L. Liu and Tin{-}Wei Ho}, title = {NCTUns 4.0: An Integrated Simulation Platform for Vehicular Traffic, Communication, and Network Researches}, booktitle = {Proceedings of the 66th {IEEE} Vehicular Technology Conference, {VTC} Fall 2007, 30 September - 3 October 2007, Baltimore, MD, {USA}}, pages = {2081--2085}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VETECF.2007.437}, doi = {10.1109/VETECF.2007.437}, timestamp = {Sat, 06 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/WangCCTHCLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/TsengWHLPCC07, author = {Tsu{-}Wei Tseng and Chun{-}Hsien Wu and Yu{-}Jen Huang and Jin{-}Fu Li and Alex Pao and Kevin Chiu and Eliot Chen}, title = {A Built-In Self-Repair Scheme for Multiport RAMs}, booktitle = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley, California, {USA}}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VTS.2007.4}, doi = {10.1109/VTS.2007.4}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/TsengWHLPCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/WangHWYFLHC06, author = {Yuh{-}Feng Wang and Tsung{-}Ming Hu and Chiachao Wu and Fu{-}Chiu Yu and Chao{-}Ming Fu and Shih{-}Hua Lin and Wei{-}Hsin Huang and Jainn{-}Shiun Chiu}, title = {Prediction of target range of intact parathyroid hormone in hemodialysis patients with artificial neural network}, journal = {Comput. Methods Programs Biomed.}, volume = {83}, number = {2}, pages = {111--119}, year = {2006}, url = {https://doi.org/10.1016/j.cmpb.2006.06.001}, doi = {10.1016/J.CMPB.2006.06.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/WangHWYFLHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YangLCLHL06, author = {Yu{-}Che Yang and Po{-}Wei Lee and Hung{-}Wei Chiu and Yo{-}Sheng Lin and Guo{-}Wei Huang and Shey{-}Shi Lu}, title = {Reconfigurable SiGe Low-Noise Amplifiers With Variable Miller Capacitance}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {53-I}, number = {12}, pages = {2567--2577}, year = {2006}, url = {https://doi.org/10.1109/TCSI.2006.883846}, doi = {10.1109/TCSI.2006.883846}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YangLCLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ChengNY06, author = {T. C. Edwin Cheng and C. T. Ng and Jinjiang Yuan}, title = {Multi-agent scheduling on a single machine to minimize total weighted number of tardy jobs}, journal = {Theor. Comput. Sci.}, volume = {362}, number = {1-3}, pages = {273--281}, year = {2006}, url = {https://doi.org/10.1016/j.tcs.2006.07.011}, doi = {10.1016/J.TCS.2006.07.011}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/ChengNY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/HuangCCL06, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Chin{-}Laung Lei}, editor = {Ferng{-}Ching Lin and Der{-}Tsai Lee and Bao{-}Shuh Paul Lin and Shiuhpyng Shieh and Sushil Jajodia}, title = {A distributed key assignment protocol for secure multicast based on proxy cryptography}, booktitle = {Proceedings of the 2006 {ACM} Symposium on Information, Computer and Communications Security, {ASIACCS} 2006, Taipei, Taiwan, March 21-24, 2006}, pages = {359}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128817.1128875}, doi = {10.1145/1128817.1128875}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/HuangCCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/NgYZR06, author = {Truman Chiu{-}Yam Ng and Wei Yu and Jianzhong Zhang and Anthony Reid}, title = {Joint Optimization of Relay Strategies and Resource Allocations in Cooperative Cellular Networks}, booktitle = {40th Annual Conference on Information Sciences and Systems, {CISS} 2006, Princeton, NJ, USA, 22-24 March 2006}, pages = {1553--1559}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CISS.2006.286386}, doi = {10.1109/CISS.2006.286386}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ciss/NgYZR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/ChiuHS06, author = {Yu{-}Wei Chiu and Fang{-}Rong Hsu and Man{-}Kwan Shan}, title = {Comparative Analysis of Exon Skipping Patterns in Human and Mouse}, booktitle = {17th International Workshop on Database and Expert Systems Applications {(DEXA} 2006), 4-8 September 2006, Krakow, Poland}, pages = {223--227}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DEXA.2006.42}, doi = {10.1109/DEXA.2006.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexaw/ChiuHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/TsengWH06, author = {Chih{-}Ming Tseng and Yun{-}Fei Wei and Chiun{-}Chieh Hsu}, editor = {Hamid R. Arabnia}, title = {On the Topic Discovery Using Query Logs and Hyperlink}, booktitle = {Proceedings of the 2006 International Conference on Internet Computing {\&} Conference on Computer Games Development, {ICOMP} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {288--294}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Tue, 02 Jan 2007 12:31:37 +0100}, biburl = {https://dblp.org/rec/conf/ic/TsengWH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/LeeHL06, author = {Ming{-}Yu Lee and Chiung{-}Wei Huang and Hahn{-}Ming Lee}, title = {Associating Expertized Information to Alleviate Sparsity Problem in Personalization}, booktitle = {2006 {IEEE} International Conference on e-Business Engineering {(ICEBE} 2006), 24-26 October 2006, Shanghai, China}, pages = {479--482}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICEBE.2006.28}, doi = {10.1109/ICEBE.2006.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/LeeHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ChenLHF06, author = {Chiu{-}Hsiung Chen and Chih{-}Min Lin and Yu{-}Ling Hou and Wei{-}Che Fan}, title = {CMAC-Based Supervisory Control for Chaotic Chua's Circuits}, booktitle = {Proceedings of the International Joint Conference on Neural Networks, {IJCNN} 2006, part of the {IEEE} World Congress on Computational Intelligence, {WCCI} 2006, Vancouver, BC, Canada, 16-21 July 2006}, pages = {3114--3119}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IJCNN.2006.247293}, doi = {10.1109/IJCNN.2006.247293}, timestamp = {Tue, 10 Aug 2021 14:29:47 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ChenLHF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LinFCH06, author = {Chih{-}Min Lin and Wei{-}Che Fan and Chiu{-}Hsiung Chen and Yu{-}Ling Hou}, title = {Robust Control for Biped Robot Using Cerebellar Model Articulation Controller}, booktitle = {Proceedings of the International Joint Conference on Neural Networks, {IJCNN} 2006, part of the {IEEE} World Congress on Computational Intelligence, {WCCI} 2006, Vancouver, BC, Canada, 16-21 July 2006}, pages = {2485--2490}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IJCNN.2006.247098}, doi = {10.1109/IJCNN.2006.247098}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LinFCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PerngCYK06, author = {Nei{-}Chiung Perng and Jian{-}Jia Chen and Chuan{-}Yue Yang and Tei{-}Wei Kuo}, title = {Energy-efficient scheduling on multi-context FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692830}, doi = {10.1109/ISCAS.2006.1692830}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PerngCYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/YangHPCLHHHTPK06, author = {Chuan{-}Yue Yang and Yu{-}Kai Huang and Nei{-}Chiung Perng and Jian{-}Jia Chen and Yung{-}Hen Lee and Chia{-}Mei Hung and Heng{-}Ruey Hsu and Szu{-}Wei Huang and Hsueh{-}Wen Tseng and Ai{-}Chun Pang and Tei{-}Wei Kuo}, title = {Another real-time operating system and unified {MAC} protocol for home controlling and monitoring}, booktitle = {The Fourth {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems and the Second International Workshop on Collaborative Computing, Integration, and Assurance, {SEUS} 2006 / {WCCIA} 2006, Gyeongju, South Korea, April 27-28, 2006}, pages = {152--156}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SEUS-WCCIA.2006.15}, doi = {10.1109/SEUS-WCCIA.2006.15}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seus/YangHPCLHHHTPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenLCL06, author = {Chih{-}Ming Chen and Chao{-}Yu Liu and Wei{-}Chia Chiu and Tai{-}Hung Lee}, title = {Personalized E-News Monitoring Agent System for Tracking the User-Interested Chinese News Events}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {1062--1067}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.384540}, doi = {10.1109/ICSMC.2006.384540}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenLCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HuangYML06, author = {Chiung{-}Wei Huang and Ching{-}Chung Yu and Ching{-}Hao Mao and Hahn{-}Ming Lee}, title = {Network Motif Model: An Efficient Approach for Extracting Features from Relational Data}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {5141--5146}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.385124}, doi = {10.1109/ICSMC.2006.385124}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/HuangYML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vee/YuGNLC06, author = {Yang Yu and Fanglu Guo and Susanta Nanda and Lap{-}Chung Lam and Tzi{-}cker Chiueh}, editor = {Hans{-}Juergen Boehm and David Grove}, title = {A feather-weight virtual machine for windows applications}, booktitle = {Proceedings of the 2nd International Conference on Virtual Execution Environments, {VEE} 2006, Ottawa, Ontario, Canada, June 14-16, 2006}, pages = {24--34}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1134760.1134766}, doi = {10.1145/1134760.1134766}, timestamp = {Mon, 12 Jul 2021 15:34:15 +0200}, biburl = {https://dblp.org/rec/conf/vee/YuGNLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ChengNYL05, author = {T. C. Edwin Cheng and C. T. Ng and Jinjiang Yuan and Zhaohui Liu}, title = {Single machine scheduling to minimize total weighted tardiness}, journal = {Eur. J. Oper. Res.}, volume = {165}, number = {2}, pages = {423--443}, year = {2005}, url = {https://doi.org/10.1016/j.ejor.2004.04.013}, doi = {10.1016/J.EJOR.2004.04.013}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ChengNYL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoHCCPT05, author = {Ming{-}Hsiang Cho and Guo{-}Wei Huang and Chia{-}Sung Chiu and Kun{-}Ming Chen and An{-}Sam Peng and Yu{-}Min Teng}, title = {A Cascade Open-Short-Thru {(COST)} De-Embedding Method for Microwave On-Wafer Characterization and Automatic Measurement}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {5}, pages = {845--850}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.5.845}, doi = {10.1093/IETELE/E88-C.5.845}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoHCCPT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChuangWC05, author = {Po{-}Jen Chuang and Chia{-}Hsin Wei and Yu{-}Shian Chiu}, title = {{GAA:} {A} New Optimization Technique for Task Matching and Scheduling in HCSs}, journal = {J. Inf. Sci. Eng.}, volume = {21}, number = {2}, pages = {287--308}, year = {2005}, url = {http://www.iis.sinica.edu.tw/page/jise/2005/200503\_03.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChuangWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LuLCCM05, author = {Shey{-}Shi Lu and Yo{-}Sheng Lin and Hung{-}Wei Chiu and Yu{-}Chang Chen and Chin{-}Chun Meng}, title = {The determination of S-parameters from the poles of voltage-gain transfer function for {RF} {IC} design}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {52-I}, number = {1}, pages = {191--199}, year = {2005}, url = {https://doi.org/10.1109/TCSI.2004.840084}, doi = {10.1109/TCSI.2004.840084}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LuLCCM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/TsaiKC05, author = {Pei{-}Yun Tsai and Hsin{-}Yu Kang and Tzi{-}Dar Chiueh}, title = {Joint weighted least-squares estimation of carrier-frequency offset and timing offset for {OFDM} systems over multipath fading channels}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {54}, number = {1}, pages = {211--223}, year = {2005}, url = {https://doi.org/10.1109/TVT.2004.838891}, doi = {10.1109/TVT.2004.838891}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/TsaiKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/HuangCCCL05, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Hann{-}Huei Chiou and Chin{-}Laung Lei}, title = {Secure Content Delivery using Key Composition}, booktitle = {30th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2005), 15-17 November 2005, Sydney, Australia, Proceedings}, pages = {484--485}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/LCN.2005.120}, doi = {10.1109/LCN.2005.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/HuangCCCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HuangCCCTLCLK04, author = {Chih{-}Yao Huang and Wei{-}Fang Chen and Song{-}Yu Chuan and Fu{-}Chien Chiu and Jeng{-}Chou Tseng and I{-}Cheng Lin and Chuan{-}Jane Chao and Len{-}Yi Leu and Ming{-}Dou Ker}, title = {Design optimization of {ESD} protection and latchup prevention for a serial {I/O} {IC}}, journal = {Microelectron. Reliab.}, volume = {44}, number = {2}, pages = {213--221}, year = {2004}, url = {https://doi.org/10.1016/j.microrel.2003.09.008}, doi = {10.1016/J.MICROREL.2003.09.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HuangCCCTLCLK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WuCC04, author = {Chung{-}Hsien Wu and Yu{-}Hsien Chiu and Kung{-}Wei Cheng}, title = {Error-Tolerant Sign Retrieval Using Visual Features and Maximum {A} Posteriori Estimation}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {26}, number = {4}, pages = {495--508}, year = {2004}, url = {https://doi.org/10.1109/TPAMI.2004.1265864}, doi = {10.1109/TPAMI.2004.1265864}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/WuCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metmbs/ChiuCTHK04, author = {Hua{-}Sheng Chiu and Han{-}Yu Chuang and Huai{-}Kuang Tsai and Tao{-}Wei Huang and Cheng{-}Yan Kao}, editor = {Faramarz Valafar and Homayoun Valafar}, title = {Discovering Statistically Significant Clusters by Using Iterative Genetic Algorithms in Gene Expression Data}, booktitle = {Proceedings of the International Conference on Mathematics and Engineering Techniques in Medicine and Biological Scienes, {METMBS} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {243--249}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Thu, 23 Jun 2016 15:53:27 +0200}, biburl = {https://dblp.org/rec/conf/metmbs/ChiuCTHK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/NgCY03, author = {C. T. Ng and T. C. Edwin Cheng and Jinjiang Yuan}, title = {Concurrent Open Shop Scheduling to Minimize the Weighted Number of Tardy Jobs}, journal = {J. Sched.}, volume = {6}, number = {4}, pages = {405--412}, year = {2003}, url = {https://doi.org/10.1023/A:1024284828374}, doi = {10.1023/A:1024284828374}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scheduling/NgCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChuangHCTDC02, author = {Tien{-}Yow Chuang and Wei{-}Shin Huang and Shu{-}Chiung Chiang and Yun{-}An Tsai and Ji{-}Liang Doong and Henrich Cheng}, title = {A virtual reality-based system for hand function analysis}, journal = {Comput. Methods Programs Biomed.}, volume = {69}, number = {3}, pages = {189--196}, year = {2002}, url = {https://doi.org/10.1016/S0169-2607(01)00190-0}, doi = {10.1016/S0169-2607(01)00190-0}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChuangHCTDC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HuangC02, author = {Yuan{-}Hao Huang and Tzi{-}Dar Chiueh}, title = {A new audio coding scheme using a forward masking model and perceptually weighted vector quantization}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {10}, number = {5}, pages = {325--335}, year = {2002}, url = {https://doi.org/10.1109/TSA.2002.800559}, doi = {10.1109/TSA.2002.800559}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/HuangC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/HungTCL02, author = {Chih Yuan Hung and Chin{-}Ping Tan and Li Chiung Chuang and Wei{-}Tsong Lee}, title = {The implementation of the communication framework of {SIP} and {MGCP} in VoIP applications}, booktitle = {Proceedings 10th {IEEE} International Conference on Networks: Towards Network Superiority, {ICON} 2002, Singapore, August 27-30, 2002}, pages = {449--454}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICON.2002.1033352}, doi = {10.1109/ICON.2002.1033352}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icon/HungTCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WuCC02, author = {Chung{-}Hsien Wu and Yu{-}Hsien Chiu and Kung{-}Wei Cheng}, editor = {John H. L. Hansen and Bryan L. Pellom}, title = {Sign language translation using an error tolerant retrieval algorithm}, booktitle = {7th International Conference on Spoken Language Processing, {ICSLP2002} - {INTERSPEECH} 2002, Denver, Colorado, USA, September 16-20, 2002}, pages = {637--640}, publisher = {{ISCA}}, year = {2002}, url = {https://doi.org/10.21437/ICSLP.2002-211}, doi = {10.21437/ICSLP.2002-211}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WuCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/WuCC01, author = {Chung{-}Hsien Wu and Yu{-}Hsien Chiu and Kung{-}Wei Cheng}, editor = {Heung{-}Yeung Shum and Mark Liao and Shih{-}Fu Chang}, title = {Multi-modal Sign Icon Retrieval for Augmentative Communication}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2001, Second {IEEE} Pacific Rim Conference on Multimedia, Bejing, China, October 24-26, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2195}, pages = {598--605}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45453-5\_77}, doi = {10.1007/3-540-45453-5\_77}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pcm/WuCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/RuanLCLTY00, author = {Shanq{-}Jang Ruan and Jen{-}Chiun Lin and Po{-}Hung Chen and Feipei Lai and Kun{-}Lin Tsai and Chung{-}Wei Yu}, title = {An effective output-oriented algorithm for low power multipartition architecture}, booktitle = {Proceedings of the 2000 7th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2000, Jounieh, Lebanon, December 17-20, 2000}, pages = {609--612}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICECS.2000.911613}, doi = {10.1109/ICECS.2000.911613}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/RuanLCLTY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ChangYCCT99, author = {Yue{-}Shan Chang and Shyan{-}Ming Yuan and Hsin{-}Ta Chiao and Chi{-}Hwang Chiu and Wei{-}Pung Tsay}, editor = {Hamid R. Arabnia}, title = {Design and Implementation of {IIPC} Locking Facility}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1999, June 28 - July 1, 1999, Las Vegas, Nevada, {USA}}, pages = {2321--2327}, publisher = {{CSREA} Press}, year = {1999}, timestamp = {Tue, 30 Jul 2024 10:34:32 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ChangYCCT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/WangHYSBHCYL97, author = {Hsin{-}Min Wang and Tai{-}Hsuan Ho and Rung{-}Chiung Yang and Jia{-}Lin Shen and Bo{-}Ren Bai and Jenn{-}Chau Hong and Wei{-}Peng Chen and Tong{-}Lo Yu and Lin{-}Shan Lee}, title = {Complete recognition of continuous Mandarin speech for Chinese language with very large vocabulary using limited training data}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {5}, number = {2}, pages = {195--200}, year = {1997}, url = {https://doi.org/10.1109/89.554782}, doi = {10.1109/89.554782}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/WangHYSBHCYL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KuCCL96, author = {Chung{-}Wei Ku and You{-}Ming Chiu and Liang{-}Gee Chen and Yung{-}Pin Lee}, title = {Building a pseudo object-oriented very low bit-rate video coding system from a modified optical flow motion estimation algorithm}, booktitle = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, pages = {2064--2067}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICASSP.1996.545724}, doi = {10.1109/ICASSP.1996.545724}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KuCCL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KuCCL96, author = {Chung{-}Wei Ku and Liang{-}Gee Chen and You{-}Ming Chiu and Yung{-}Pin Lee}, title = {A pseudo-object-oriented very low bit-rate video coding system with cache {VQ} for detail compensation}, booktitle = {Proceedings 1996 International Conference on Image Processing, Lausanne, Switzerland, September 16-19, 1996}, pages = {653--656}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICIP.1996.559583}, doi = {10.1109/ICIP.1996.559583}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icip/KuCCL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LyuCHHYBWYLCTL95, author = {Ren{-}Yuan Lyu and Lee{-}Feng Chien and Shiao{-}Hong Hwang and Hung{-}Yun Hsieh and Rung{-}Chiuan Yang and Bo{-}Ren Bai and Jia{-}Chi Weng and Yen{-}Ju Yang and Shi{-}Wei Lin and Keh{-}Jiann Chen and Chiu{-}yu Tseng and Lin{-}Shan Lee}, title = {Golden Mandarin (III)-a user-adaptive prosodic-segment-based Mandarin dictation machine for Chinese language with very large vocabulary}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {57--60}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.479272}, doi = {10.1109/ICASSP.1995.479272}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LyuCHHYBWYLCTL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.