default search action
Search dblp for Publications
export results for "Tin Lai"
@article{DBLP:journals/aeog/GaoYCLWWWWK24, author = {Yuanfeng Gao and Ting Yun and Bangqian Chen and Hongyan Lai and Xincheng Wang and Guizhen Wang and Xiangjun Wang and Zhixiang Wu and Weili Kou}, title = {Improving the accuracy of canopy height mapping in rubber plantations based on stand age, multi-source satellite images, and random forest algorithm}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {131}, pages = {103941}, year = {2024}, url = {https://doi.org/10.1016/j.jag.2024.103941}, doi = {10.1016/J.JAG.2024.103941}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/GaoYCLWWWWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/WangCDGWLWYKY24, author = {Xincheng Wang and Bangqian Chen and Jinwei Dong and Yuanfeng Gao and Guizhen Wang and Hongyan Lai and Zhixiang Wu and Chuan Yang and Weili Kou and Ting Yun}, title = {Early identification of immature rubber plantations using Landsat and Sentinel satellite images}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {133}, pages = {104097}, year = {2024}, url = {https://doi.org/10.1016/j.jag.2024.104097}, doi = {10.1016/J.JAG.2024.104097}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/WangCDGWLWYKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/IslamajLWLAJCSPCLPMTSHV24, author = {Rezarta Islamaj and Po{-}Ting Lai and Chih{-}Hsuan Wei and Ling Luo and Tiago Almeida and Richard A. A. Jonker and Sofia I R. Concei{\c{c}}{\~{a}}o and Diana F. Sousa and Cong{-}Phuoc Phan and Jung{-}Hsien Chiang and Jiru Li and Dinghao Pan and Wilailack Meesawad and Richard Tzong{-}Han Tsai and M. Janina Sarol and Gibong Hong and Airat Valiev and Elena Tutubalina and Shao{-}Man Lee and Yi{-}Yu Hsu and Mingjie Li and Karin Verspoor and Zhiyong Lu}, title = {The overview of the BioRED (Biomedical Relation Extraction Dataset) track at BioCreative {VIII}}, journal = {Database J. Biol. Databases Curation}, volume = {2024}, year = {2024}, url = {https://doi.org/10.1093/database/baae069}, doi = {10.1093/DATABASE/BAAE069}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/IslamajLWLAJCSPCLPMTSHV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/IslamajWLLCKMRSTWL24, author = {Rezarta Islamaj and Chih{-}Hsuan Wei and Po{-}Ting Lai and Ling Luo and Cathleen Coss and Preeti Gokal Kochar and Nicholas Miliaras and Oleg Rodionov and Keiko Sekiya and Dorothy Trinh and Deborah Whitman and Zhiyong Lu}, title = {The biomedical relationship corpus of the BioRED track at the BioCreative {VIII} challenge and workshop}, journal = {Database J. Biol. Databases Curation}, volume = {2024}, year = {2024}, url = {https://doi.org/10.1093/database/baae071}, doi = {10.1093/DATABASE/BAAE071}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/IslamajWLLCKMRSTWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LaiRTZWCHZC24, author = {Jin Lai and Bo Rao and Zhao Tian and Qing{-}jie Zhai and Yi{-}ling Wang and Si{-}kai Chen and Xin{-}ting Huang and Hong{-}lan Zhu and Heng Cui}, title = {Postmenopausal endometrial non-benign lesion risk classification through a clinical parameter-based machine learning model}, journal = {Comput. Biol. Medicine}, volume = {172}, pages = {108243}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108243}, doi = {10.1016/J.COMPBIOMED.2024.108243}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LaiRTZWCHZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/WanLLLZYZPD24, author = {Guolin Wan and Yuhui Li and Ting Lai and Peixuan Li and Yongqian Zhu and Jingyu Yang and Yan{-}Fang Zhang and Jinbo Pan and Shixuan Du}, title = {Sym4state.jl: An efficient computation package for magnetic materials}, journal = {Comput. Phys. Commun.}, volume = {303}, pages = {109283}, year = {2024}, url = {https://doi.org/10.1016/j.cpc.2024.109283}, doi = {10.1016/J.CPC.2024.109283}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/WanLLLZYZPD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cybersec/LaiFBS24, author = {Tin Lai and Farnaz Farid and Abubakar Bello and Fariza Sabrina}, title = {Ensemble learning based anomaly detection for IoT cybersecurity via Bayesian hyperparameters sensitivity analysis}, journal = {Cybersecur.}, volume = {7}, number = {1}, pages = {44}, year = {2024}, url = {https://doi.org/10.1186/s42400-024-00238-4}, doi = {10.1186/S42400-024-00238-4}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cybersec/LaiFBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/QueZMLXFWY24, author = {Xiang Que and Xinhan Zhuang and Xiaogang Ma and Yuting Lai and Jianfang Xie and Tingting Fei and Hui Wang and W. U. Yuming}, title = {Modeling the spatiotemporal heterogeneity and changes of slope stability in rainfall-induced landslide areas}, journal = {Earth Sci. Informatics}, volume = {17}, number = {1}, pages = {51--61}, year = {2024}, url = {https://doi.org/10.1007/s12145-023-01165-7}, doi = {10.1007/S12145-023-01165-7}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esi/QueZMLXFWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/DaiLHLZ24, author = {Hong{-}Liang Dai and Fei{-}Tong Lai and Cui{-}Yin Huang and Xiao{-}Ting Lv and Fatima Sehar Zaidi}, title = {Novel online portfolio selection algorithm using deep sequence features and reversal information}, journal = {Expert Syst. Appl.}, volume = {255}, pages = {124565}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.124565}, doi = {10.1016/J.ESWA.2024.124565}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/DaiLHLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiCLL24, author = {Tingting Li and Lei Chen and Yutao Lai and Hai{-}Lin Liu}, title = {Transfer learning based covariance matrix adaptation for evolutionary many-objective optimization}, journal = {Expert Syst. Appl.}, volume = {246}, pages = {123272}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.123272}, doi = {10.1016/J.ESWA.2024.123272}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LiCLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LvZHBNZYW24, author = {Laishui Lv and Ting Zhang and Peng Hu and Dalal Bardou and Shanzhou Niu and Zijun Zheng and Gaohang Yu and Heng Wu}, title = {An improved gravity centrality for finding important nodes in multi-layer networks based on multi-PageRank}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {E}}, pages = {122171}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122171}, doi = {10.1016/J.ESWA.2023.122171}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LvZHBNZYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZouLWLBMLF24, author = {Guojian Zou and Ziliang Lai and Ting Wang and Zongshi Liu and Jingjue Bao and Changxi Ma and Ye Li and Jing Fan}, title = {Multi-task-based spatiotemporal generative inference network: {A} novel framework for predicting the highway traffic speed}, journal = {Expert Syst. Appl.}, volume = {237}, number = {Part {C}}, pages = {121548}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121548}, doi = {10.1016/J.ESWA.2023.121548}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ZouLWLBMLF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gac/LaiL24, author = {Zishan Lai and Tingting Liu}, title = {"Protecting our female gaze rights": Chinese Female Gamers' and Game Producers' Negotiations with Government Restrictions on Erotic Material}, journal = {Games Cult.}, volume = {19}, number = {1}, pages = {3--23}, year = {2024}, url = {https://doi.org/10.1177/15554120231151300}, doi = {10.1177/15554120231151300}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gac/LaiL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/WuYZNZ24, author = {Yixuan Wu and Lin Yang and Long Zhang and Laisen Nie and Li Zheng}, title = {Intrusion Detection for Unmanned Aerial Vehicles Security: {A} Tiny Machine Learning Model}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {12}, pages = {20970--20982}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3360231}, doi = {10.1109/JIOT.2024.3360231}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/WuYZNZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/MartinsFMGBOAAFMFHRTCLA24, author = {Tiago D. Martins and Rubens Maciel Filho and Silmara Montalv{\~{a}}o and Gabriele S. S. Gois and Mohamad Al Bannoud and Gabriel Y. Ottaiano and Thaizy R. A. Anhaia and Millene E. A. Almeida and Monique R. M. Ferreira and Beatriz M. Martinelli and Maria C. G. L. Fernandes and Stephany C. Huber and Daniel Ribeiro and J{\'{u}}lio C. Teixeira and Jos{\'{e}} B. C. Carvalheira and Carmen S. P. Lima and Nelson A. Andreollo and Maur{\'{\i}}cio Etchebehere and Lair Zambon and Ubirajara Ferreira and Alfio J. Tincani and Ant{\^{o}}nio S. Martins and Cl{\'{a}}udio S. R. Coy and Jos{\'{e}} C. T. Seabra and Ricardo K. Mussi and Helder Tedeschi and Joyce Maria Annichino{-}Bizzacchi}, title = {Predicting mortality of cancer patients using artificial intelligence, patient data and blood tests}, journal = {Neural Comput. Appl.}, volume = {36}, number = {25}, pages = {15599--15616}, year = {2024}, url = {https://doi.org/10.1007/s00521-024-09915-4}, doi = {10.1007/S00521-024-09915-4}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/MartinsFMGBOAAFMFHRTCLA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/netmahib/BardouLMZCBSBS24, author = {Dalal Bardou and Laishui Lv and Yasmine Medjadba and Ting Zhang and Ouahiba Chouhal and Mourad Bounezra and Karima Saidi and Youcef Bezza and Aissa Snani}, title = {{GAD-VAE:} generative adversarial disentanglement with variational autoencoders for hair removal in dermoscopy images}, journal = {Netw. Model. Anal. Health Informatics Bioinform.}, volume = {13}, number = {1}, pages = {32}, year = {2024}, url = {https://doi.org/10.1007/s13721-024-00461-6}, doi = {10.1007/S13721-024-00461-6}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/netmahib/BardouLMZCBSBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiLLMXHFFL24, author = {Qi Li and Xinyu Lai and Ting Li and Kristoffer Hougaard Madsen and Jing Xiao and Kesong Hu and Chunliang Feng and Di Fu and Xun Liu}, title = {Brain responses to self- and other- unfairness under resource distribution context: Meta-analysis of fMRI studies}, journal = {NeuroImage}, volume = {297}, pages = {120707}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120707}, doi = {10.1016/J.NEUROIMAGE.2024.120707}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LiLLMXHFFL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/ZhouHLZZC24, author = {Shuang Zhou and Xiao Huang and Ninghao Liu and Wen Zhang and Yuan{-}Ting Zhang and Fu{-}Lai Chung}, title = {Open-world electrocardiogram classification via domain knowledge-driven contrastive learning}, journal = {Neural Networks}, volume = {179}, pages = {106551}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2024.106551}, doi = {10.1016/J.NEUNET.2024.106551}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/ZhouHLZZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/LaiLCDC24, author = {Yu{-}Ju Lai and Yi{-}Chieh Lee and Chia{-}Chi Chang and Wan{-}Ting Dai and Ying{-}Yu Chen}, title = {Exploring the Role of Mom's Chat Groups in the Messaging App: Enhancing Support and Empowerment for Stay-At-Home Mothers}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{GROUP}}, pages = {1--20}, year = {2024}, url = {https://doi.org/10.1145/3633068}, doi = {10.1145/3633068}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/LaiLCDC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWGCLCWL24, author = {Mo Wang and Laigang Wang and Yan Guo and Yunpeng Cui and Juan Liu and Li Chen and Ting Wang and Huan Li}, title = {A Comprehensive Evaluation of Dual-Polarimetric Sentinel-1 {SAR} Data for Monitoring Key Phenological Stages of Winter Wheat}, journal = {Remote. Sens.}, volume = {16}, number = {10}, pages = {1659}, year = {2024}, url = {https://doi.org/10.3390/rs16101659}, doi = {10.3390/RS16101659}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWGCLCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuCLHL24, author = {Wei{-}Chih Chiu and Wei{-}Ling Chen and Yi{-}Ting Lai and Yu{-}Han Hung and Chun{-}Min Lo}, title = {Cell-Electrode Models for Impedance Analysis of Epithelial and Endothelial Monolayers Cultured on Microelectrodes}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4214}, year = {2024}, url = {https://doi.org/10.3390/s24134214}, doi = {10.3390/S24134214}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiuCLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeYHLHC24, author = {Yuanrong He and Yujie Yang and Tingting He and Yangfeng Lai and Yudong He and Bingning Chen}, title = {Small and Micro-Water Quality Monitoring Based on the Integration of a Full-Space Real 3D Model and IoT}, journal = {Sensors}, volume = {24}, number = {3}, pages = {1033}, year = {2024}, url = {https://doi.org/10.3390/s24031033}, doi = {10.3390/S24031033}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HeYHLHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamma/LaiLZ24, author = {Ru{-}Yu Lai and Xuezhu Lu and Ting Zhou}, title = {Partial Data Inverse Problems for the Nonlinear Time-Dependent Schr{\"{o}}dinger Equation}, journal = {{SIAM} J. Math. Anal.}, volume = {56}, number = {4}, pages = {4712--4741}, year = {2024}, url = {https://doi.org/10.1137/23m1587993}, doi = {10.1137/23M1587993}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamma/LaiLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LvHZBZWNY24, author = {Laishui Lv and Peng Hu and Zijun Zheng and Dalal Bardou and Ting Zhang and Heng Wu and Shanzhou Niu and Gaohang Yu}, title = {A Community-Based Centrality Measure for Identifying Key Nodes in Multilayer Networks}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {2}, pages = {2448--2463}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3297902}, doi = {10.1109/TCSS.2023.3297902}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LvHZBZWNY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiZL24, author = {Yishi Li and Yuhao Zhang and Rui Lai}, title = {TinyPillarNet: Tiny Pillar-Based Network for 3D Point Cloud Object Detection at Edge}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {3}, pages = {1772--1785}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3297620}, doi = {10.1109/TCSVT.2023.3297620}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HuZZYBLCL24, author = {Jiao Hu and Tingbin Zhang and Xiaobing Zhou and Guihua Yi and Xiaojuan Bie and Jingji Li and Yang Chen and Pingqing Lai}, title = {A Glacial Lake Mapping Framework in High Mountain Areas: {A} Case Study of the Southeastern Tibetan Plateau}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2023.3349281}, doi = {10.1109/TGRS.2023.3349281}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/HuZZYBLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ElsisiYLS24, author = {Mahmoud Elsisi and Jin{-}Ting Yu and Chun{-}Che Lai and Chun{-}Lien Su}, title = {A Drone-Assisted Deep Learning-Based IoT System for Monitoring Ship Emissions in Ports Considering Adversarial Attacks}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--11}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3374306}, doi = {10.1109/TIM.2024.3374306}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ElsisiYLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LinWLCLWZCKLW24, author = {Zongmin Lin and Zhen Wang and Shouqiang Lai and Jinlan Chen and Zilu Li and Yanming Wu and Lihong Zhu and Zhong Chen and Hao{-}Chung Kuo and Yijun Lu and Tingzhu Wu}, title = {Toward Highly Efficient Demura Technology for Mini-LED Displays by Hybrid Chip Sorting}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--6}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3375418}, doi = {10.1109/TIM.2024.3375418}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LinWLCLWZCKLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/AhmadLT24, author = {Nehal Ahmad and Kuan{-}Ting Lai and Muhammad Tanveer}, title = {Retinal Blood Vessel Tracking and Diameter Estimation via Gaussian Process With Rider Optimization Algorithm}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {3}, pages = {1173--1184}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2022.3229743}, doi = {10.1109/JBHI.2022.3229743}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/AhmadLT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ZouLWLL24, author = {Guojian Zou and Ziliang Lai and Ting Wang and Zongshi Liu and Ye Li}, title = {MT-STNet: {A} Novel Multi-Task Spatiotemporal Network for Highway Traffic Flow Prediction}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {7}, pages = {8221--8236}, year = {2024}, url = {https://doi.org/10.1109/TITS.2024.3411638}, doi = {10.1109/TITS.2024.3411638}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ZouLWLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/SuWXLY24, author = {Ting{-}Ting Su and Chang{-}Dong Wang and Wu{-}Dong Xi and Jian{-}Huang Lai and Philip S. Yu}, title = {Hierarchical Alignment With Polar Contrastive Learning for Next-Basket Recommendation}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {36}, number = {1}, pages = {199--210}, year = {2024}, url = {https://doi.org/10.1109/TKDE.2023.3282914}, doi = {10.1109/TKDE.2023.3282914}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/SuWXLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/HuangLYMSCY24, author = {Yaodong Huang and Zelin Lin and Tingting Yao and Changkang Mo and Xiaojun Shang and Laizhong Cui and Yuanyuan Yang}, title = {Mobility-Aware Seamless Virtual Function Migration in Deviceless Edge Computing Environments}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {7}, pages = {7999--8014}, year = {2024}, url = {https://doi.org/10.1109/TMC.2023.3343969}, doi = {10.1109/TMC.2023.3343969}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/HuangLYMSCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/HuangVCLHLLWCLC24, author = {Yi{-}Ting Huang and R. Vaitheeshwari and Meng Chang Chen and Ying{-}Dar Lin and Ren{-}Hung Hwang and Po{-}Ching Lin and Yuan{-}Cheng Lai and Eric Hsiao{-}Kuang Wu and Chung{-}Hsuan Chen and Zi{-}Jie Liao and Chung{-}Kuan Chen}, title = {MITREtrieval: Retrieving {MITRE} Techniques From Unstructured Threat Reports by Fusion of Deep Learning and Ontology}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {21}, number = {4}, pages = {4871--4887}, year = {2024}, url = {https://doi.org/10.1109/TNSM.2024.3401200}, doi = {10.1109/TNSM.2024.3401200}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/HuangVCLHLLWCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/PlancherBEGKSL024, author = {Brian Plancher and Sebastian B{\"{u}}ttrich and Jeremy Ellis and Neena Goveas and Laila D. Kazimierski and Jes{\'{u}}s Alfonso L{\'{o}}pez Sotelo and Milan Lukic and Diego Mendez and Rosdiadee Nordin and Andr{\'{e}}s Oliva Trevisan and Massimo Pavan and Manuel Roveri and Marcus R{\"{u}}b and Jackline Tum and Marian Verhelst and Salah Abdeljabar and Segun Adebayo and Thomas Amberg and Halleluyah Aworinde and Jos{\'{e}} Bagur and Gregg Barrett and Nabil Benamar and Bharat S. Chaudhari and Ronald Criollo and David Cuartielles and Jos{\'{e}} A. Ferreira Filho and Solomon Gizaw and Evgeni Gousev and Alessandro Grande and Shawn Hymel and Peter Ing and Prashant Manandhar and Pietro Manzoni and Boris Murmann and Eric Pan and Rytis Paskauskas and Ermanno Pietrosemoli and Tales C. Pimenta and Marcelo Rovai and Marco Zennaro and Vijay Janapa Reddi}, editor = {Ron P. A. Petrick and Christopher W. Geib}, title = {TinyML4D: Scaling Embedded Machine Learning Education in the Developing World}, booktitle = {Proceedings of the {AAAI} 2024 Spring Symposium Series, Stanford, CA, USA, March 25-27, 2024}, pages = {508--515}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaaiss.v3i1.31265}, doi = {10.1609/AAAISS.V3I1.31265}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/PlancherBEGKSL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/GuanLZLDZ24, author = {Juntao Guan and Gufeng Liu and Fanhong Zeng and Rui Lai and Ruixue Ding and Zhangming Zhu}, title = {Microarchitecture Aware Neural Architecture Search for TinyML Devices}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {522--526}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595922}, doi = {10.1109/AICAS59952.2024.10595922}, timestamp = {Wed, 31 Jul 2024 16:24:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/GuanLZLDZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WuYLL24, author = {Yi{-}Ting Wu and Tzu{-}Yun Yen and Yu{-}Pei Lin and Bo{-}Cheng Lai}, title = {HeteroEML: Heterogeneous Design Methodology of Edge Machine Learning on {CPU+FPGA} Platform}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {16--20}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595974}, doi = {10.1109/AICAS59952.2024.10595974}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/WuYLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ChouLTYCWJK24, author = {Yao{-}Hsin Chou and Yun{-}Ting Lai and Yong Feng Tong and Alvin Young and Ming{-}Ho Chang and Kun{-}Min Wu and Yu{-}Chi Jiang and Shu{-}Yu Kuo}, title = {A Quantum-Inspired Multi-objective Portfolio Strategy Based on Trend Ratio Model in Global Financial Network}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2024, Yokohama, Japan, June 30 - July 5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CEC60901.2024.10611925}, doi = {10.1109/CEC60901.2024.10611925}, timestamp = {Tue, 20 Aug 2024 15:15:31 +0200}, biburl = {https://dblp.org/rec/conf/cec/ChouLTYCWJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/KuoJWHLC24, author = {Shu{-}Yu Kuo and Yu{-}Chi Jiang and Ching{-}Hsuan Wu and Cheng{-}Yen Hua and Yun{-}Ting Lai and Yao{-}Hsin Chou}, title = {An Innovative Knowledge Learning Adaptive Quantum-inspired Algorithm for Trend Ratio-Based Portfolio Construction Model}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2024, Yokohama, Japan, June 30 - July 5, 2024}, pages = {1--9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CEC60901.2024.10611873}, doi = {10.1109/CEC60901.2024.10611873}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/KuoJWHLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/ChenHLWHC24, author = {Zih{-}Fei Chen and Ya{-}Chi Huang and Yu{-}Shen Lai and Yi{-}Ting Wu and Cheng{-}Ming Huang and Meng{-}Hsueh Chiang}, title = {Fabrication of Hybrid Fin/Planar {LDMOS} with Modulation Gate}, booktitle = {Device Research Conference, {DRC} 2024, College Park, MD, USA, June 24-26, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/DRC61706.2024.10605303}, doi = {10.1109/DRC61706.2024.10605303}, timestamp = {Fri, 16 Aug 2024 11:14:11 +0200}, biburl = {https://dblp.org/rec/conf/drc/ChenHLWHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/educon/YimWC24, author = {Tak{-}Sang Yim and Kam Tim Woo and Lai Ting Chin}, title = {Promoting Inclusive Education through Virtual Underwater Robotics Experience: Enhancing {STEM} Learning and Collaboration with Real-World Applications}, booktitle = {{IEEE} Global Engineering Education Conference, {EDUCON} 2024, Kos Island, Greece, May 8-11, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/EDUCON60312.2024.10578740}, doi = {10.1109/EDUCON60312.2024.10578740}, timestamp = {Thu, 18 Jul 2024 16:56:13 +0200}, biburl = {https://dblp.org/rec/conf/educon/YimWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/DongLLWWP24, author = {Xiang Dong and Maokai Lai and Hui Liang and Peng Wu and Chaoxia Wang and Ting Peng}, title = {Computer Vision-Based Structural Deformation Monitoring System on Android Smartphones: Design and Implementation}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471940}, doi = {10.23919/ICACT60172.2024.10471940}, timestamp = {Wed, 10 Apr 2024 17:19:24 +0200}, biburl = {https://dblp.org/rec/conf/icact/DongLLWWP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LaiBABXS24, author = {Wei{-}Ting Lai and Lachlan Birnie and Thushara D. Abhayapala and Amy Bastine and Shaoheng Xu and Prasanga N. Samarasinghe}, title = {A Two-Step Approach for Narrowband Source Localization in Reverberant Rooms}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2024 - Workshops, Seoul, Republic of Korea, April 14-19, 2024}, pages = {490--494}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSPW62465.2024.10627696}, doi = {10.1109/ICASSPW62465.2024.10627696}, timestamp = {Wed, 04 Sep 2024 08:54:35 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LaiBABXS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TsengBCCLLPSWW024, author = {Yuan Tseng and Layne Berry and Yiting Chen and I{-}Hsiang Chiu and Hsuan{-}Hao Lin and Max Liu and Puyuan Peng and Yi{-}Jen Shih and Hung{-}Yu Wang and Haibin Wu and Poyao Huang and Chun{-}Mao Lai and Shang{-}Wen Li and David Harwath and Yu Tsao and Abdelrahman Mohamed and Chi{-}Luen Feng and Hung{-}Yi Lee}, title = {{AV-SUPERB:} {A} Multi-Task Evaluation Benchmark for Audio-Visual Representation Models}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {6890--6894}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10445941}, doi = {10.1109/ICASSP48485.2024.10445941}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/TsengBCCLLPSWW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XuZABLS24, author = {Shaoheng Xu and Jihui Aimee Zhang and Thushara D. Abhayapala and Amy Bastine and Wei{-}Ting Lai and Prasanga N. Samarasinghe}, title = {Sparse Sound Field Representation Using Complex Orthogonal Matching Pursuit}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {1336--1340}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10445940}, doi = {10.1109/ICASSP48485.2024.10445940}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XuZABLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LaiLC24, author = {Yen{-}Ting Lai and Cheng{-}Hung Lin and Po{-}Yung Chou}, title = {Real-Time Point Cloud Action Recognition System with Automated Point Cloud Preprocessing}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444448}, doi = {10.1109/ICCE59016.2024.10444448}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LaiLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/CookeKZLTD24, author = {Lauren H. Cooke and Harvey Klyne and Edwin Zhang and Cassidy Laidlaw and Milind Tambe and Finale Doshi{-}Velez}, title = {Toward Computationally Efficient Inverse Reinforcement Learning via Reward Shaping}, booktitle = {The Second Tiny Papers Track at {ICLR} 2024, Tiny Papers @ {ICLR} 2024, Vienna, Austria, May 11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=hhsOkmgDEz}, timestamp = {Fri, 26 Jul 2024 10:05:23 +0200}, biburl = {https://dblp.org/rec/conf/iclr/CookeKZLTD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LiSWSQLZ24, author = {Ting Li and Chengchun Shi and Qianglin Wen and Yang Sui and Yongli Qin and Chunbo Lai and Hongtu Zhu}, title = {Combining Experimental and Historical Data for Policy Evaluation}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=nB6ERIud2y}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/LiSWSQLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LinTYSHCCTKLCHLLL24, author = {C. W. Lin and P. C. Tsao and Y. L. Yang and C. C. Sun and C. C. Huang and C. W. Chen and C. K. Chang and Y. J. Ting and K. Koh and Ross Lee and W. C. Chen and Y. S. Huang and M. Z. Lee and C. T. Lai and T. H. Lee}, title = {Utilizing Transformer Deep Learning Based Outlier Detection to Screen Out Reliability Weak ICs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529397}, doi = {10.1109/IRPS48228.2024.10529397}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/LinTYSHCCTKLCHLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YangTLCHHCLKTHHLLL24, author = {Y. L. Yang and P. C. Tsao and C. W. Lin and H. Q. Chen and B. J. Huang and Hank Hsieh and Kerwin Chen and Ross Lee and Khim Koh and Y. J. Ting and B. C. Hsu and Y. S. Huang and Citi Lai and M. Z. Lee and T. H. Lee}, title = {Vmin Shift Prediction Using Machine Learning-Based Methodology for Automotive Products}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529430}, doi = {10.1109/IRPS48228.2024.10529430}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YangTLCHHCLKTHHLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/Ting24, author = {Lai Jiun Ting}, editor = {Andres Burbano and Derek Ham}, title = {The Human-like Non-human Series: Data{\_}Revoc(The precursor to the Electrotactile Asura Series)}, booktitle = {{ACM} {SIGGRAPH} 2024 Immersive Pavilion, {SIGGRAPH} 2024, Denver, CO, USA, 27 July 2024- 1 August 2024}, pages = {13}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3641521.3665162}, doi = {10.1145/3641521.3665162}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/Ting24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZhuYZDWWDHL24, author = {Mingye Zhu and Zhicheng Yang and Hang Zhou and Chen Du and Andy J. Y. Wong and Yibing Wei and Zhuo Deng and Mei Han and Jui{-}Hsin Lai}, title = {TinyWT: {A} Large-Scale Wind Turbine Dataset of Satellite Images for Tiny Object Detection}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACVW} 2024 - Workshops, Waikoloa, HI, USA, January 1-6, 2024}, pages = {794--804}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACVW60836.2024.00092}, doi = {10.1109/WACVW60836.2024.00092}, timestamp = {Tue, 30 Apr 2024 09:16:29 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ZhuYZDWWDHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01329, author = {Anish Shastri and Steve Blandino and Camillo Gentile and Chiehping Lai and Paolo Casari}, title = {Self-Supervised Millimeter Wave Indoor Localization using Tiny Neural Networks}, journal = {CoRR}, volume = {abs/2401.01329}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01329}, doi = {10.48550/ARXIV.2401.01329}, eprinttype = {arXiv}, eprint = {2401.01329}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11048, author = {Chih{-}Hsuan Wei and Alexis Allot and Po{-}Ting Lai and Robert Leaman and Shubo Tian and Ling Luo and Qiao Jin and Zhizheng Wang and Qingyu Chen and Zhiyong Lu}, title = {PubTator 3.0: an AI-powered Literature Resource for Unlocking Biomedical Knowledge}, journal = {CoRR}, volume = {abs/2401.11048}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11048}, doi = {10.48550/ARXIV.2401.11048}, eprinttype = {arXiv}, eprint = {2401.11048}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06473, author = {Tino Laidin and Lorenzo Pareschi}, title = {Conservative polynomial approximations and applications to Fokker-Planck equations}, journal = {CoRR}, volume = {abs/2402.06473}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06473}, doi = {10.48550/ARXIV.2402.06473}, eprinttype = {arXiv}, eprint = {2402.06473}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06473.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19002, author = {Ching{-}Lin Lee and Zhi{-}Xuan Wang and Kuan{-}Ting Lai and Amar Fadillah}, title = {GoalNet: Goal Areas Oriented Pedestrian Trajectory Prediction}, journal = {CoRR}, volume = {abs/2402.19002}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19002}, doi = {10.48550/ARXIV.2402.19002}, eprinttype = {arXiv}, eprint = {2402.19002}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04699, author = {Marianne Bessemoulin{-}Chatard and Tino Laidin and Thomas Rey}, title = {Discrete hypocoercivity for a nonlinear kinetic reaction model}, journal = {CoRR}, volume = {abs/2403.04699}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04699}, doi = {10.48550/ARXIV.2403.04699}, eprinttype = {arXiv}, eprint = {2403.04699}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04699.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-06904, author = {Wenqiang Lai and Yuan Gao and Tin Lun Lam}, title = {Vision-Language Model-based Physical Reasoning for Robot Liquid Perception}, journal = {CoRR}, volume = {abs/2404.06904}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.06904}, doi = {10.48550/ARXIV.2404.06904}, eprinttype = {arXiv}, eprint = {2404.06904}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-06904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14209, author = {Po{-}Ting Lai and Elisabeth Coudert and Lucila Aimo and Kristian B. Axelsen and Lionel Breuza and Edouard De Castro and Marc Feuermann and Anne Morgat and Lucille Pourcel and Ivo Pedruzzi and Sylvain Poux and Nicole Redaschi and Catherine Rivoire and Anastasia Sveshnikova and Chih{-}Hsuan Wei and Robert Leaman and Ling Luo and Zhiyong Lu and Alan J. Bridge}, title = {EnzChemRED, a rich enzyme chemistry relation extraction dataset}, journal = {CoRR}, volume = {abs/2404.14209}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14209}, doi = {10.48550/ARXIV.2404.14209}, eprinttype = {arXiv}, eprint = {2404.14209}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-15009, author = {Anahita Fathi Kazerooni and Nastaran Khalili and Deep Gandhi and Xinyang Liu and Zhifan Jiang and Syed Muhammed Anwar and Jake Albrecht and Maruf Adewole and Udunna Anazodo and Hannah Anderson and Sina Bagheri and Ujjwal Baid and Timothy Bergquist and Austin J. Borja and Evan Calabrese and Verena Chung and Gian Marco Conte and Farouk Dako and James A. Eddy and Ivan Ezhov and Ariana Familiar and Keyvan Farahani and Anurag Gottipati and Debanjan Haldar and Shuvanjan Haldar and Juan Eugenio Iglesias and Anastasia Janas and Elaine Johansen and Blaise V. Jones and Neda Khalili and Florian Kofler and Dominic LaBella and Hollie Anne Lai and Koen Van Leemput and Hongwei Bran Li and Nazanin Maleki and Aaron S. McAllister and Zeke Meier and Bjoern H. Menze and Ahmed W. Moawad and Khanak K. Nandolia and Julija Pavaine and Marie Piraud and Tina Poussaint and Sanjay P. Prabhu and Zachary Reitman and Andres Felipe Rodriguez and Jeffrey D. Rudie and Mariana Sanchez{-}Montano and Ibraheem Salman Shaikh and Lubdha M. Shah and Nakul Sheth and Russel Taki Shinohara and Wenxin Tu and Karthik Viswanathan and Chunhao Wang and Jeffrey B. Ware and Benedikt Wiestler and Walter I. Wiggins and Anna Zapaishchykova and Mariam Aboian and Miriam Bornhorst and Peter de Blank and Michelle Deutsch and Maryam Fouladi and Lindsey Hoffman and Benjamin H. Kann and Margot Lazow and Leonie Mikael and Ali Nabavizadeh and Roger J. Packer and Spyridon Bakas and Adam C. Resnick and Brian Rood and Arastoo Vossough and Marius George Linguraru}, title = {The Brain Tumor Segmentation in Pediatrics (BraTS-PEDs) Challenge: Focus on Pediatrics {(CBTN-CONNECT-DIPGR-ASNR-MICCAI} BraTS-PEDs)}, journal = {CoRR}, volume = {abs/2404.15009}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.15009}, doi = {10.48550/ARXIV.2404.15009}, eprinttype = {arXiv}, eprint = {2404.15009}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-15009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03141, author = {Yihao Zhou and Timothy Tin{-}Yan Lee and Kelly Ka{-}Lee Lai and Chonglin Wu and Hin Ting Lau and De Yang and Shing{-}Chow Chan and Winnie Chiu{-}Wing Chu and Jack Chun Yiu Cheng and Tsz{-}Ping Lam and Yong{-}Ping Zheng}, title = {Automatic Ultrasound Curve Angle Measurement via Affinity Clustering for Adolescent Idiopathic Scoliosis Evaluation}, journal = {CoRR}, volume = {abs/2405.03141}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03141}, doi = {10.48550/ARXIV.2405.03141}, eprinttype = {arXiv}, eprint = {2405.03141}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-10022, author = {Xingyu Chen and Hanwen Bi and Wei{-}Ting Lai and Fei Ma}, title = {Monaural speech enhancement on drone via Adapter based transfer learning}, journal = {CoRR}, volume = {abs/2405.10022}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.10022}, doi = {10.48550/ARXIV.2405.10022}, eprinttype = {arXiv}, eprint = {2405.10022}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-10022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16205, author = {Zhizheng Wang and Qiao Jin and Chih{-}Hsuan Wei and Shubo Tian and Po{-}Ting Lai and Qingqing Zhu and Chi{-}Ping Day and Christina Ross and Zhiyong Lu}, title = {GeneAgent: Self-verification Language Agent for Gene Set Knowledge Discovery using Domain Databases}, journal = {CoRR}, volume = {abs/2405.16205}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16205}, doi = {10.48550/ARXIV.2405.16205}, eprinttype = {arXiv}, eprint = {2405.16205}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-00317, author = {Ting Li and Chengchun Shi and Qianglin Wen and Yang Sui and Yongli Qin and Chunbo Lai and Hongtu Zhu}, title = {Combining Experimental and Historical Data for Policy Evaluation}, journal = {CoRR}, volume = {abs/2406.00317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.00317}, doi = {10.48550/ARXIV.2406.00317}, eprinttype = {arXiv}, eprint = {2406.00317}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-00317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03187, author = {Li Tao and Dong Xiang and Junfeng Hao and Yin Ping and Xu Xiaoxue and Maokai Lai and Li Yuan and Ting Peng}, title = {Holistic view of the road transportation system based on real-time data sharing mechanism}, journal = {CoRR}, volume = {abs/2407.03187}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03187}, doi = {10.48550/ARXIV.2407.03187}, eprinttype = {arXiv}, eprint = {2407.03187}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03671, author = {Xiaoxue Xu and Maokai Lai and Haitao Zhang and Xiang Dong and Tao Li and Jie Wu and Yuan Li and Ting Peng}, title = {Spatio-temporal cooperative control Method of Highway Ramp Merge Based on Vehicle-road Coordination}, journal = {CoRR}, volume = {abs/2407.03671}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03671}, doi = {10.48550/ARXIV.2407.03671}, eprinttype = {arXiv}, eprint = {2407.03671}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03671.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08855, author = {Anahita Fathi Kazerooni and Nastaran Khalili and Xinyang Liu and Debanjan Haldar and Zhifan Jiang and Anna Zapaishchykova and Julija Pavaine and Lubdha M. Shah and Blaise V. Jones and Nakul Sheth and Sanjay P. Prabhu and Aaron S. McAllister and Wenxin Tu and Khanak K. Nandolia and Andres Felipe Rodriguez and Ibraheem Salman Shaikh and Mariana Sanchez{-}Montano and Hollie Anne Lai and Maruf Adewole and Jake Albrecht and Udunna Anazodo and Hannah Anderson and Syed Muhammed Anwar and Alejandro Aristizabal and Sina Bagheri and Ujjwal Baid and Timothy Bergquist and Austin J. Borja and Evan Calabrese and Verena Chung and Gian Marco Conte and James A. Eddy and Ivan Ezhov and Ariana M. Familiar and Keyvan Farahani and Deep Gandhi and Anurag Gottipati and Shuvanjan Haldar and Juan Eugenio Iglesias and Anastasia Janas and Elaine Elaine and Alexandros Karargyris and Hasan Kassem and Neda Khalili and Florian Kofler and Dominic LaBella and Koen Van Leemput and Hongwei Li and Nazanin Maleki and Zeke Meier and Bjoern H. Menze and Ahmed W. Moawad and Sarthak Pati and Marie Piraud and Tina Poussaint and Zachary J. Reitman and Jeffrey D. Rudie and Rachit Saluja and Micah J. Sheller and Russell Takeshi Shinohara and Karthik Viswanathan and Chunhao Wang and Benedikt Wiestler and Walter F. Wiggins and Christos Davatzikos and Phillip B. Storm and Miriam Bornhorst and Roger J. Packer and Trent Hummel and Peter de Blank and Lindsey Hoffman and Mariam Aboian and Ali Nabavizadeh and Jeffrey B. Ware and Benjamin H. Kann and Brian Rood and Adam C. Resnick and Spyridon Bakas and Arastoo Vossough and Marius George Linguraru}, title = {BraTS-PEDs: Results of the Multi-Consortium International Pediatric Brain Tumor Segmentation Challenge 2023}, journal = {CoRR}, volume = {abs/2407.08855}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08855}, doi = {10.48550/ARXIV.2407.08855}, eprinttype = {arXiv}, eprint = {2407.08855}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-19867, author = {Sana Ullah and Yonghong Zhou and Maokai Lai and Xiang Dong and Tao Li and Xiaoxue Xu and Yuan Li and Ting Peng}, title = {Design and Testing for Steel Support Axial Force Servo System}, journal = {CoRR}, volume = {abs/2407.19867}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.19867}, doi = {10.48550/ARXIV.2407.19867}, eprinttype = {arXiv}, eprint = {2407.19867}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-19867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-01604, author = {Haonan Peng and Andrew Lewis and Yun{-}Hsuan Su and Shan Lin and Dun{-}Tin Chiang and Wenfan Jiang and Helen Lai and Blake Hannaford}, title = {Efficient Data-driven Joint-level Calibration of Cable-driven Surgical Robots}, journal = {CoRR}, volume = {abs/2408.01604}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.01604}, doi = {10.48550/ARXIV.2408.01604}, eprinttype = {arXiv}, eprint = {2408.01604}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-01604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bdr/CaiWYL23, author = {Linqin Cai and Lingjun Wang and Rongdi Yuan and Tingjie Lai}, title = {Meta-Learning Based Dynamic Adaptive Relation Learning for Few-Shot Knowledge Graph Completion}, journal = {Big Data Res.}, volume = {33}, pages = {100394}, year = {2023}, url = {https://doi.org/10.1016/j.bdr.2023.100394}, doi = {10.1016/J.BDR.2023.100394}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bdr/CaiWYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LuoWLLCL23, author = {Ling Luo and Chih{-}Hsuan Wei and Po{-}Ting Lai and Robert Leaman and Qingyu Chen and Zhiyong Lu}, title = {{AIONER:} all-in-one scheme-based biomedical named entity recognition using deep learning}, journal = {Bioinform.}, volume = {39}, number = {5}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad310}, doi = {10.1093/BIOINFORMATICS/BTAD310}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LuoWLLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WeiLILL23, author = {Chih{-}Hsuan Wei and Ling Luo and Rezarta Islamaj and Po{-}Ting Lai and Zhiyong Lu}, title = {GNorm2: an improved gene name recognition and normalization system}, journal = {Bioinform.}, volume = {39}, number = {10}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad599}, doi = {10.1093/BIOINFORMATICS/BTAD599}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WeiLILL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChengLCWHW23, author = {Yu{-}Ping Cheng and Chin{-}Feng Lai and Yun{-}Ting Chen and Wei{-}Sheng Wang and Yueh{-}Min Huang and Ting{-}Ting Wu}, title = {Enhancing student's computational thinking skills with student-generated questions strategy in a game-based learning platform}, journal = {Comput. Educ.}, volume = {200}, pages = {104794}, year = {2023}, url = {https://doi.org/10.1016/j.compedu.2023.104794}, doi = {10.1016/J.COMPEDU.2023.104794}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/ChengLCWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/CaiLWZX23, author = {Linqin Cai and Tingjie Lai and Lingjun Wang and Yanan Zhou and Yu Xiong}, title = {Graph convolutional network combining node similarity association and layer attention for personalized recommendation}, journal = {Eng. Appl. Artif. Intell.}, volume = {121}, pages = {105981}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.105981}, doi = {10.1016/J.ENGAPPAI.2023.105981}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/CaiLWZX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/KhongCLLNB23, author = {Hang Khong and Ismail Celik and Tinh T. T. Le and Van Thi Thanh Lai and Andy Nguyen and Hong Bui}, title = {Examining teachers' behavioural intention for online teaching after {COVID-19} pandemic: {A} large-scale survey}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {5}, pages = {5999--6026}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11417-6}, doi = {10.1007/S10639-022-11417-6}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eait/KhongCLLNB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/LinFLTH23, author = {Yuan{-}Chien Lin and Shan{-}Non Feng and Chun{-}Yeh Lai and Hsiao{-}Ting Tseng and Chun{-}Wei Huang}, title = {Applying deep learning to predict {SST} variation and tropical cyclone patterns that influence coral bleaching}, journal = {Ecol. Informatics}, volume = {77}, pages = {102261}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102261}, doi = {10.1016/J.ECOINF.2023.102261}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/LinFLTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/XiaoZSRH23, author = {Tingyu Xiao and Chunxi Zhang and Lailiang Song and Longjun Ran and Wanying Huang}, title = {Mechanical model analysis and reliability design approach of Quartz Flexible Accelerometer under fractured state}, journal = {{IET} Circuits Devices Syst.}, volume = {17}, number = {4}, pages = {225--234}, year = {2023}, url = {https://doi.org/10.1049/cds2.12161}, doi = {10.1049/CDS2.12161}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/XiaoZSRH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/FuLZR23, author = {Qiang Fu and Jianfeng Lai and Tingting Zhong and Li Ran}, title = {Design and Implementation of Clinical {LIS360} Laboratory Management System Based on {AI} Technology}, journal = {Int. J. Comput. Intell. Syst.}, volume = {16}, number = {1}, pages = {33}, year = {2023}, url = {https://doi.org/10.1007/s44196-023-00207-8}, doi = {10.1007/S44196-023-00207-8}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/FuLZR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/ChinLLCSL23, author = {Chiun{-}Li Chin and Chieh{-}Yu Li and Yan{-}Ming Lai and Ting Chen and Tzu{-}Yu Sun and Jun{-}Cheng Lin}, title = {CWD\({}^{\mbox{2}}\)GAN: Generative Adversarial Network of Chronic Wound Depth Detection for Predicting Chronic Wound Depth}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {37}, number = {3}, pages = {2351004:1--2351004:19}, year = {2023}, url = {https://doi.org/10.1142/S0218001423510047}, doi = {10.1142/S0218001423510047}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/ChinLLCSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/ZhangPZWYXMZWYZQGZTYWZZDWXWYLD23, author = {Tao Zhang and Yong Pang and Ting Zeng and Guoxin Wang and Shen Yin and Kun Xu and Guidong Mo and Xingwang Zhang and Lusi Wang and Shuai Yang and Zeng Zhao and Junjie Qin and Junshan Gong and Zhongxian Zhao and Xuefeng Tong and Zhongwang Yin and Haiyuan Wang and Fan Zhao and Yanhong Zheng and Xiangjin Deng and Bin Wang and Jinchang Xu and Wei Wang and Shuangfei Yu and Xiaoming Lai and Xilun Ding}, title = {Robotic drilling for the Chinese Chang'E 5 lunar sample-return mission}, journal = {Int. J. Robotics Res.}, volume = {42}, number = {8}, pages = {586--613}, year = {2023}, url = {https://doi.org/10.1177/02783649231187918}, doi = {10.1177/02783649231187918}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/ZhangPZWYXMZWYZQGZTYWZZDWXWYLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/ChenYL23, author = {Howard Hao{-}Jan Chen and Christine Ting{-}Yu Yang and Kyle Kuo{-}Wei Lai}, title = {Investigating college {EFL} learners' perceptions toward the use of Google Assistant for foreign language learning}, journal = {Interact. Learn. Environ.}, volume = {31}, number = {3}, pages = {1335--1350}, year = {2023}, url = {https://doi.org/10.1080/10494820.2020.1833043}, doi = {10.1080/10494820.2020.1833043}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/ChenYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/TanveerGBGALHZSL23, author = {Muhammad Tanveer and M. A. Ganaie and Iman Beheshti and Tripti Goel and Nehal Ahmad and Kuan{-}Ting Lai and Kaizhu Huang and Yu{-}Dong Zhang and Javier Del Ser and Chin{-}Teng Lin}, title = {Deep learning for brain age estimation: {A} systematic review}, journal = {Inf. Fusion}, volume = {96}, pages = {130--143}, year = {2023}, url = {https://doi.org/10.1016/j.inffus.2023.03.007}, doi = {10.1016/J.INFFUS.2023.03.007}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/TanveerGBGALHZSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LaiWLCL23, author = {Po{-}Ting Lai and Chih{-}Hsuan Wei and Ling Luo and Qingyu Chen and Zhiyong Lu}, title = {BioREx: Improving biomedical relation extraction by leveraging heterogeneous datasets}, journal = {J. Biomed. Informatics}, volume = {146}, pages = {104487}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104487}, doi = {10.1016/J.JBI.2023.104487}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LaiWLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/ChenZYZLT23, author = {Tingjian Chen and Ying Zeng and Haoliang Yuan and Guo Zhong and Loi Lei Lai and Yuan Yan Tang}, title = {Multi-level regularization-based unsupervised multi-view feature selection with adaptive graph learning}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {14}, number = {5}, pages = {1695--1709}, year = {2023}, url = {https://doi.org/10.1007/s13042-022-01721-5}, doi = {10.1007/S13042-022-01721-5}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/ChenZYZLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/LinWHLHCC23, author = {Chen{-}Chin Lin and Chia{-}Chen Wu and Ping{-}Ju Huang and Yu{-}Hsin Lai and Yi{-}Ting Ho and Chih{-}Chi Chung and Yung{-}Ju Chang}, title = {Scanning or Simply Unengaged in Reading? Opportune Moments for Pushed News Notifications and Their Relationship with Smartphone Users' Choice of News-reading Modes}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{MHCI}}, pages = {1--26}, year = {2023}, url = {https://doi.org/10.1145/3604268}, doi = {10.1145/3604268}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/LinWHLHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangLYWXLCD23, author = {Chengyun Yang and Dexin Lai and Wen Yi and Jianfei Wu and Xianghui Xue and Tao Li and Tingdi Chen and Xiankang Dou}, title = {Observed Quasi 16-Day Wave by Meteor Radar over 9 Years at Mengcheng (33.4{\textdegree}N, 116.5{\textdegree}E) and Comparison with the Whole Atmosphere Community Climate Model Simulation}, journal = {Remote. Sens.}, volume = {15}, number = {3}, pages = {830}, year = {2023}, url = {https://doi.org/10.3390/rs15030830}, doi = {10.3390/RS15030830}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangLYWXLCD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/CaoYGYZWTSZ23, author = {Bohan Cao and Qishuai Yin and Yingying Guo and Jin Yang and Laibin Zhang and Zhenquan Wang and Mayank Tyagi and Ting Sun and Xu Zhou}, title = {Field data analysis and risk assessment of shallow gas hazards based on neural networks during industrial deep-water drilling}, journal = {Reliab. Eng. Syst. Saf.}, volume = {232}, pages = {109079}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2022.109079}, doi = {10.1016/J.RESS.2022.109079}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/CaoYGYZWTSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLYM23, author = {Shiyi Chen and Wugang Lai and Junjie Ye and Yingjie Ma}, title = {A Fast and Low-Power Detection System for the Missing Pin Chip Based on YOLOv4-Tiny Algorithm}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3918}, year = {2023}, url = {https://doi.org/10.3390/s23083918}, doi = {10.3390/S23083918}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LaiWLW23, author = {Shin{-}Chi Lai and Szu{-}Ting Wang and Kuan{-}Lin Liu and Chang{-}Yu Wu}, title = {A Remote Monitoring System for Rodent Infestation Based on LoRaWAN}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4185}, year = {2023}, url = {https://doi.org/10.3390/s23094185}, doi = {10.3390/S23094185}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LaiWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LaiCSLH23, author = {Kuan{-}Ting Lai and Yueh{-}Tsung Chung and Jun{-}Jia Su and Chien{-}Hung Lai and Yu{-}Hsuan Huang}, title = {{AI} Wings: An AIoT Drone System for Commanding ArduPilot UAVs}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {2}, pages = {2213--2224}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3189011}, doi = {10.1109/JSYST.2022.3189011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LaiCSLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/XuZLZLL23, author = {Kunran Xu and Huawei Zhang and Yishi Li and Yuhao Zhang and Rui Lai and Yi Liu}, title = {An Ultra-Low Power TinyML System for Real-Time Visual Processing at Edge}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {7}, pages = {2640--2644}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3239044}, doi = {10.1109/TCSII.2023.3239044}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/XuZLZLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ChenLGRW23, author = {Yiting Chen and Lailong Luo and Deke Guo and Ori Rottenstreich and Jie Wu}, title = {{SDTP:} Accelerating Wide-Area Data Analytics With Simultaneous Data Transfer and Processing}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {1}, pages = {911--926}, year = {2023}, url = {https://doi.org/10.1109/TCC.2021.3119991}, doi = {10.1109/TCC.2021.3119991}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/ChenLGRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/Lai23, author = {Tin Lai}, title = {Real-Time Aerial Detection and Reasoning on Embedded-UAVs in Rural Environments}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--7}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3266360}, doi = {10.1109/TGRS.2023.3266360}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/Lai23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JuangYWSWL23, author = {Wen{-}Ho Juang and En{-}Chi Yang and Szu{-}Ting Wang and Ming{-}Hwa Sheu and How{-}Chiun Wu and Shin{-}Chi Lai}, title = {Portable RDFT-Based {EIS} System Design With a Low-Complexity Impedance Calculation}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--15}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3277929}, doi = {10.1109/TIM.2023.3277929}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JuangYWSWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/NienKCYKLDCWLW23, author = {Yu{-}Hsun Nien and Zhi{-}Xuan Kang and Jung{-}Chuan Chou and Po{-}Hui Yang and Po{-}Yu Kuo and Chih{-}Hsien Lai and Zhe{-}Xin Dong and Yung{-}Yu Chen and Yi{-}Ting Wu and Kun{-}Tse Lee and Tai{-}Hui Wang}, title = {Application of Non-Enzymatic Lactate Sensor Modified by Graphitic Carbon Nitride/Iron-Platinum Nanoparticles and Combined With the Low Power Consumption Instrumentation Amplifier and Calibration Readout Circuit}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--8}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3259026}, doi = {10.1109/TIM.2023.3259026}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/NienKCYKLDCWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ZhangJLZLZG23, author = {Chuanhao Zhang and Emil Jovanov and Hongen Liao and Yuan{-}Ting Zhang and Benny Lo and Yuan Zhang and Cuntai Guan}, title = {Video Based Cocktail Causal Container for Blood Pressure Classification and Blood Glucose Prediction}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {2}, pages = {1118--1128}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2022.3220967}, doi = {10.1109/JBHI.2022.3220967}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/ZhangJLZLZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LaiCY23, author = {Chun{-}Ming Lai and Ting{-}Wei Chang and Chao{-}Tung Yang}, title = {An online and highly-scalable streaming platform for filtering trolls with transfer learning}, journal = {J. Supercomput.}, volume = {79}, number = {15}, pages = {16664--16687}, year = {2023}, url = {https://doi.org/10.1007/s11227-023-05312-1}, doi = {10.1007/S11227-023-05312-1}, timestamp = {Sun, 10 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LaiCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhaoLWCLZ23, author = {Yan Zhao and Tinghao Lai and Ziwei Wang and Kaixuan Chen and Huan Li and Kai Zheng}, title = {Worker-Churn-Based Task Assignment With Context-LSTM in Spatial Crowdsourcing}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {9}, pages = {9783--9796}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2023.3249828}, doi = {10.1109/TKDE.2023.3249828}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhaoLWCLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/LvHBZZ23, author = {Laishui Lv and Peng Hu and Dalal Bardou and Zijun Zheng and Ting Zhang}, title = {Community Detection in Multilayer Networks Via Semi-Supervised Joint Symmetric Nonnegative Matrix Factorization}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {10}, number = {3}, pages = {1623--1635}, year = {2023}, url = {https://doi.org/10.1109/TNSE.2022.3231593}, doi = {10.1109/TNSE.2022.3231593}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/LvHBZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/GuoTLLLYLCDZ23, author = {Zhaoqiang Guo and Tingting Tan and Shiran Liu and Xutong Liu and Wei Lai and Yibiao Yang and Yanhui Li and Lin Chen and Wei Dong and Yuming Zhou}, title = {Mitigating False Positive Static Analysis Warnings: Progress, Challenges, and Opportunities}, journal = {{IEEE} Trans. Software Eng.}, volume = {49}, number = {12}, pages = {5154--5188}, year = {2023}, url = {https://doi.org/10.1109/TSE.2023.3329667}, doi = {10.1109/TSE.2023.3329667}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tse/GuoTLLLYLCDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LaiXLLW23, author = {Yong Lai and Haiyong Xu and Chi Lin and Ting Luo and Lihong Wang}, title = {A two-stage and two-branch generative adversarial network-based underwater image enhancement}, journal = {Vis. Comput.}, volume = {39}, number = {9}, pages = {4133--4147}, year = {2023}, url = {https://doi.org/10.1007/s00371-022-02580-5}, doi = {10.1007/S00371-022-02580-5}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vc/LaiXLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LaiTTLLT23, author = {Chuan{-}Chi Lai and Ang{-}Hsun Tsai and Chia{-}Wei Ting and Ko{-}Han Lin and Jing{-}Chi Ling and Chia{-}En Tsai}, title = {Interference-Aware Deployment for Maximizing User Satisfaction in Multi-UAV Wireless Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {12}, number = {7}, pages = {1189--1193}, year = {2023}, url = {https://doi.org/10.1109/LWC.2023.3266011}, doi = {10.1109/LWC.2023.3266011}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LaiTTLLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEssd/FilaliHGBKMHLHAO23, author = {Walid Filali and Hadjira Haridi and Elyes Garoudja and Mohamed Boubaaya and Abdelkrim El Hadi Khediri and Mohamed Mekheldi and Laid Henni and Fouaz Lekoui and Rouabeh Hamza and Rachid Amrani and Slimane Oussalah}, title = {Fabrication and characterization of Ti/TiN- based resistance temperature detector}, booktitle = {20th International Multi-Conference on Systems, Signals {\&} Devices, {SSD} 2023, Mahdia, Tunisia, February 20-23, 2023}, pages = {209--212}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SSD58187.2023.10411225}, doi = {10.1109/SSD58187.2023.10411225}, timestamp = {Thu, 22 Feb 2024 20:25:31 +0100}, biburl = {https://dblp.org/rec/conf/IEEEssd/FilaliHGBKMHLHAO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/JiZ0WLHXRRXLLHZ23, author = {Yuanfeng Ji and Lu Zhang and Jiaxiang Wu and Bingzhe Wu and Lanqing Li and Long{-}Kai Huang and Tingyang Xu and Yu Rong and Jie Ren and Ding Xue and Houtim Lai and Wei Liu and Junzhou Huang and Shuigeng Zhou and Ping Luo and Peilin Zhao and Yatao Bian}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {DrugOOD: Out-of-Distribution Dataset Curator and Benchmark for AI-Aided Drug Discovery - a Focus on Affinity Prediction Problems with Noise Annotations}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {8023--8031}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i7.25970}, doi = {10.1609/AAAI.V37I7.25970}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/JiZ0WLHXRRXLLHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChangLZLC23, author = {Jie{-}Fan Chang and Kuan{-}Ting Lai and Cheng{-}Xuan Zhuang and Guo{-}Shiang Lin and Ku{-}Yaw Chang}, title = {Light-weight Zero-Reference-based Image Enhancement for Low-Light Images}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {748--752}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317427}, doi = {10.1109/APSIPAASC58517.2023.10317427}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ChangLZLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HsuLLHJCL23, author = {Wei{-}Wen Hsu and Jia{-}Yi Lin and Hsin{-}Hung Lai and Wan{-}Lin Hsu and Jeng{-}Ting Jiang and Yao{-}Chung Chang and Yao{-}Feng Li}, title = {The Development of an AI-assisted Diagnosis System for Adult Glioma Subtyping Prediction}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {918--921}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317496}, doi = {10.1109/APSIPAASC58517.2023.10317496}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/HsuLLHJCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WangL23, author = {Ting Wei Wang and Shang{-}Hong Lai}, title = {Pedestrian Crossing Intention Prediction with Multi-Modal Transformer-Based Model}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {1349--1356}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317161}, doi = {10.1109/APSIPAASC58517.2023.10317161}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/WangL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/LaiP23, author = {Yi{-}Ting Lai and Meng{-}Shiuan Pan}, title = {A Concentration Judgment System for Online Courses: Design and Implementation}, booktitle = {{VTS} Asia Pacific Wireless Communications Symposium, {APWCS} 2023, Tainan city, Taiwan, August 23-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APWCS60142.2023.10234058}, doi = {10.1109/APWCS60142.2023.10234058}, timestamp = {Wed, 13 Sep 2023 08:43:30 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/LaiP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/LaiCLP23, author = {Yurong Lai and Tse{-}Tin Chan and Jiaxin Liang and Haoyuan Pan}, title = {Age of Information in Multichannel Slotted {ALOHA:} Should Collided Users Send First?}, booktitle = {13th {IEEE} Annual Computing and Communication Workshop and Conference, {CCWC} 2023, Las Vegas, NV, USA, March 8-11, 2023}, pages = {1212--1217}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCWC57344.2023.10099107}, doi = {10.1109/CCWC57344.2023.10099107}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/LaiCLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/JiangCCWCTLKC23, author = {Yu{-}Chi Jiang and Ming{-}Ho Chang and Yu{-}Yu Chang and Kun{-}Min Wu and Po{-}Chun Chen and Yong Feng Tong and Yun{-}Ting Lai and Shu{-}Yu Kuo and Yao{-}Hsin Chou}, title = {Trend Ratio-Based Portfolio Optimization Model Adopting Entanglement-enhanced Quantum-Inspired Evolutionary Computation in the Global Financial Markets}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2023, Chicago, IL, USA, July 1-5, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CEC53210.2023.10254025}, doi = {10.1109/CEC53210.2023.10254025}, timestamp = {Fri, 29 Sep 2023 13:35:30 +0200}, biburl = {https://dblp.org/rec/conf/cec/JiangCCWCTLKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/KuoJLC23, author = {Shu{-}Yu Kuo and Yu{-}Chi Jiang and Yun{-}Ting Lai and Yao{-}Hsin Chou}, title = {A New Portfolio Optimization Model Considering Hybrid Trading Strategies}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2023, Chicago, IL, USA, July 1-5, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CEC53210.2023.10254178}, doi = {10.1109/CEC53210.2023.10254178}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/KuoJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chr/MoreiraBON23, author = {Pascale Feldkamp Moreira and Yuri Bizzoni and Emily {\"{O}}hman and Kristoffer L. Nielbo}, editor = {Artjoms Sela and Fotis Jannidis and Iza Romanowska}, title = {Not just Plot(ting): {A} Comparison of Two Approaches for Understanding Narrative Text Dynamics}, booktitle = {Proceedings of the Computational Humanities Research Conference 2023, Paris, France, December 6-8, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3558}, pages = {191--205}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3558/paper1603.pdf}, timestamp = {Mon, 27 Nov 2023 13:13:34 +0100}, biburl = {https://dblp.org/rec/conf/chr/MoreiraBON23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/JianLHYT23, author = {Yi{-}Chen Jian and Kuan{-}Hua Lai and Jun{-}Wei Huang and Wei{-}Ting Yeh and Chien{-}Hung Tsai}, title = {A Novel Cost Effective Variable On-Time Control of Digital Boost {PFC} Converter in Boundary Conduction Mode}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {1176--1178}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315586}, doi = {10.1109/GCCE59613.2023.10315586}, timestamp = {Thu, 23 Nov 2023 21:16:31 +0100}, biburl = {https://dblp.org/rec/conf/gcce/JianLHYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LaiH23, author = {Yo{-}Tan Lai and Yi{-}Ting Huang}, title = {Impact of Perceived Surveillance on Willingness of Instagram Users to Disclose their Position}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {70--72}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315489}, doi = {10.1109/GCCE59613.2023.10315489}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/LaiH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/KuoLJCWCCTC23, author = {Shu{-}Yu Kuo and Yun{-}Ting Lai and Yu{-}Chi Jiang and Ming{-}Ho Chang and Kun{-}Min Wu and Po{-}Chun Chen and Yu{-}Yu Chang and Yong Feng Tong and Yao{-}Hsin Chou}, editor = {Sara Silva and Lu{\'{\i}}s Paquete}, title = {Entanglement Local Search-Assisted Quantum-Inspired Optimization for Portfolio Optimization in {G20} Markets}, booktitle = {Companion Proceedings of the Conference on Genetic and Evolutionary Computation, {GECCO} 2023, Companion Volume, Lisbon, Portugal, July 15-19, 2023}, pages = {2232--2240}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583133.3596370}, doi = {10.1145/3583133.3596370}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/gecco/KuoLJCWCCTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/XieCZLP23, author = {Yuanai Xie and Tse{-}Tin Chan and Xiao Zhang and Pan Lai and Haoyuan Pan}, title = {Reflection-Optimized Covert Communication for Jammer-Aided Ambient Backscatter Systems}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {4277--4282}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437702}, doi = {10.1109/GLOBECOM54140.2023.10437702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/XieCZLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JianLWL23, author = {Ming{-}Shen Jian and Yu{-}Zhi Luo and Peng{-}Wen Wang and Ting{-}Yu Lai}, title = {Cloud and Edge Computing Based Movable 3D Dynamic Image Recognition and Analysis Layer System for Remote Biological Laboratory}, booktitle = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, pages = {429--436}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/ICACT56868.2023.10079325}, doi = {10.23919/ICACT56868.2023.10079325}, timestamp = {Thu, 06 Apr 2023 09:43:44 +0200}, biburl = {https://dblp.org/rec/conf/icact/JianLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenLL23, author = {Abel C. H. Chen and Chia{-}Yu Lin and Yueh{-}Ting Lai}, title = {Homomorphic Encryption-Based Similarity Calculation for Mobile Data Usage Prediction}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {331--332}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226978}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226978}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenSL23, author = {Chih{-}Hsin Chen and Kuo{-}Chan Sheng and Kuan{-}Ting Lai}, title = {Military Vehicle Detection for Early Warning and Civil Protection}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {675--676}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226816}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226816}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/FadillahLWL23, author = {Amar Fadillah and Ching{-}Lin Lee and Zhi{-}Xuan Wang and Kuan{-}Ting Lai}, title = {Egocentric Pedestrian Trajectory Prediction}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {673--674}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226764}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226764}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/FadillahLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HoHLHL23, author = {Chung{-}Ying Ho and Zong{-}Ming He and Chien{-}Hung Lai and Yuh{-}Shyan Hwang and Kuan{-}Ting Lai}, title = {IoT Guardian Drones}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {137--138}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226877}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226877}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HoHLHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiLL23, author = {Ting{-}Hsu Lai and Tsung{-}Jung Liu and Kuan{-}Hsien Liu}, title = {Adaptive Scale Selection Network for Crowd Counting}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {833--834}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227011}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227011}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinCL23, author = {Cheng{-}I Lin and Ya{-}Yun Chang and Kuan{-}Ting Lai}, title = {A Web-based {UAV} Control System for Intuitive Human-Drone Interaction}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {135--136}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226722}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226722}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LinCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinHLLL23, author = {Bo{-}Yi Lin and Chih{-}Sheng Huang and Jia{-}Ming Lin and Pin{-}Hsuan Liu and Kuan{-}Ting Lai}, title = {Traffic Object Detection in Virtual Environments}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {245--246}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226661}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226661}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LinHLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YangMCCLL23, author = {Xiu{-}Yuan Yang and Xing{-}Chen Mai and Shen{-}Li Chen and Yu{-}Jie Chung and Jhong{-}Yi Lai and Ting{-}En Lin}, title = {ESD-capability Study of High-voltage nLDMOSs with out the Drift Region {DPW} Effect}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {223--224}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226737}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226737}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/YangMCCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YouHHLL23, author = {Wei{-}Xuan You and Chih{-}Sheng Huang and Kai{-}Ming Hu and Tzu{-}Hsin Liu and Kuan{-}Ting Lai}, title = {Augmented Reality for Real Object Detection}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {803--804}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227067}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227067}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/YouHHLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/TanLZL23, author = {Charlie Tan and Theodore Long and Sarah Zhao and Rudolf Laine}, editor = {Krystal Maughan and Rosanne Liu and Thomas F. Burns}, title = {Geodesic Mode Connectivity}, booktitle = {The First Tiny Papers Track at {ICLR} 2023, Tiny Papers @ {ICLR} 2023, Kigali, Rwanda, May 5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=cFtt9fU7YB6}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/TanLZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/LiNLCCCY23, author = {Ai{-}Hsien Adams Li and Duc{-}Khanh Nguyen and Yen{-}Jun Lai and Ting{-}Ying Chien and Yen{-}Ling Chiu and Chien{-}Lung Chan and Pan{-}Chyr Yang}, title = {A deep learning approach to Lung Nodule Growth Prediction using {CT} image combined with Demographic and image features}, booktitle = {The 7th International Conference on Medical and Health Informatics, {ICMHI} 2023, Kyoto, Japan, May 12-14, 2023}, pages = {11--18}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3608298.3608301}, doi = {10.1145/3608298.3608301}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmhi/LiNLCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotaai/GuoHLJL23, author = {Ting Guo and Zhongzheng Huang and Ruimin Li and Chengcheng Ji and Xianghua Lai}, title = {Research on Muli-objective Ship Speed Optimization Considering Ship Emission Control Areas}, booktitle = {Proceedings of the 5th International Conference on Internet of Things, Automation and Artificial Intelligence, IoTAAI 2023, Nanchang, China, November 24-26, 2023}, pages = {582--589}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3653081.3653178}, doi = {10.1145/3653081.3653178}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iotaai/GuoHLJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YangTLLNCTLYYHCTYHHLLHCCKT23, author = {Y. L. Yang and P. C. Tsao and C. W. Lin and Ross Lee and Olivia Ni and T. T. Chen and Y. J. Ting and C. T. Lai and Jason Yeh and Arnold Yang and Wayne Huang and Peng Chen and Charly Tsai and Ryan Yang and Y. S. Huang and B. C. Hsu and M. Z. Lee and T. H. Lee and Michael Huang and Coming Chen and Liham Chu and H. W. Kao and N. S. Tsai}, title = {Performing Machine Learning Based Outlier Detection for Automotive Grade Products}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10118207}, doi = {10.1109/IRPS48203.2023.10118207}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YangTLLNCTLYYHCTYHHLLHCCKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChangWHLSL23, author = {Yen{-}Ching Chang and Szu{-}Ting Wang and Ying{-}Hsiu Hung and Yao{-}Feng Liang and Ming{-}Hwa Sheu and Shin{-}Chi Lai}, title = {Heart Valve Disease Recognition Using Phonocardiogram Signal Based on {A} Lightweight Convolution Neural Network}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {103--105}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396555}, doi = {10.1109/ISOCC59558.2023.10396555}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChangWHLSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HungCWLJSL23, author = {Ying{-}Hsiu Hung and Yen{-}Ching Chang and Suz{-}Ting Wang and Jeng{-}Dao Lee and Wen{-}Ho Juang and Ming{-}Hwa Sheu and Shin{-}Chi Lai}, title = {Convolutional Neural Network-based Keyword Classification for Mixer Control}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {181--182}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396305}, doi = {10.1109/ISOCC59558.2023.10396305}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HungCWLJSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YangWLJSWL23, author = {En{-}Chi Yang and Suz{-}Ting Wang and Kusn{-}Lin Liu and Wen{-}Ho Juang and Ming{-}Hwa Sheu and How{-}Chiun Wu and Shin{-}Chi Lai}, title = {Fast Measurement of Impedance Calculation for Electrochemical Impedance Spectroscopy}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {177--178}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396167}, doi = {10.1109/ISOCC59558.2023.10396167}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/YangWLJSWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/BhattacharjeeCL23, author = {Arnab Bhattacharjee and Wen{-}Hui Chen and Yu{-}Chen Lin and Kuan{-}Ting Lai}, title = {Improved ELBO-assisted Transformer for Skeleton-Based Action Recognition}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {3997--4002}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10421939}, doi = {10.1109/ITSC57777.2023.10421939}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/BhattacharjeeCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/m2vip/AoML23, author = {Zixuan Ao and Jing Ma and Edmund M.{-}K. Lai}, title = {A Comparison of YOLOv4-Tiny and YOLOv7 Models for Pedestrian Detection}, booktitle = {29th International Conference on Mechatronics and Machine Vision in Practice, {M2VIP} 2023, Queenstown, New Zealand, November 21-24, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/M2VIP58386.2023.10413432}, doi = {10.1109/M2VIP58386.2023.10413432}, timestamp = {Sat, 24 Feb 2024 20:42:51 +0100}, biburl = {https://dblp.org/rec/conf/m2vip/AoML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/LaiLL23, author = {Ting{-}Hsu Lai and Tsung{-}Jung Liu and Kuan{-}Hsien Liu}, editor = {Danilo Comminiello and Michele Scarpiniti}, title = {Scale Selection Network with Attention Mechanism for Crowd Counting}, booktitle = {33rd {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2023, Rome, Italy, September 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MLSP55844.2023.10285971}, doi = {10.1109/MLSP55844.2023.10285971}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlsp/LaiLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/Shi0W0GC23, author = {Laixi Shi and Gen Li and Yuting Wei and Yuxin Chen and Matthieu Geist and Yuejie Chi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {The Curious Price of Distributional Robustness in Reinforcement Learning with a Generative Model}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/fc8ee7c7ab5b5f6b1615045dfb617ed6-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/Shi0W0GC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChengTLH23, author = {Tzu{-}Ting Cheng and Yi{-}Hang Tsai and Chiayu Lai and San{-}Yih Hwang}, editor = {Patrick Chau and Jack Jing and Mikko T. Siponen and Andrew Burton{-}Jones and Chuan{-}Hoo Tan and Bo Sophia Xiao}, title = {Mining Impacts of {CSR} Disclosure on Firm Performance}, booktitle = {27th Pacific Asia Conference on Information Systems, {PACIS} 2023, Nanchang, China, July 9-12, 2023}, pages = {188}, year = {2023}, url = {https://aisel.aisnet.org/pacis2023/188}, timestamp = {Fri, 19 Jul 2024 15:43:09 +0200}, biburl = {https://dblp.org/rec/conf/pacis/ChengTLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/ZhangCLSLK23, author = {Yudi Zhang and Wenting Chen and Xuechen Li and Linlin Shen and Zhihui Lai and Heng Kong}, editor = {Qingshan Liu and Hanzi Wang and Zhanyu Ma and Weishi Zheng and Hongbin Zha and Xilin Chen and Liang Wang and Rongrong Ji}, title = {Adversarial Keyword Extraction and Semantic-Spatial Feature Aggregation for Clinical Report Guided Thyroid Nodule Segmentation}, booktitle = {Pattern Recognition and Computer Vision - 6th Chinese Conference, {PRCV} 2023, Xiamen, China, October 13-15, 2023, Proceedings, Part {XIII}}, series = {Lecture Notes in Computer Science}, volume = {14437}, pages = {235--247}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8558-6\_20}, doi = {10.1007/978-981-99-8558-6\_20}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/prcv/ZhangCLSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/JiangLCWCTKC23, author = {Yu{-}Chi Jiang and Yun{-}Ting Lai and Po{-}Chun Chen and Kun{-}Min Wu and Yu{-}Yu Chang and Yong Feng Tong and Shu{-}Yu Kuo and Yao{-}Hsin Chou}, title = {An Innovative Quantum-Inspired Hybrid Strategy and In-Depth Analysis of Cross-Market Portfolio Optimization}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {2966--2971}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394528}, doi = {10.1109/SMC53992.2023.10394528}, timestamp = {Tue, 13 Feb 2024 09:22:04 +0100}, biburl = {https://dblp.org/rec/conf/smc/JiangLCWCTKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/sp/SantucciCOM00LLS23, author = {Jean Fran{\c{c}}ois Santucci and Laurent Capocchi and Tuncer I. {\"{O}}ren and Saurabh Mittal and Bo Hu Li and Lin Zhang and Tingyu Lin and Yuanjun Laili and Claudia Szabo}, editor = {Tuncer I. {\"{O}}ren and Bernard P. Zeigler and Andreas Tolk}, title = {Supporting Computer Domains}, booktitle = {Body of Knowledge for Modeling and Simulation: {A} Handbook by the Society for Modeling and Simulation International}, series = {Simulation Foundations, Methods and Applications}, pages = {255--285}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-11085-6\_11}, doi = {10.1007/978-3-031-11085-6\_11}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/reference/sp/SantucciCOM00LLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-07168, author = {Tino Laidin and Thomas Rey}, title = {Hybrid Kinetic/Fluid numerical method for the Vlasov-Poisson-BGK equation in the diffusive scaling}, journal = {CoRR}, volume = {abs/2303.07168}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.07168}, doi = {10.48550/ARXIV.2303.07168}, eprinttype = {arXiv}, eprint = {2303.07168}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-07168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03168, author = {Chuan{-}Chi Lai and Ang{-}Hsun Tsai and Chia{-}Wei Ting and Ko{-}Han Lin and Jing{-}Chi Ling and Chia{-}En Tsai}, title = {Interference-Aware Deployment for Maximizing User Satisfaction in Multi-UAV Wireless Networks}, journal = {CoRR}, volume = {abs/2304.03168}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03168}, doi = {10.48550/ARXIV.2304.03168}, eprinttype = {arXiv}, eprint = {2304.03168}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12414, author = {Tin Lai}, title = {Real-time Aerial Detection and Reasoning on Embedded-UAVs}, journal = {CoRR}, volume = {abs/2305.12414}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12414}, doi = {10.48550/ARXIV.2305.12414}, eprinttype = {arXiv}, eprint = {2305.12414}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-16589, author = {Laixi Shi and Gen Li and Yuting Wei and Yuxin Chen and Matthieu Geist and Yuejie Chi}, title = {The Curious Price of Distributional Robustness in Reinforcement Learning with a Generative Model}, journal = {CoRR}, volume = {abs/2305.16589}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.16589}, doi = {10.48550/ARXIV.2305.16589}, eprinttype = {arXiv}, eprint = {2305.16589}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-16589.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10070, author = {Shubo Tian and Qiao Jin and Lana Yeganova and Po{-}Ting Lai and Qingqing Zhu and Xiuying Chen and Yifan Yang and Qingyu Chen and Won Kim and Donald C. Comeau and Rezarta Islamaj and Aadit Kapoor and Xin Gao and Zhiyong Lu}, title = {Opportunities and Challenges for ChatGPT and Large Language Models in Biomedicine and Health}, journal = {CoRR}, volume = {abs/2306.10070}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10070}, doi = {10.48550/ARXIV.2306.10070}, eprinttype = {arXiv}, eprint = {2306.10070}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-11189, author = {Po{-}Ting Lai and Chih{-}Hsuan Wei and Ling Luo and Qingyu Chen and Zhiyong Lu}, title = {BioREx: Improving Biomedical Relation Extraction by Leveraging Heterogeneous Datasets}, journal = {CoRR}, volume = {abs/2306.11189}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.11189}, doi = {10.48550/ARXIV.2306.11189}, eprinttype = {arXiv}, eprint = {2306.11189}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-11189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-10596, author = {Tin Lai and Farnaz Farid and Abubakar Bello and Fariza Sabrina}, title = {Ensemble Learning based Anomaly Detection for IoT Cybersecurity via Bayesian Hyperparameters Sensitivity Analysis}, journal = {CoRR}, volume = {abs/2307.10596}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.10596}, doi = {10.48550/ARXIV.2307.10596}, eprinttype = {arXiv}, eprint = {2307.10596}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-10596.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-11991, author = {Tin Lai and Yukun Shi and Zicong Du and Jiajie Wu and Ken Fu and Yichao Dou and Ziqi Wang}, title = {Psy-LLM: Scaling up Global Mental Health Psychological Services with AI-based Large Language Models}, journal = {CoRR}, volume = {abs/2307.11991}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.11991}, doi = {10.48550/ARXIV.2307.11991}, eprinttype = {arXiv}, eprint = {2307.11991}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-11991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-04071, author = {Lucas Barcelos and Tin Lai and Rafael Oliveira and Paulo V. K. Borges and Fabio Ramos}, title = {Path Signatures for Diversity in Probabilistic Trajectory Optimisation}, journal = {CoRR}, volume = {abs/2308.04071}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.04071}, doi = {10.48550/ARXIV.2308.04071}, eprinttype = {arXiv}, eprint = {2308.04071}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-04071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00252, author = {Tin Lai}, title = {Interpretable Medical Imagery Diagnosis with Self-Attentive Transformers: {A} Review of Explainable {AI} for Health Care}, journal = {CoRR}, volume = {abs/2309.00252}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00252}, doi = {10.48550/ARXIV.2309.00252}, eprinttype = {arXiv}, eprint = {2309.00252}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10787, author = {Yuan Tseng and Layne Berry and Yi{-}Ting Chen and I{-}Hsiang Chiu and Hsuan{-}Hao Lin and Max Liu and Puyuan Peng and Yi{-}Jen Shih and Hung{-}Yu Wang and Haibin Wu and Po{-}Yao Huang and Chun{-}Mao Lai and Shang{-}Wen Li and David Harwath and Yu Tsao and Shinji Watanabe and Abdelrahman Mohamed and Chi{-}Luen Feng and Hung{-}yi Lee}, title = {{AV-SUPERB:} {A} Multi-Task Evaluation Benchmark for Audio-Visual Representation Models}, journal = {CoRR}, volume = {abs/2309.10787}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10787}, doi = {10.48550/ARXIV.2309.10787}, eprinttype = {arXiv}, eprint = {2309.10787}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13819, author = {Wei{-}Ting Lai and Lachlan Birnie and Thushara D. Abhayapala and Amy Bastine and Shaoheng Xu and Prasanga N. Samarasinghe}, title = {A Two-Step Approach for Narrowband Source Localization in Reverberant Rooms}, journal = {CoRR}, volume = {abs/2309.13819}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13819}, doi = {10.48550/ARXIV.2309.13819}, eprinttype = {arXiv}, eprint = {2309.13819}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-17717, author = {Chi{-}Pin Huang and Kai{-}Po Chang and Chung{-}Ting Tsai and Yung{-}Hsuan Lai and Yu{-}Chiang Frank Wang}, title = {Receler: Reliable Concept Erasing of Text-to-Image Diffusion Models via Lightweight Erasers}, journal = {CoRR}, volume = {abs/2311.17717}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.17717}, doi = {10.48550/ARXIV.2311.17717}, eprinttype = {arXiv}, eprint = {2311.17717}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-17717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12439, author = {Tingqin Lai and Xiaolin Liang and Yi Zhu and Xinyi Wu and Lianye Liao and Xuelin Yuan and Ping Su and Shihai Sun}, title = {Single-pixel 3D imaging based on fusion temporal data of single photon detector and millimeter-wave radar}, journal = {CoRR}, volume = {abs/2312.12439}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12439}, doi = {10.48550/ARXIV.2312.12439}, eprinttype = {arXiv}, eprint = {2312.12439}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JhangWSWL22, author = {Yu{-}Syuan Jhang and Szu{-}Ting Wang and Ming{-}Hwa Sheu and Szu{-}Hong Wang and Shin{-}Chi Lai}, title = {Integration Design of Portable {ECG} Signal Acquisition With Deep-Learning Based Electrode Motion Artifact Removal on an Embedded System}, journal = {{IEEE} Access}, volume = {10}, pages = {57555--57564}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3178847}, doi = {10.1109/ACCESS.2022.3178847}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JhangWSWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiHZWHSJ22, author = {Shin{-}Chi Lai and Ying{-}Hsiu Hung and Yi{-}Chang Zhu and Szu{-}Ting Wang and Qi{-}Xian Huang and Ming{-}Hwa Sheu and Wen{-}Ho Juang}, title = {Hardware Accelerator Design of {DCT} Algorithm With Unique-Group Cosine Coefficients for Mel-Scale Frequency Cepstral Coefficients}, journal = {{IEEE} Access}, volume = {10}, pages = {79681--79688}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3194261}, doi = {10.1109/ACCESS.2022.3194261}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LaiHZWHSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiK22, author = {Ching{-}Ming Lai and Ting{-}Jung Kuo}, title = {Available Capacity Computation Model Based on Long Short-Term Memory Recurrent Neural Network for Gelled-Electrolyte Batteries in Golf Carts}, journal = {{IEEE} Access}, volume = {10}, pages = {54433--54444}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3123789}, doi = {10.1109/ACCESS.2021.3123789}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LaiK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SheuJCWCL22, author = {Ming{-}Hwa Sheu and Yu{-}Syuan Jhang and Yen{-}Ching Chang and Szu{-}Ting Wang and Chuan{-}Yu Chang and Shin{-}Chi Lai}, title = {Lightweight Denoising Autoencoder Design for Noise Removal in Electrocardiography}, journal = {{IEEE} Access}, volume = {10}, pages = {98104--98116}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3206620}, doi = {10.1109/ACCESS.2022.3206620}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SheuJCWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangSLL22, author = {Zichen Wang and Hemin Sun and Hao Li and Tinghao Lai}, title = {{AOA} Positioning and Path Optimization of {UAV} Swarm Based on A-Optimality}, journal = {{IEEE} Access}, volume = {10}, pages = {14946--14958}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3146402}, doi = {10.1109/ACCESS.2022.3146402}, timestamp = {Wed, 16 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangSLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehLLKLC22, author = {Chien{-}Hung Yeh and Li{-}Hung Liu and Wen{-}Piao Lin and Han{-}Shin Ko and Yi{-}Ting Lai and Chi{-}Wai Chow}, title = {A Survivable Optical Network for {WDM} Access Against Fiber Breakpoint}, journal = {{IEEE} Access}, volume = {10}, pages = {25828--25833}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3156110}, doi = {10.1109/ACCESS.2022.3156110}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehLLKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehLLKLCC22, author = {Chien{-}Hung Yeh and Yu{-}Ting Lai and Li{-}Hung Liu and Han{-}Shin Ko and Yi{-}Ting Lai and Yi{-}Chi Chen and Chi{-}Wai Chow}, title = {Use of Simple Octa-Ring Configuration for Tunable Erbium Laser With Single-Mode Output}, journal = {{IEEE} Access}, volume = {10}, pages = {38750--38754}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3165823}, doi = {10.1109/ACCESS.2022.3165823}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehLLKLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/SunZLLHZ22, author = {Qi Sun and Kun Zhang and Laishui Lv and Xun Li and Kun Huang and Ting Zhang}, title = {Joint extraction of entities and overlapping relations by improved graph convolutional networks}, journal = {Appl. Intell.}, volume = {52}, number = {5}, pages = {5212--5224}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02667-x}, doi = {10.1007/S10489-021-02667-X}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/SunZLLHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/XuZL22a, author = {Guang{-}Hui Xu and Ting{-}Wei Zhang and Qiang Lai}, title = {A new firefly algorithm with mean condition partial attraction}, journal = {Appl. Intell.}, volume = {52}, number = {4}, pages = {4418--4431}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02642-6}, doi = {10.1007/S10489-021-02642-6}, timestamp = {Thu, 07 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/XuZL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LuoLWAL22, author = {Ling Luo and Po{-}Ting Lai and Chih{-}Hsuan Wei and Cecilia N. Arighi and Zhiyong Lu}, title = {BioRED: a rich biomedical relation extraction dataset}, journal = {Briefings Bioinform.}, volume = {23}, number = {5}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac282}, doi = {10.1093/BIB/BBAC282}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/LuoLWAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/0001WL0IL22, author = {Ling Luo and Chih{-}Hsuan Wei and Po{-}Ting Lai and Qingyu Chen and Rezarta Islamaj and Zhiyong Lu}, title = {Assigning species information to corresponding genes by a sequence labeling framework}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac090}, doi = {10.1093/DATABASE/BAAC090}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodb/0001WL0IL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/LuoLWL22, author = {Ling Luo and Po{-}Ting Lai and Chih{-}Hsuan Wei and Zhiyong Lu}, title = {A sequence labeling framework for extracting drug-protein relations from biomedical literature}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac058}, doi = {10.1093/DATABASE/BAAC058}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/LuoLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/KuoGLYGLJCTC22, author = {Chia{-}Chun Kuo and Ming{-}Lu Guo and Ai{-}Ho Liao and Hsiao{-}Wei Yu and Muthusankar Ganesan and Chu{-}Wei Li and Shiu{-}Chen Jeng and Jeng{-}Fong Chiou and Lai{-}Lei Ting and Ho{-}Chiao Chuang}, title = {Combining the wavelet transform with a phase-lead compensator to a respiratory motion compensation system with an ultrasound tracking technique in radiation therapy}, journal = {Biomed. Signal Process. Control.}, volume = {78}, pages = {103892}, year = {2022}, url = {https://doi.org/10.1016/j.bspc.2022.103892}, doi = {10.1016/J.BSPC.2022.103892}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/KuoGLYGLJCTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/YangLLLCCZ22, author = {De Yang and Timothy Tin{-}Yan Lee and Kelly Ka{-}Lee Lai and Tsz{-}Ping Lam and Ren{\'{e}} M. Castelein and Jack Chun Yiu Cheng and Yong{-}Ping Zheng}, title = {Semi-automatic method for pre-surgery scoliosis classification on X-ray images using Bending Asymmetry Index}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {17}, number = {12}, pages = {2239--2251}, year = {2022}, url = {https://doi.org/10.1007/s11548-022-02740-x}, doi = {10.1007/S11548-022-02740-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/YangLLLCCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/LaiCCK22, author = {Wei{-}Ting Lai and Ray{-}Bing Chen and Ying Chen and Thorsten Koch}, title = {Variational Bayesian inference for network autoregression models}, journal = {Comput. Stat. Data Anal.}, volume = {169}, pages = {107406}, year = {2022}, url = {https://doi.org/10.1016/j.csda.2021.107406}, doi = {10.1016/J.CSDA.2021.107406}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/LaiCCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ShuTLYHC22, author = {Yu{-}Hsin Shu and Yu{-}Chen Tseng and Yu{-}Hsiang Lai and Yan{-}Ting Yu and Kai{-}Feng Huang and Yung{-}Fu Chen}, title = {Exploring the Origin of Maximum Entropy States Relevant to Resonant Modes in Modern Chladni Plates}, journal = {Entropy}, volume = {24}, number = {2}, pages = {215}, year = {2022}, url = {https://doi.org/10.3390/e24020215}, doi = {10.3390/E24020215}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ShuTLYHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/SunXZHLLZD22, author = {Qi Sun and Tiancheng Xu and Kun Zhang and Kun Huang and Laishui Lv and Xun Li and Ting Zhang and Doris Dore{-}Natteh}, title = {Dual-Channel and Hierarchical Graph Convolutional Networks for document-level relation extraction}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117678}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117678}, doi = {10.1016/J.ESWA.2022.117678}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/SunXZHLLZD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/XuLJFB22, author = {Xiaoting Xu and Tin Lai and Sayka Jahan and Farnaz Farid and Abubakar Bello}, title = {A Machine Learning Predictive Model to Detect Water Quality and Pollution}, journal = {Future Internet}, volume = {14}, number = {11}, pages = {324}, year = {2022}, url = {https://doi.org/10.3390/fi14110324}, doi = {10.3390/FI14110324}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/XuLJFB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/BacaSLW22, author = {Martin Baca and Andrea Semanicov{\'{a}}{-}Fenovc{\'{\i}}kov{\'{a}} and Ruei{-}Ting Lai and Tao{-}Ming Wang}, title = {On Local Antimagic Vertex Coloring for Complete Full \emph{t}-ary Trees}, journal = {Fundam. Informaticae}, volume = {185}, number = {2}, pages = {99--113}, year = {2022}, url = {https://doi.org/10.3233/FI-222105}, doi = {10.3233/FI-222105}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/BacaSLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/ChenYKCLKLCLYQR22, author = {Bo{-}Wei Chen and Shih{-}Hung Yang and Chao{-}Hung Kuo and Jia{-}Wei Chen and Yu{-}Chun Lo and Yun{-}Ting Kuo and Yi{-}Chen Lin and Hao{-}Cheng Chang and Sheng{-}Huang Lin and Xiao Yu and Boyi Qu and Shuan{-}Chu Vina Ro and Hsin{-}Yi Lai and You{-}Yin Chen}, title = {Neuro-Inspired Reinforcement Learning to Improve Trajectory Prediction in Reward-Guided Behavior}, journal = {Int. J. Neural Syst.}, volume = {32}, number = {9}, pages = {2250038:1--2250038:25}, year = {2022}, url = {https://doi.org/10.1142/S0129065722500381}, doi = {10.1142/S0129065722500381}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijns/ChenYKCLKLCLYQR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenLRG22, author = {Yiting Chen and Lailong Luo and Bangbang Ren and Deke Guo}, title = {Geo-Distributed IoT Data Analytics With Deadline Constraints Across Network Edge}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {22}, pages = {22914--22929}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3186173}, doi = {10.1109/JIOT.2022.3186173}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChenLRG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/DiaoCLYATLFMPZC22, author = {Haikang Diao and Chen Chen and Xiangyu Liu and Wei Yuan and Amara Amara and Toshiyo Tamura and Benny Lo and Jiahao Fan and Long Meng and Sio{-}Hang Pun and Yuan{-}Ting Zhang and Wei Chen}, title = {Real-Time and Cost-Effective Smart Mat System Based on Frequency Channel Selection for Sleep Posture Recognition in IoMT}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {21}, pages = {21421--21431}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3181599}, doi = {10.1109/JIOT.2022.3181599}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/DiaoCLYATLFMPZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/YanLLVOXGSRL22, author = {Shankai Yan and Ling Luo and Po{-}Ting Lai and Daniel Veltri and Andrew J. Oler and Sandhya Xirasagar and Rajarshi Ghosh and Morgan Similuk and Peter N. Robinson and Zhiyong Lu}, title = {PhenoRerank: {A} re-ranking model for phenotypic concept recognition pre-trained on human phenotype ontology}, journal = {J. Biomed. Informatics}, volume = {129}, pages = {104059}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104059}, doi = {10.1016/J.JBI.2022.104059}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/YanLLVOXGSRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/ZhangYXGWLZZCLC22, author = {Lin Zhang and Fei Ye and Kunyu Xie and Pengfei Gu and Xiaohan Wang and Yuanjun Laili and Chun Zhao and Xuesong Zhang and Minjie Chen and Tingyu Lin and Zhen Chen}, title = {An Integrated Intelligent Modeling and Simulation Language for Model-based Systems Engineering}, journal = {J. Ind. Inf. Integr.}, volume = {28}, pages = {100347}, year = {2022}, url = {https://doi.org/10.1016/j.jii.2022.100347}, doi = {10.1016/J.JII.2022.100347}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiii/ZhangYXGWLZZCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LaiWYWTC22, author = {Yu{-}Liang Lai and Yu{-}Dan Wu and Huan{-}Jui Yeh and Ya{-}Ting Wu and Hsin{-}Yu Tsai and Jung{-}Chih Chen}, title = {Using convolutional neural network to analyze brain {MRI} images for predicting functional outcomes of stroke}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {10}, pages = {2841--2849}, year = {2022}, url = {https://doi.org/10.1007/s11517-022-02636-7}, doi = {10.1007/S11517-022-02636-7}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/LaiWYWTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/WuTLCYCCHPHCLSL22, author = {I{-}Wen Wu and Tsung{-}Hsien Tsai and Chi{-}Jen Lo and Yi{-}Ju Chou and Chi{-}Hsiao Yeh and Yun{-}Hsuan Chan and Jun{-}Hong Chen and Paul Wei{-}Che Hsu and Heng{-}Chih Pan and Heng{-}Jung Hsu and Chun{-}Yu Chen and Chin{-}Chan Lee and Yu{-}Chiau Shyu and Chih{-}Lang Lin and Mei{-}Ling Cheng and Chi{-}Chun Lai and Huey{-}Kang Sytwu and Ting{-}Fen Tsai}, title = {Discovering a trans-omics biomarker signature that predisposes high risk diabetic patients to diabetic kidney disease}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00713-7}, doi = {10.1038/S41746-022-00713-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/WuTLCYCCHPHCLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/ZhongHWLXL22, author = {Shi{-}Ting Zhong and Ling Huang and Chang{-}Dong Wang and Jianhuang Lai and Guangqiang Xie and Yang Li}, title = {A Model-Bias Matrix Factorization Approach for Course Score Prediction}, journal = {Neural Process. Lett.}, volume = {54}, number = {5}, pages = {3583--3600}, year = {2022}, url = {https://doi.org/10.1007/s11063-020-10385-7}, doi = {10.1007/S11063-020-10385-7}, timestamp = {Sat, 03 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npl/ZhongHWLXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/LaiCCH22, author = {Pao{-}Lien Lai and Kuan{-}Ting Chen and Chien{-}Ping Chang and Hong{-}Chun Hsu}, title = {An Efficient Algorithm for Embedding Two-Dimensional Tori into Balanced Hypercubes}, journal = {Parallel Process. Lett.}, volume = {32}, number = {1{\&}2}, pages = {2250002:1--2250002:18}, year = {2022}, url = {https://doi.org/10.1142/S0129626422500025}, doi = {10.1142/S0129626422500025}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/LaiCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LaiR22, author = {Tin Lai and Fabio Ramos}, title = {Adaptively Exploits Local Structure With Generalised Multi-Trees Motion Planning}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {1111--1117}, year = {2022}, url = {https://doi.org/10.1109/LRA.2021.3132985}, doi = {10.1109/LRA.2021.3132985}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LaiR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuoBYZZLL22, author = {Hao Luo and Xiaojuan Bie and Guihua Yi and Xiaobing Zhou and Tingbin Zhang and Jingji Li and Pingqing Lai}, title = {Dominant Impacting Factors on Water-Use Efficiency Variation in Inner Mongolia from 2001 to 2018: Vegetation or Climate?}, journal = {Remote. Sens.}, volume = {14}, number = {18}, pages = {4541}, year = {2022}, url = {https://doi.org/10.3390/rs14184541}, doi = {10.3390/RS14184541}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LuoBYZZLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuLF22, author = {Hansel Hu and Tin Lai and Farnaz Farid}, title = {Feasibility Study of Constructing a Screening Tool for Adolescent Diabetes Detection Applying Machine Learning Methods}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6155}, year = {2022}, url = {https://doi.org/10.3390/s22166155}, doi = {10.3390/S22166155}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Lai22a, author = {Tin Lai}, title = {A Review on Visual-SLAM: Advancements from Geometric Modelling to Learning-Based Semantic Scene Understanding Using Multi-Modal Sensor Fusion}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7265}, year = {2022}, url = {https://doi.org/10.3390/s22197265}, doi = {10.3390/S22197265}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/Lai22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/LaiRZ22, author = {Ru{-}Yu Lai and Kui Ren and Ting Zhou}, title = {Inverse Transport and Diffusion Problems in Photoacoustic Imaging with Nonlinear Absorption}, journal = {{SIAM} J. Appl. Math.}, volume = {82}, number = {2}, pages = {602--624}, year = {2022}, url = {https://doi.org/10.1137/21m1436178}, doi = {10.1137/21M1436178}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamam/LaiRZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LuL22, author = {Ching{-}Hu Lu and Kuan{-}Ting Lai}, title = {Dynamic Offloading on a Hybrid Edge-Cloud Architecture for Multiobject Tracking}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {4}, pages = {6490--6500}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2022.3165571}, doi = {10.1109/JSYST.2022.3165571}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/LuL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tai/WangZZWSLK22, author = {Xipei Wang and Haoyu Zhang and Yuanbo Zhang and Meng Wang and Jiarui Song and Tin Lai and Matloob Khushi}, title = {Learning Nonstationary Time-Series With Dynamic Pattern Extractions}, journal = {{IEEE} Trans. Artif. Intell.}, volume = {3}, number = {5}, pages = {778--787}, year = {2022}, url = {https://doi.org/10.1109/TAI.2021.3130529}, doi = {10.1109/TAI.2021.3130529}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tai/WangZZWSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChenLLC22, author = {Yu{-}Chi Chen and Kuan{-}Ting Lai and Dong Liu and Ming{-}Syan Chen}, title = {TAGNet: Triplet-Attention Graph Networks for Hashtag Recommendation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {3}, pages = {1148--1159}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2021.3074599}, doi = {10.1109/TCSVT.2021.3074599}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChenLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/XieLL22, author = {Ting Xie and Shutao Li and Jibao Lai}, title = {Adaptive Rank and Structured Sparsity Corrections for Hyperspectral Image Restoration}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {9}, pages = {8729--8740}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2021.3051656}, doi = {10.1109/TCYB.2021.3051656}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/XieLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ZhongHWLY22, author = {Shi{-}Ting Zhong and Ling Huang and Chang{-}Dong Wang and Jian{-}Huang Lai and Philip S. Yu}, title = {An Autoencoder Framework With Attention Mechanism for Cross-Domain Recommendation}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {6}, pages = {5229--5241}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2020.3029002}, doi = {10.1109/TCYB.2020.3029002}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ZhongHWLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/LaiWCT22, author = {Wei Kuang Lai and You{-}Chiun Wang and Yi{-}Chien Chen and Zong{-}Ting Tsai}, title = {{TSSM:} Time-Sharing Switch Migration to Balance Loads of Distributed {SDN} Controllers}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {19}, number = {2}, pages = {1585--1597}, year = {2022}, url = {https://doi.org/10.1109/TNSM.2022.3146834}, doi = {10.1109/TNSM.2022.3146834}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/LaiWCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/ZhangCWJLSL22, author = {Tingting Zhang and Lai Chai and Shenshen Wang and Junyu Jin and Xiaofan Liu and Aiguo Song and Yushi Lan}, title = {Improving Autonomous Behavior Strategy Learning in an Unmanned Swarm System Through Knowledge Enhancement}, journal = {{IEEE} Trans. Reliab.}, volume = {71}, number = {2}, pages = {763--774}, year = {2022}, url = {https://doi.org/10.1109/TR.2022.3158279}, doi = {10.1109/TR.2022.3158279}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/ZhangCWJLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiuWCL22, author = {Chih{-}Ting Liu and Chien{-}Yi Wang and Shao{-}Yi Chien and Shang{-}Hong Lai}, title = {FedFR: Joint Optimization Federated Framework for Generic and Personalized Face Recognition}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {1656--1664}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i2.20057}, doi = {10.1609/AAAI.V36I2.20057}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiuWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XuLZL022, author = {Kunran Xu and Yishi Li and Huawei Zhang and Rui Lai and Lin Gu}, title = {EtinyNet: Extremely Tiny Network for TinyML}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {4628--4636}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i4.20387}, doi = {10.1609/AAAI.V36I4.20387}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XuLZL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/0001LWAL22, author = {Ling Luo and Po{-}Ting Lai and Chih{-}Hsuan Wei and Cecilia N. Arighi and Zhiyong Lu}, title = {BioRED: {A} Comprehensive Biomedical Relation Extraction Dataset}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f007-1.4641746/f007-1.4641747/37-1.4641961/222-1.4641958}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/0001LWAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ChenHWKSL22, author = {Chih{-}Yuan Chen and Hsin{-}Hung Huang and Chiu{-}Yeh Wu and Li{-}Ting Kuo and Siou{-}Yu Shih and Por Lai}, title = {Telehealth Data-derived Visual Analytics for Health Informatics Applications in Coordinated Care of Patients with Multiple Comorbidities}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {444--447}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948673}, doi = {10.1109/BIOCAS54905.2022.9948673}, timestamp = {Tue, 22 Nov 2022 09:54:51 +0100}, biburl = {https://dblp.org/rec/conf/biocas/ChenHWKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LaiZQZ22, author = {Tinghao Lai and Yan Zhao and Weizhu Qian and Kai Zheng}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Loyalty-based Task Assignment in Spatial Crowdsourcing}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {1014--1023}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557383}, doi = {10.1145/3511808.3557383}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LaiZQZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/data/LaiCHC22, author = {Zong{-}Kai Lai and Yi{-}Ting Chiang and Tsan{-}sheng Hsu and Hung{-}Jui Chang}, editor = {Alfredo Cuzzocrea and Oleg Gusikhin and Wil M. P. van der Aalst and Slimane Hammoudi}, title = {Using Machine Learning Methods and the Influenza Simulation System to Explore the Similarities of Taiwan's Administrative Regions}, booktitle = {Proceedings of the 11th International Conference on Data Science, Technology and Applications, {DATA} 2022, Lisbon, Portugal, July 11-13, 2022}, pages = {416--422}, publisher = {{SCITEPRESS}}, year = {2022}, url = {https://doi.org/10.5220/0011279100003269}, doi = {10.5220/0011279100003269}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/data/LaiCHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhouKSGLZLKG22, author = {Honglu Zhou and Asim Kadav and Aviv Shamsian and Shijie Geng and Farley Lai and Long Zhao and Ting Liu and Mubbasir Kapadia and Hans Peter Graf}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{COMPOSER:} Compositional Reasoning of Group Activity in Videos with Keypoint-Only Modality}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXV}}, series = {Lecture Notes in Computer Science}, volume = {13695}, pages = {249--266}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19833-5\_15}, doi = {10.1007/978-3-031-19833-5\_15}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhouKSGLZLKG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HsiehLLS22, author = {Chin{-}Fa Hsieh and Pin{-}Hong Liu and Jai{-}Xuan Lai and Shih{-}Hao Sun}, title = {Implementation of a Smart Checkout System Based on Face Recognition Using {YOLO} v3-tiny}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {903--904}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014330}, doi = {10.1109/GCCE56475.2022.10014330}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HsiehLLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ChouKJWSHHLTC22, author = {Yao{-}Hsin Chou and Shu{-}Yu Kuo and Yu{-}Chi Jiang and Ching{-}Hsuan Wu and Jyun{-}Yi Shen and Cheng{-}Yen Hua and Pei{-}Shin Huang and Yun{-}Ting Lai and Yong Feng Tong and Ming{-}He Chang}, editor = {Jonathan E. Fieldsend and Markus Wagner}, title = {A novel quantum-inspired evolutionary computation-based quantum circuit synthesis for various universal gate libraries}, booktitle = {{GECCO} '22: Genetic and Evolutionary Computation Conference, Companion Volume, Boston, Massachusetts, USA, July 9 - 13, 2022}, pages = {2182--2189}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3520304.3533956}, doi = {10.1145/3520304.3533956}, timestamp = {Mon, 25 Jul 2022 17:04:27 +0200}, biburl = {https://dblp.org/rec/conf/gecco/ChouKJWSHHLTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChenHLYBY22, author = {Pin{-}Chieh Chen and Jui{-}Wei Huang and Wen{-}Ni Lai and Tina Chien{-}Wen Yuan and Nanyi Bi and Chuang{-}Wen You}, title = {Exploring How Neurofeedback Data Visualization Affects User Immersiveness and Experience in Virtual Reality}, booktitle = {Adjunct Proceedings of the 2022 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and the 2022 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2022, Cambridge, United Kingdom, September 11-15, 2022}, pages = {13--15}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544793.3560364}, doi = {10.1145/3544793.3560364}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/ChenHLYBY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JianGCLL22, author = {Ming{-}Shen Jian and Jian{-}Ting Guo and Hung{-}Jen Chen and Yu{-}Zhi Luo and Yu{-}Chen Lai}, title = {Cloud Blockchain Based Multiple Inspection Information Exchanging for Agriculture and Food Safety}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {117--124}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728896}, doi = {10.23919/ICACT53585.2022.9728896}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/JianGCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuLMW22, author = {Meng{-}Yun Liu and Yu{-}Cheng Lai and Wai{-}Kei Mak and Ting{-}Chi Wang}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Generation of Mixed-Driving Multi-Bit Flip-Flops for Power Optimization}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {75:1--75:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549473}, doi = {10.1145/3508352.3549473}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuLMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChinSLLLC22, author = {Chiun{-}Li Chin and Tzu{-}Yu Sun and Jun{-}Cheng Lin and Chieh{-}Yu Li and Yan{-}Ming Lai and Ting Chen}, title = {Based on {CWGAN} Deep Learning Architecture to Predict Chronic Wound Depth Image}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {275--276}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869257}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869257}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChinSLLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiL22, author = {Kuan{-}Ting Lai and Guo{-}Shiang Lin}, title = {A New Light Weight Convolutional Neural Network for Mobile Devices}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {349--350}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869273}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869273}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiLCW22, author = {Yen{-}Ting Lai and Yi{-}En Lin and Pao{-}Chi Chang and Jia{-}Ching Wang}, title = {Single-Channel Target Speaker Extraction System with Attention Enhancement}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {433--434}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869089}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869089}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiLCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/HuangLYSCH22, author = {Yaodong Huang and Zelin Lin and Tingting Yao and Xiaojun Shang and Laizhong Cui and Joshua Zhexue Huang}, title = {Mobility-aware Seamless Virtual Function Migration in Deviceless Edge Computing Environments}, booktitle = {42nd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2022, Bologna, Italy, July 10-13, 2022}, pages = {447--457}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDCS54860.2022.00050}, doi = {10.1109/ICDCS54860.2022.00050}, timestamp = {Thu, 20 Oct 2022 09:13:03 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/HuangLYSCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ChenNPTZB22, author = {Tingyang Chen and Lugang Nie and Jiwei Pan and Lai Tu and Bolong Zheng and Xiang Bai}, editor = {Xingquan Zhu and Sanjay Ranka and My T. Thai and Takashi Washio and Xindong Wu}, title = {Origin-Destination Traffic Prediction based on Hybrid Spatio-Temporal Network}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2022, Orlando, FL, USA, November 28 - Dec. 1, 2022}, pages = {879--884}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDM54844.2022.00101}, doi = {10.1109/ICDM54844.2022.00101}, timestamp = {Thu, 02 Feb 2023 13:50:02 +0100}, biburl = {https://dblp.org/rec/conf/icdm/ChenNPTZB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChenCCWCLCWLST22, author = {Yu{-}Wen Chen and En{-}Ping Chang and Yu{-}Cheng Chou and Yi{-}Xuan Wu and Chia{-}Ling Chang and Guan{-}Yang Liu and Wei{-}Ting Chen and Yu{-}Hsin Wu and Kai{-}Ying Lai and Ching{-}Kai Shen and Jui{-}Che Tsai}, title = {Increasing Transfer Rate of Sunlight Communication System Using 2{\texttimes}2 Switchable {CCR} Array}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {241--243}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983561}, doi = {10.1109/ICKII55100.2022.9983561}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ickii/ChenCCWCLCWLST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/Shi0W0C22, author = {Laixi Shi and Gen Li and Yuting Wei and Yuxin Chen and Yuejie Chi}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Pessimistic Q-Learning for Offline Reinforcement Learning: Towards Optimal Sample Complexity}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {19967--20025}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/shi22c.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/Shi0W0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ZhiLOBR22, author = {Weiming Zhi and Tin Lai and Lionel Ott and Edwin V. Bonilla and Fabio Ramos}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Learning Efficient and Robust Ordinary Differential Equations via Invertible Neural Networks}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {27060--27074}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/zhi22a.html}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ZhiLOBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/AhmadGMLT22, author = {Nehal Ahmad and Mudasir Ahmad Ganaie and Ashwani Kumar Malik and Kuan{-}Ting Lai and M. Tanveer}, editor = {Mohammad Tanveer and Sonali Agarwal and Seiichi Ozawa and Asif Ekbal and Adam Jatowt}, title = {Minimum Variance Embedded Intuitionistic Fuzzy Weighted Random Vector Functional Link Network}, booktitle = {Neural Information Processing - 29th International Conference, {ICONIP} 2022, Virtual Event, November 22-26, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13623}, pages = {600--611}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-30105-6\_50}, doi = {10.1007/978-3-031-30105-6\_50}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/AhmadGMLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/Lai022, author = {Tin Lai and Fabio Ramos}, title = {LTR*: Rapid Replanning in Executing Consecutive Tasks with Lazy Experience Graph}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {8784--8790}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9982237}, doi = {10.1109/IROS47612.2022.9982237}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/Lai022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/Lai22, author = {Tin Lai}, title = {Discover Life Skills for Planning as Bandits via Observing and Learning How the World Works}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {11360--11365}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981158}, doi = {10.1109/IROS47612.2022.9981158}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/Lai22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Abdel-HafezDMTN22, author = {Khader S. Abdel{-}Hafez and Michael Dsouza and Likith Kumar Manchukonda and Elddie Tsai and Karthikeyan Natarajan and Ting{-}Pu Tai and Wenhao Hsueh and Smith Lai}, title = {Comprehensive Power-Aware {ATPG} Methodology for Complex Low-Power Designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {334--339}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00041}, doi = {10.1109/ITC50671.2022.00041}, timestamp = {Thu, 05 Jan 2023 13:13:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/Abdel-HafezDMTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/l4dc/ZhiLOR22, author = {Weiming Zhi and Tin Lai and Lionel Ott and Fabio Ramos}, editor = {Roya Firoozi and Negar Mehr and Esen Yel and Rika Antonova and Jeannette Bohg and Mac Schwager and Mykel J. Kochenderfer}, title = {Diffeomorphic Transforms for Generalised Imitation Learning}, booktitle = {Learning for Dynamics and Control Conference, {L4DC} 2022, 23-24 June 2022, Stanford University, Stanford, CA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {168}, pages = {508--519}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v168/zhi22a.html}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/l4dc/ZhiLOR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LiaoYLLSL22, author = {Ting{-}Yu Liao and Ching{-}Hui Yang and Yu{-}Wen Lo and Kuan{-}Ying Lai and Po{-}Huai Shen and Youn{-}Long Lin}, editor = {Moi Hoon Yap and Connah Kendrick and Bill Cassidy}, title = {HarDNet-DFUS: Enhancing Backbone and Decoder of HarDNet-MSEG for Diabetic Foot Ulcer Image Segmentation}, booktitle = {Diabetic Foot Ulcers Grand Challenge - Third Challenge, {DFUC} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 22, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13797}, pages = {21--30}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26354-5\_2}, doi = {10.1007/978-3-031-26354-5\_2}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LiaoYLLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pqcrypto/MaoGWH22, author = {Shuping Mao and Tingting Guo and Peng Wang and Lei Hu}, editor = {Jung Hee Cheon and Thomas Johansson}, title = {Quantum Attacks on Lai-Massey Structure}, booktitle = {Post-Quantum Cryptography - 13th International Workshop, PQCrypto 2022, Virtual Event, September 28-30, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13512}, pages = {205--229}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17234-2\_11}, doi = {10.1007/978-3-031-17234-2\_11}, timestamp = {Sun, 12 Nov 2023 02:15:49 +0100}, biburl = {https://dblp.org/rec/conf/pqcrypto/MaoGWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/HsuLKWL22, author = {Yi{-}Jang Hsu and Yu{-}Ting Lai and Hsuan{-}Ming Kuo and Yi{-}Min Wang and Yinchieh Lai}, title = {Spectral Transmission Background Tunability of a Micro-Ring Resonator via Coherent Feedback}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850026}, doi = {10.23919/OECC/PSC53152.2022.9850026}, timestamp = {Tue, 23 Aug 2022 10:41:19 +0200}, biburl = {https://dblp.org/rec/conf/ps/HsuLKWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scdm/TingYTLF22, author = {Kung Chuang Ting and Kim Ho Yeap and Peh Chiong Teh and Koon Chun Lai and Florence Francis{-}Lothai}, editor = {Rozaida Ghazali and Nazri Mohd Nawi and Mustafa Mat Deris and Jemal H. Abawajy and Nureize Arbaiy}, title = {Combined Spatial and Frequency Domains in Algorithm of {RGB} Color Image Security for Telescope Images}, booktitle = {Recent Advances in Soft Computing and Data Mining - Proceedings of the Fifth International Conference on Soft Computing and Data Mining {(SCDM} 2022), May 30-31, 2022, Virtual Event}, series = {Lecture Notes in Networks and Systems}, volume = {457}, pages = {184--193}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-00828-3\_18}, doi = {10.1007/978-3-031-00828-3\_18}, timestamp = {Mon, 16 May 2022 15:31:26 +0200}, biburl = {https://dblp.org/rec/conf/scdm/TingYTLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/Lai22, author = {Jiun{-}Ting Lai}, editor = {Soh Yeong Roh and Jin Wan Park and Soon Ki Jung}, title = {Human-like non-human - {HAOS} human electrical cognition liberation project Ver 1.0}, booktitle = {Proceedings of the {SIGGRAPH} Asia 2022 Art Gallery, Daegu, Republic of Korea, December 6-9, 2022}, pages = {11:1}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550470.3558450}, doi = {10.1145/3550470.3558450}, timestamp = {Fri, 15 Dec 2023 12:07:47 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/Lai22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LaiCTJCK22, author = {Yun{-}Ting Lai and Ming{-}He Chang and Yong Feng Tong and Yu{-}Chi Jiang and Yao{-}Hsin Chou and Shu{-}Yu Kuo}, title = {Portfolio optimization Decision-Making System by Quantum-inspired Metaheuristics and Trend Ratio}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2022, Prague, Czech Republic, October 9-12, 2022}, pages = {1748--1753}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMC53654.2022.9945589}, doi = {10.1109/SMC53654.2022.9945589}, timestamp = {Thu, 01 Dec 2022 15:59:35 +0100}, biburl = {https://dblp.org/rec/conf/smc/LaiCTJCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangWSYYWCLTCH22, author = {Chang{-}Feng Yang and Chun{-}Yu Wu and Meng{-}Chun Shih and Ming{-}Ta Yang and Ming{-}Han Yang and Yu{-}Tien Wu and Ta{-}Chun Chien and Chih{-}Wei Lai and Shih{-}Chi Tsai and Wen{-}Ting Chu and Arthur Hung}, title = {Demonstration of High Endurance Capability on Mega-Bit {RRAM} Macro and Model of ppm Level Failures}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {318--319}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830374}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830374}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YangWSYYWCLTCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/FengYWRFX22, author = {Wilson Feng and Shucai Yao and Kai{-}Ting Amy Wang and Md Aamir Raihan and Laichun Feng and Chunrong Xu}, editor = {Dan Feng and Steffen Becker and Nikolas Herbst and Philipp Leitner}, title = {Extending SYCL's Programming Paradigm with Tensor-based {SIMD} Abstractions}, booktitle = {{ICPE} '22: {ACM/SPEC} International Conference on Performance Engineering, Bejing, China, April 9 - 13, 2022}, pages = {59--66}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489525.3511681}, doi = {10.1145/3489525.3511681}, timestamp = {Wed, 30 Mar 2022 16:00:26 +0200}, biburl = {https://dblp.org/rec/conf/wosp/FengYWRFX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-09637, author = {Yuanfeng Ji and Lu Zhang and Jiaxiang Wu and Bingzhe Wu and Long{-}Kai Huang and Tingyang Xu and Yu Rong and Lanqing Li and Jie Ren and Ding Xue and Houtim Lai and Shaoyong Xu and Jing Feng and Wei Liu and Ping Luo and Shuigeng Zhou and Junzhou Huang and Peilin Zhao and Yatao Bian}, title = {DrugOOD: Out-of-Distribution {(OOD)} Dataset Curator and Benchmark for AI-aided Drug Discovery - {A} Focus on Affinity Prediction Problems with Noise Annotations}, journal = {CoRR}, volume = {abs/2201.09637}, year = {2022}, url = {https://arxiv.org/abs/2201.09637}, eprinttype = {arXiv}, eprint = {2201.09637}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-09637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-03696, author = {Tino Laidin}, title = {Hybrid Kinetic/Fluid numerical method for the Vlasov-BGK equation in the diffusive scaling}, journal = {CoRR}, volume = {abs/2202.03696}, year = {2022}, url = {https://arxiv.org/abs/2202.03696}, eprinttype = {arXiv}, eprint = {2202.03696}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-03696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-13890, author = {Laixi Shi and Gen Li and Yuting Wei and Yuxin Chen and Yuejie Chi}, title = {Pessimistic Q-Learning for Offline Reinforcement Learning: Towards Optimal Sample Complexity}, journal = {CoRR}, volume = {abs/2202.13890}, year = {2022}, url = {https://arxiv.org/abs/2202.13890}, eprinttype = {arXiv}, eprint = {2202.13890}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-13890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-00975, author = {Tin Lai and Weiming Zhi and Tucker Hermans and Fabio Ramos}, title = {{L4KDE:} Learning for KinoDynamic Tree Expansion}, journal = {CoRR}, volume = {abs/2203.00975}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.00975}, doi = {10.48550/ARXIV.2203.00975}, eprinttype = {arXiv}, eprint = {2203.00975}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-00975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-03422, author = {Xiaoting Xu and Tin Lai and Sayka Jahan and Farnaz Farid}, title = {Water and Sediment Analyse Using Predictive Models}, journal = {CoRR}, volume = {abs/2203.03422}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.03422}, doi = {10.48550/ARXIV.2203.03422}, eprinttype = {arXiv}, eprint = {2203.03422}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-03422.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04263, author = {Ling Luo and Po{-}Ting Lai and Chih{-}Hsuan Wei and Cecilia N. Arighi and Zhiyong Lu}, title = {BioRED: {A} Comprehensive Biomedical Relation Extraction Dataset}, journal = {CoRR}, volume = {abs/2204.04263}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04263}, doi = {10.48550/ARXIV.2204.04263}, eprinttype = {arXiv}, eprint = {2204.04263}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04263.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05275, author = {Gen Li and Laixi Shi and Yuxin Chen and Yuejie Chi and Yuting Wei}, title = {Settling the Sample Complexity of Model-Based Offline Reinforcement Learning}, journal = {CoRR}, volume = {abs/2204.05275}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05275}, doi = {10.48550/ARXIV.2204.05275}, eprinttype = {arXiv}, eprint = {2204.05275}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-11953, author = {Lai Wei and Qinyang Li and Yuqi Song and Stanislav Stefanov and Edirisuriya M. Dilanga Siriwardane and Fanglin Chen and Jianjun Hu}, title = {Crystal Transformer: Self-learning neural language model for Generative and Tinkering Design of Materials}, journal = {CoRR}, volume = {abs/2204.11953}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.11953}, doi = {10.48550/ARXIV.2204.11953}, eprinttype = {arXiv}, eprint = {2204.11953}, timestamp = {Sat, 09 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-11953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-03853, author = {Ling Luo and Chih{-}Hsuan Wei and Po{-}Ting Lai and Qingyu Chen and Rezarta Islamaj Dogan and Zhiyong Lu}, title = {Assigning Species Information to Corresponding Genes by a Sequence Labeling Framework}, journal = {CoRR}, volume = {abs/2205.03853}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.03853}, doi = {10.48550/ARXIV.2205.03853}, eprinttype = {arXiv}, eprint = {2205.03853}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-03853.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04663, author = {Kunran Xu and Huawei Zhang and Yishi Li and Yuhao Zhang and Rui Lai and Yi Liu}, title = {An Ultra-low Power TinyML System for Real-time Visual Processing at Edge}, journal = {CoRR}, volume = {abs/2207.04663}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04663}, doi = {10.48550/ARXIV.2207.04663}, eprinttype = {arXiv}, eprint = {2207.04663}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-08130, author = {Tin Lai}, title = {Discover Life Skills for Planning with Bandits via Observing and Learning How the World Works}, journal = {CoRR}, volume = {abs/2207.08130}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.08130}, doi = {10.48550/ARXIV.2207.08130}, eprinttype = {arXiv}, eprint = {2207.08130}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-08130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05222, author = {Tin Lai}, title = {A Review on Visual-SLAM: Advancements from Geometric Modelling to Learning-based Semantic Scene Understanding}, journal = {CoRR}, volume = {abs/2209.05222}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05222}, doi = {10.48550/ARXIV.2209.05222}, eprinttype = {arXiv}, eprint = {2209.05222}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-07313, author = {Ting{-}Yu Liao and Ching{-}Hui Yang and Yu{-}Wen Lo and Kuan{-}Ying Lai and Po{-}Huai Shen and Youn{-}Long Lin}, title = {HarDNet-DFUS: An Enhanced Harmonically-Connected Network for Diabetic Foot Ulcer Image Segmentation and Colonoscopy Polyp Segmentation}, journal = {CoRR}, volume = {abs/2209.07313}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.07313}, doi = {10.48550/ARXIV.2209.07313}, eprinttype = {arXiv}, eprint = {2209.07313}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-07313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-16944, author = {Ling Luo and Chih{-}Hsuan Wei and Po{-}Ting Lai and Robert Leaman and Qingyu Chen and Zhiyong Lu}, title = {{AIONER:} All-in-one scheme-based biomedical named entity recognition using deep learning}, journal = {CoRR}, volume = {abs/2211.16944}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.16944}, doi = {10.48550/ARXIV.2211.16944}, eprinttype = {arXiv}, eprint = {2211.16944}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-16944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-03868, author = {Muhammad Tanveer and M. A. Ganaie and Iman Beheshti and Tripti Goel and Nehal Ahmad and Kuan{-}Ting Lai and Kaizhu Huang and Yu{-}Dong Zhang and Javier Del Ser and Chin{-}Teng Lin}, title = {Deep Learning for Brain Age Estimation: {A} Systematic Review}, journal = {CoRR}, volume = {abs/2212.03868}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.03868}, doi = {10.48550/ARXIV.2212.03868}, eprinttype = {arXiv}, eprint = {2212.03868}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-03868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MaoG0H22, author = {Shuping Mao and Tingting Guo and Peng Wang and Lei Hu}, title = {Quantum Attacks on Lai-Massey Structure}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {986}, year = {2022}, url = {https://eprint.iacr.org/2022/986}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MaoG0H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChouLJK21, author = {Yao{-}Hsin Chou and Yun{-}Ting Lai and Yu{-}Chi Jiang and Shu{-}Yu Kuo}, title = {Using Trend Ratio and {GNQTS} to Assess Portfolio Performance in the {U.S.} Stock Market}, journal = {{IEEE} Access}, volume = {9}, pages = {88348--88363}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089563}, doi = {10.1109/ACCESS.2021.3089563}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChouLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongLS21, author = {Wheyming Tina Song and Ing{-}Chou Lai and Yi{-}Zhu Su}, title = {A Statistical Robust Glaucoma Detection Framework Combining Retinex, CNN, and {DOE} Using Fundus Images}, journal = {{IEEE} Access}, volume = {9}, pages = {103772--103783}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098032}, doi = {10.1109/ACCESS.2021.3098032}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/QingCLBDYH21, author = {Song Qing and Tingwei Cui and Quan Lai and Yuhai Bao and Ruixiang Diao and Yalei Yue and Yanling Hao}, title = {Improving remote sensing retrieval of water clarity in complex coastal and inland waters with modified absorption estimation and optical water classification using Sentinel-2 {MSI}}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {102}, pages = {102377}, year = {2021}, url = {https://doi.org/10.1016/j.jag.2021.102377}, doi = {10.1016/J.JAG.2021.102377}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/QingCLBDYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/LaiCS21, author = {Wan{-}Ni Lai and Yi{-}Ting Chen and Edward W. Sun}, title = {Comonotonicity and low volatility effect}, journal = {Ann. Oper. Res.}, volume = {299}, number = {1}, pages = {1057--1099}, year = {2021}, url = {https://doi.org/10.1007/s10479-019-03320-0}, doi = {10.1007/S10479-019-03320-0}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/LaiCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ascom/ChiuHWL21, author = {Yi{-}Lung Chiu and Chi{-}Ting Ho and Daw{-}Wei Wang and Shih{-}Ping Lai}, title = {Searching for young stellar objects through SEDs by machine learning}, journal = {Astron. Comput.}, volume = {36}, pages = {100470}, year = {2021}, url = {https://doi.org/10.1016/j.ascom.2021.100470}, doi = {10.1016/J.ASCOM.2021.100470}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ascom/ChiuHWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/PhanCLYLLHTC21, author = {Nam Nhut Phan and Amrita Chattopadhyay and Tsui{-}Ting Lee and Hsiang{-}I Yin and Tzu{-}Pin Lu and Liang{-}Chuan Lai and Hsiao{-}Lin Hwa and Mong{-}Hsun Tsai and Eric Y. Chuang}, title = {High-performance deep learning pipeline predicts individuals in mixtures of {DNA} using sequencing data}, journal = {Briefings Bioinform.}, volume = {22}, number = {6}, year = {2021}, url = {https://doi.org/10.1093/bib/bbab283}, doi = {10.1093/BIB/BBAB283}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/PhanCLYLLHTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ShenWZLYPCLWCH21, author = {Chao Shen and Gaoqi Weng and Xujun Zhang and Elaine Lai{-}Han Leung and Xiaojun Yao and Jinping Pang and Xin Chai and Dan Li and Ercheng Wang and Dong{-}Sheng Cao and Tingjun Hou}, title = {Accuracy or novelty: what can we gain from target-specific machine-learning-based scoring functions in virtual screening?}, journal = {Briefings Bioinform.}, volume = {22}, number = {5}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa410}, doi = {10.1093/BIB/BBAA410}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/ShenWZLYPCLWCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WuZKLLSJWCH21, author = {Zhenxing Wu and Minfeng Zhu and Yu Kang and Elaine Lai{-}Han Leung and Tailong Lei and Chao Shen and Dejun Jiang and Zhe Wang and Dong{-}Sheng Cao and Tingjun Hou}, title = {Do we need different machine learning algorithms for {QSAR} modeling? {A} comprehensive assessment of 16 machine learning algorithms on 14 {QSAR} data sets}, journal = {Briefings Bioinform.}, volume = {22}, number = {4}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa321}, doi = {10.1093/BIB/BBAA321}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WuZKLLSJWCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LaiL21, author = {Po{-}Ting Lai and Zhiyong Lu}, title = {{BERT-GT:} cross-sentence n-ary relation extraction with {BERT} and Graph Transformer}, journal = {Bioinform.}, volume = {36}, number = {24}, pages = {5678--5685}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa1087}, doi = {10.1093/BIOINFORMATICS/BTAA1087}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LaiL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LuoYLVOXGSRL21, author = {Ling Luo and Shankai Yan and Po{-}Ting Lai and Daniel Veltri and Andrew J. Oler and Sandhya Xirasagar and Rajarshi Ghosh and Morgan Similuk and Peter N. Robinson and Zhiyong Lu}, title = {PhenoTagger: a hybrid method for phenotype concept recognition using human phenotype ontology}, journal = {Bioinform.}, volume = {37}, number = {13}, pages = {1884--1890}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab019}, doi = {10.1093/BIOINFORMATICS/BTAB019}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LuoYLVOXGSRL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/WuSLZLSYLW21, author = {Miao Wu and Xiaoxia Shen and Can Lai and Weihao Zheng and Yingqun Li and Zhongli Shangguan and Chuanbo Yan and Tingting Liu and Dan Wu}, title = {Detecting neonatal acute bilirubin encephalopathy based on T1-weighted {MRI} images and learning-based approaches}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {103}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00634-z}, doi = {10.1186/S12880-021-00634-Z}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/WuSLZLSYLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/LaiZW21, author = {Tingyu Lai and Zhongzhan Zhang and Yafei Wang}, title = {A kernel-based measure for conditional mean dependence}, journal = {Comput. Stat. Data Anal.}, volume = {160}, pages = {107246}, year = {2021}, url = {https://doi.org/10.1016/j.csda.2021.107246}, doi = {10.1016/J.CSDA.2021.107246}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/LaiZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangCCLH21, author = {Cheng Wang and Delei Chen and Jianwei Chen and Xiongming Lai and Ting He}, title = {Deep regression adaptation networks with model-based transfer learning for dynamic load identification in the frequency domain}, journal = {Eng. Appl. Artif. Intell.}, volume = {102}, pages = {104244}, year = {2021}, url = {https://doi.org/10.1016/j.engappai.2021.104244}, doi = {10.1016/J.ENGAPPAI.2021.104244}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/WangCCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LvZZLSZX21, author = {Laishui Lv and Kun Zhang and Ting Zhang and Xun Li and Qi Sun and Lilinqing Zhang and Wei Xue}, title = {Eigenvector-based centralities for multilayer temporal networks under the framework of tensor computation}, journal = {Expert Syst. Appl.}, volume = {184}, pages = {115471}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115471}, doi = {10.1016/J.ESWA.2021.115471}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LvZZLSZX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/PanLLS21, author = {Jian{-}Yu Pan and Kuei{-}Chiang Lai and Yi{-}Ting Li and Szu{-}Lin Su}, title = {Frequency-Domain Iterative Block {DFE} Using Erasure Zones and Improved Parameter Estimation}, journal = {{IEICE} Trans. Commun.}, volume = {104-B}, number = {9}, pages = {1159--1171}, year = {2021}, url = {https://doi.org/10.1587/transcom.2020ebp3111}, doi = {10.1587/TRANSCOM.2020EBP3111}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/PanLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LaiHCK21, author = {Yuan{-}Cheng Lai and Zelalem Legese Hailemariam and Yen{-}Hung Chen and Yi{-}Ting Kuo}, title = {Buffering and prioritization in switches for fast processing table-miss packets in software-defined networks}, journal = {Int. J. Commun. Syst.}, volume = {34}, number = {8}, year = {2021}, url = {https://doi.org/10.1002/dac.4770}, doi = {10.1002/DAC.4770}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LaiHCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LvZBLZX21, author = {Laishui Lv and Kun Zhang and Dalal Bardou and Xun Li and Ting Zhang and Wei Xue}, title = {{HITS} centrality based on inter-layer similarity for multilayer temporal networks}, journal = {Neurocomputing}, volume = {423}, pages = {220--235}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.10.040}, doi = {10.1016/J.NEUCOM.2020.10.040}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LvZBLZX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/XuYWLQZZ21, author = {Yanping Xu and Tingcong Ye and Xin Wang and Yuping Lai and Jian Qiu and Lingjun Zhang and Xia Zhang}, title = {{GMM} with parameters initialization based on {SVD} for network threat detection}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {1}, pages = {477--490}, year = {2021}, url = {https://doi.org/10.3233/JIFS-200066}, doi = {10.3233/JIFS-200066}, timestamp = {Mon, 08 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/XuYWLQZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/Lai21, author = {Tin Lai}, title = {sbp-env: {A} Python Package for Sampling-based Motion Planner and Samplers}, journal = {J. Open Source Softw.}, volume = {6}, number = {66}, pages = {3782}, year = {2021}, url = {https://doi.org/10.21105/joss.03782}, doi = {10.21105/JOSS.03782}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/Lai21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PengCLH21, author = {Pen{-}Jui Peng and Yan{-}Ting Chen and Sheng{-}Tsung Lai and Hsiang{-}En Huang}, title = {A 112-Gb/s {PAM-4} Voltage-Mode Transmitter With Four-Tap Two-Step {FFE} and Automatic Phase Alignment Techniques in 40-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {7}, pages = {2123--2131}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3038818}, doi = {10.1109/JSSC.2020.3038818}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PengCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ma/LaiZWK21, author = {Tingyu Lai and Zhongzhan Zhang and Yafei Wang and Linglong Kong}, title = {Testing independence of functional variables by angle covariance}, journal = {J. Multivar. Anal.}, volume = {182}, pages = {104711}, year = {2021}, url = {https://doi.org/10.1016/j.jmva.2020.104711}, doi = {10.1016/J.JMVA.2020.104711}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ma/LaiZWK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/HuangWHDL21, author = {Hongcheng Huang and Tingting Wang and Min Hu and Mengyuan Dong and Licheng Lai}, title = {Node Attitude Aware Information Dissemination Model Based on Evolutionary Game in Social Networks}, journal = {Mob. Networks Appl.}, volume = {26}, number = {1}, pages = {114--129}, year = {2021}, url = {https://doi.org/10.1007/s11036-020-01685-2}, doi = {10.1007/S11036-020-01685-2}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/HuangWHDL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/0002LLLZ0021, author = {Hao Luo and Yan Lin and Tao Liu and Fei{-}Liao Lai and Chun{-}Ting Zhang and Feng Gao and Ren Zhang}, title = {{DEG} 15, an update of the Database of Essential Genes that includes built-in analysis tools}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D677--D686}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa917}, doi = {10.1093/NAR/GKAA917}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/0002LLLZ0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/program/ChangLCCL21, author = {Yi{-}Chun Chang and Kuan{-}Ting Lai and Seng{-}cho Timothy Chou and Wei{-}Chuan Chiang and Yuan{-}Chen Lin}, title = {Who is the boss? Identifying key roles in telecom fraud network via centrality-guided deep random walk}, journal = {Data Technol. Appl.}, volume = {55}, number = {1}, pages = {1--18}, year = {2021}, url = {https://doi.org/10.1108/DTA-05-2020-0103}, doi = {10.1108/DTA-05-2020-0103}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/program/ChangLCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GengYCLTFTWTYZH21, author = {Jun Geng and Gang Yuan and Jing M. Chen and Chunguang Lyu and Lili Tu and Weiliang Fan and Qingjiu Tian and Zhaofu Wu and Tingye Tao and Min Yu and Yongchao Zhu and Jianwei Huang and Kaijian Xu and Jinchao Li and Shaoteng Wang}, title = {Error Analysis of {LAI} Measurements with {LAI-2000} Due to Discrete View Angular Range Angles for Continuous Canopies}, journal = {Remote. Sens.}, volume = {13}, number = {7}, pages = {1405}, year = {2021}, url = {https://doi.org/10.3390/rs13071405}, doi = {10.3390/RS13071405}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GengYCLTFTWTYZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhuSZYTLZL21, author = {Kangying Zhu and Zhigang Sun and Fenghua Zhao and Ting Yang and Zhenrong Tian and Jianbin Lai and Wanxue Zhu and Buju Long}, title = {Relating Hyperspectral Vegetation Indices with Soil Salinity at Different Depths for the Diagnosis of Winter Wheat Salt Stress}, journal = {Remote. Sens.}, volume = {13}, number = {2}, pages = {250}, year = {2021}, url = {https://doi.org/10.3390/rs13020250}, doi = {10.3390/RS13020250}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhuSZYTLZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenCL21, author = {Ting{-}Zhao Chen and Yan{-}Yan Chen and Jian{-}Hui Lai}, title = {Estimating Bus Cross-Sectional Flow Based on Machine Learning Algorithm Combined with Wi-Fi Probe Technology}, journal = {Sensors}, volume = {21}, number = {3}, pages = {844}, year = {2021}, url = {https://doi.org/10.3390/s21030844}, doi = {10.3390/S21030844}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLJT21, author = {Yen{-}Hung Chen and Yuan{-}Cheng Lai and Pi{-}Tzong Jan and Ting{-}Yi Tsai}, title = {A Spatiotemporal-Oriented Deep Ensemble Learning Model to Defend Link Flooding Attacks in IoT Network}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1027}, year = {2021}, url = {https://doi.org/10.3390/s21041027}, doi = {10.3390/S21041027}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLJT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CheungTMCLZ21, author = {Chung{-}Wai James Cheung and Eric Wing{-}Cheong Tam and Alex Hing{-}Yin Mak and Tim Tin{-}Chun Chan and Will Po{-}Yan Lai and Yong{-}Ping Zheng}, title = {Night-Time Monitoring System (eNightLog) for Elderly Wandering Behavior}, journal = {Sensors}, volume = {21}, number = {3}, pages = {704}, year = {2021}, url = {https://doi.org/10.3390/s21030704}, doi = {10.3390/S21030704}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CheungTMCLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LaiLLHZ21, author = {Kelly Ka{-}Lee Lai and Timothy Tin{-}Yan Lee and Michael Ka{-}Shing Lee and Joseph Chi{-}Ho Hui and Yong{-}Ping Zheng}, title = {Validation of Scolioscan Air-Portable Radiation-Free Three-Dimensional Ultrasound Imaging Assessment System for Scoliosis}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2858}, year = {2021}, url = {https://doi.org/10.3390/s21082858}, doi = {10.3390/S21082858}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LaiLLHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangTCCHLLLL21, author = {Si{-}Han Wang and Tse{-}Hua Tung and Sheng{-}Po Chiu and Hsin{-}Yi Chou and Yu{-}Han Hung and Yi{-}Ting Lai and Yu{-}Wei Lee and Shiao{-}Pieng Lee and Chun{-}Min Lo}, title = {Detecting Effects of Low Levels of {FCCP} on Stem Cell Micromotion and Wound-Healing Migration by Time-Series Capacitance Measurement}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3017}, year = {2021}, url = {https://doi.org/10.3390/s21093017}, doi = {10.3390/S21093017}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangTCCHLLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ChenSLCLBPWNM21, author = {Yepei Chen and Kaimin Sun and Wenzhuo Li and Chi Chen and Pengfei Li and Ting Bai and Taejin Park and Weile Wang and Ramakrishna R. Nemani and Ranga B. Myneni}, title = {Prototyping of {LAI} and {FPAR} Retrievals From {GOES-16} Advanced Baseline Imager Data Using Global Optimizing Algorithm}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {6937--6950}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3094647}, doi = {10.1109/JSTARS.2021.3094647}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ChenSLCLBPWNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/PangLLG21, author = {Siyu Pang and Tingting Lin and Xuejia Lai and Zheng Gong}, title = {A White-Box Implementation of {IDEA}}, journal = {Symmetry}, volume = {13}, number = {6}, pages = {1066}, year = {2021}, url = {https://doi.org/10.3390/sym13061066}, doi = {10.3390/SYM13061066}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/PangLLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/SongTBLZFL21, author = {Ting Song and Wenlei Tang and Chuer Bao and Qiuxue Lai and Zhiyuan Zhang and Xuan Feng and Chong Liu}, title = {An fcu Th-MOF Constructed from In Situ Coupling of Monovalent Ligands}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1332}, year = {2021}, url = {https://doi.org/10.3390/sym13081332}, doi = {10.3390/SYM13081332}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/SongTBLZFL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/YangZLWLZ21, author = {Xinyu Yang and Yuan Zhang and Benny Lo and Dongrui Wu and Hongen Liao and Yuan{-}Ting Zhang}, title = {{DBAN:} Adversarial Network With Multi-Scale Features for Cardiac {MRI} Segmentation}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {6}, pages = {2018--2028}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3028463}, doi = {10.1109/JBHI.2020.3028463}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/YangZLWLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/HidayatiGCHSWHT21, author = {Shintami Chusnul Hidayati and Ting Wei Goh and Ji{-}Sheng Gary Chan and Cheng{-}Chun Hsu and John See and Lai{-}Kuan Wong and Kai{-}Lung Hua and Yu Tsao and Wen{-}Huang Cheng}, title = {Dress With Style: Learning Style From Joint Deep Embedding of Clothing Styles and Body Shapes}, journal = {{IEEE} Trans. Multim.}, volume = {23}, pages = {365--377}, year = {2021}, url = {https://doi.org/10.1109/TMM.2020.2980195}, doi = {10.1109/TMM.2020.2980195}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/HidayatiGCHSWHT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/LailiTWZL21, author = {Yuanjun Laili and Fei Tao and Fei Wang and Lin Zhang and Tingyu Lin}, title = {An Iterative Budget Algorithm for Dynamic Virtual Machine Consolidation Under Cloud Computing Environment}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {14}, number = {1}, pages = {30--43}, year = {2021}, url = {https://doi.org/10.1109/TSC.2018.2793209}, doi = {10.1109/TSC.2018.2793209}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/LailiTWZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HouLWCL21, author = {Yutai Hou and Yongkui Lai and Yushan Wu and Wanxiang Che and Ting Liu}, title = {Few-shot Learning for Multi-label Intent Detection}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {13036--13044}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i14.17541}, doi = {10.1609/AAAI.V35I14.17541}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HouLWCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HouLCCL21, author = {Yutai Hou and Yongkui Lai and Cheng Chen and Wanxiang Che and Ting Liu}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Learning to Bridge Metric Spaces: Few-shot Joint Learning of Intent Detection and Slot Filling}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {3190--3200}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.282}, doi = {10.18653/V1/2021.FINDINGS-ACL.282}, timestamp = {Fri, 27 Aug 2021 08:39:19 +0200}, biburl = {https://dblp.org/rec/conf/acl/HouLCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/WuYCWFL21, author = {Tsung{-}Hsuan Wu and Chun{-}Lung Yang and Li{-}Ling Chiu and Ting{-}Wei Wang and Gueter Josmy Faure and Shang{-}Hong Lai}, editor = {Christian Wallraven and Qingshan Liu and Hajime Nagahara}, title = {Confidence-Aware Anomaly Detection in Human Actions}, booktitle = {Pattern Recognition - 6th Asian Conference, {ACPR} 2021, Jeju Island, South Korea, November 9-12, 2021, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13188}, pages = {240--254}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-02375-0\_18}, doi = {10.1007/978-3-031-02375-0\_18}, timestamp = {Fri, 13 May 2022 16:17:44 +0200}, biburl = {https://dblp.org/rec/conf/acpr/WuYCWFL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/GuoWHLZY21, author = {Yan Guo and Laigang Wang and Jia He and Ting Liu and Yan Zhang and Xiuzhong Yang}, title = {Monitoring and estimation of late spring frost and its impact on winter wheat through multi-temporal {GF-1} remotely sensed imagery}, booktitle = {9th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2021, Shenzhen, China, July 26-29, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/Agro-Geoinformatics50104.2021.9530308}, doi = {10.1109/AGRO-GEOINFORMATICS50104.2021.9530308}, timestamp = {Mon, 13 Sep 2021 16:58:08 +0200}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/GuoWHLZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChanLDHL21, author = {Hung{-}Tse Chan and Ting{-}Yu Lin and Shih{-}Chun Deng and Chih{-}Hsien Hsia and Chin{-}Feng Lai}, title = {Smart Facial Skincare Products Using Computer Vision Technologies}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1674--1677}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689474}, timestamp = {Wed, 09 Feb 2022 09:03:08 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ChanLDHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/LaiZHR21, author = {Tin Lai and Weiming Zhi and Tucker Hermans and Fabio Ramos}, editor = {Aleksandra Faust and David Hsu and Gerhard Neumann}, title = {Parallelised Diffeomorphic Sampling-based Motion Planning}, booktitle = {Conference on Robot Learning, 8-11 November 2021, London, {UK}}, series = {Proceedings of Machine Learning Research}, volume = {164}, pages = {81--90}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v164/lai22a.html}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/corl/LaiZHR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinLWC21, author = {Jia{-}Ming Lin and Kuan{-}Ting Lai and Bin{-}Ray Wu and Ming{-}Syan Chen}, title = {Efficient Two-Stream Action Recognition on {FPGA}}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2021, virtual, June 19-25, 2021}, pages = {3076--3080}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021W/ECV/html/Lin\_Efficient\_Two-Stream\_Action\_Recognition\_on\_FPGA\_CVPRW\_2021\_paper.html}, doi = {10.1109/CVPRW53098.2021.00343}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LinLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangTSCTWTYL21, author = {Kai{-}En Yang and Chia{-}Yu Tsai and Hung{-}Hao Shen and Chen{-}Feng Chiang and Feng{-}Ming Tsai and Chung{-}An Wang and Yiju Ting and Chia{-}Shun Yeh and Chin{-}Tang Lai}, title = {Trust-Region Method with Deep Reinforcement Learning in Analog Design Space Exploration}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1225--1230}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586087}, doi = {10.1109/DAC18074.2021.9586087}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangTSCTWTYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LaiJZ21, author = {Tuan Manh Lai and Heng Ji and ChengXiang Zhai}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {{BERT} might be Overkill: {A} Tiny but Effective Biomedical Entity Linker based on Residual Convolutional Neural Networks}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 16-20 November, 2021}, pages = {1631--1639}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-emnlp.140}, doi = {10.18653/V1/2021.FINDINGS-EMNLP.140}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/LaiJZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbt/LiuCZLLJ21, author = {Wenping Liu and Ting Chen and Gangping Zhang and Shengsheng Lai and Guangjian Liu and Haoyu Jin}, title = {in Silico Analysis to Map Epitope to Paratope Residues of Anti-PD-L1 Antibody {BMS-936559}}, booktitle = {{ICBBT} 2021: 13th International Conference on Bioinformatics and Biomedical Technology, Xi'an, China, May 21 - 23, 2021}, pages = {229--233}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3473258.3473293}, doi = {10.1145/3473258.3473293}, timestamp = {Thu, 09 Nov 2023 09:56:09 +0100}, biburl = {https://dblp.org/rec/conf/icbbt/LiuCZLLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbet/LiuCZLLJ21, author = {Wenping Liu and Ting Chen and Gangping Zhang and Shengsheng Lai and Guangjian Liu and Haoyu Jin}, title = {Molecular Dynamics Simulation to Investigate the Blockade Mechanism of Anti-PD-1 Antibody Toripalimab}, booktitle = {{ICBET} 2021: 11th International Conference on Biomedical Engineering and Technology, Tokyo, Japan, March 17 - 20, 2021}, pages = {139--143}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460238.3460260}, doi = {10.1145/3460238.3460260}, timestamp = {Fri, 22 Dec 2023 09:20:50 +0100}, biburl = {https://dblp.org/rec/conf/icbet/LiuCZLLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiPL21, author = {Kuan{-}Ting Lai and Jirayu Petchhan and Ching{-}Hu Lu}, title = {High-efficient Semantic Segmentation for Internet-of-Things-enabled Smart Cameras}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603215}, doi = {10.1109/ICCE-TW52618.2021.9603215}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LiangLLC21, author = {Kai{-}Wen Liang and Hsin{-}Cheng Lee and Yen{-}Ting Lai and Pao{-}Chi Chang}, title = {Query by singing and humming system based on combined {DTW} and Linear Scaling}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603043}, doi = {10.1109/ICCE-TW52618.2021.9603043}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LiangLLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LinPLYCY21, author = {Jingyang Lin and Yingwei Pan and Rongfeng Lai and Xuehang Yang and Hongyang Chao and Ting Yao}, title = {Core-Text: Improving Scene Text Detection with Contrastive Relational Reasoning}, booktitle = {2021 {IEEE} International Conference on Multimedia and Expo, {ICME} 2021, Shenzhen, China, July 5-9, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICME51207.2021.9428457}, doi = {10.1109/ICME51207.2021.9428457}, timestamp = {Thu, 03 Feb 2022 12:45:49 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LinPLYCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc2/LiuCLZLJ21, author = {Wenping Liu and Ting Chen and Shengsheng Lai and Gangping Zhang and Guangjian Liu and Haoyu Jin}, title = {Identifying the Key Residues Regulating the Binding between Antibody Avelumab and {PD-L1} {VIA} Molecular Dynamics Simulation}, booktitle = {{ICMLC} 2021: 13th International Conference on Machine Learning and Computing, Shenzhen China, 26 February, 2021- 1 March, 2021}, pages = {557--561}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3457682.3457767}, doi = {10.1145/3457682.3457767}, timestamp = {Mon, 26 Jul 2021 14:32:48 +0200}, biburl = {https://dblp.org/rec/conf/icmlc2/LiuCLZLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YouCCCZALAT21, author = {Yangwei You and Samuel Cheong and Tai Pang Chen and Yuda Chen and Kun Zhang and Cihan Acar and Fon Lin Lai and Albertus Hendrawan Adiwahono and Keng Peng Tee}, title = {State Estimation for Hybrid Wheeled-Legged Robots Performing Mobile Manipulation Tasks}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {3019--3025}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9560948}, doi = {10.1109/ICRA48506.2021.9560948}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/YouCCCZALAT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ZhiLOR21, author = {Weiming Zhi and Tin Lai and Lionel Ott and Fabio Ramos}, title = {Anticipatory Navigation in Crowds by Probabilistic Prediction of Pedestrian Future Movements}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {8459--8464}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561022}, doi = {10.1109/ICRA48506.2021.9561022}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ZhiLOR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iicaiet/TingLLTT21, author = {Chee{-}Hong Ting and Yu{-}Beng Leau and Po{-}Hung Lai and Soo{-}Fun Tan and Asni Tahir}, title = {Eye-Tank: Monitoring and Predicting Water and pH Level in Smart Farming}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence in Engineering and Technology, {IICAIET} 2021, Kota Kinabalu, Malaysia, September 13-15, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IICAIET51634.2021.9573955}, doi = {10.1109/IICAIET51634.2021.9573955}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iicaiet/TingLLTT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/YappKLKLNJLXN21, author = {Austine Zong Han Yapp and Hong Soo Nicholas Koh and Yan Ting Lai and Jiawen Kang and Xuandi Li and Jer Shyuan Ng and Hongchao Jiang and Wei Yang Bryan Lim and Zehui Xiong and Dusit Niyato}, editor = {Zhi{-}Hua Zhou}, title = {Communication-efficient and Scalable Decentralized Federated Edge Learning}, booktitle = {Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, {IJCAI} 2021, Virtual Event / Montreal, Canada, 19-27 August 2021}, pages = {5032--5035}, publisher = {ijcai.org}, year = {2021}, url = {https://doi.org/10.24963/ijcai.2021/720}, doi = {10.24963/IJCAI.2021/720}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/YappKLKLNJLXN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YangCCLLLLSCLHT21, author = {Shu{-}Wen Yang and Po{-}Han Chi and Yung{-}Sung Chuang and Cheng{-}I Jeff Lai and Kushal Lakhotia and Yist Y. Lin and Andy T. Liu and Jiatong Shi and Xuankai Chang and Guan{-}Ting Lin and Tzu{-}Hsien Huang and Wei{-}Cheng Tseng and Ko{-}tik Lee and Da{-}Rong Liu and Zili Huang and Shuyan Dong and Shang{-}Wen Li and Shinji Watanabe and Abdelrahman Mohamed and Hung{-}yi Lee}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {{SUPERB:} Speech Processing Universal PERformance Benchmark}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {1194--1198}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1775}, doi = {10.21437/INTERSPEECH.2021-1775}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YangCCLLLLSCLHT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LaiR21, author = {Tin Lai and Fabio Ramos}, title = {PlannerFlows: Learning Motion Samplers with Normalising Flows}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {2542--2548}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636190}, doi = {10.1109/IROS51168.2021.9636190}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/LaiR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ZhiLOR21, author = {Weiming Zhi and Tin Lai and Lionel Ott and Fabio Ramos}, title = {Trajectory Generation in New Environments from Past Experiences}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {7911--7918}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636231}, doi = {10.1109/IROS51168.2021.9636231}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/ZhiLOR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiuLLWC21, author = {Wei{-}Hung Liu and Yen{-}Ting Lai and Kai{-}Wen Liang and Jia{-}Ching Wang and Pao{-}Chi Chang}, title = {Dual-Masking Wind Noise Reduction System Based on Recurrent Neural Network}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9650991}, doi = {10.1109/ISPACS51563.2021.9650991}, timestamp = {Wed, 12 Jan 2022 09:10:22 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/LiuLLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itw/YinNSLW21, author = {Hoover H. F. Yin and Ka Hei Ng and Yu Ting Shing and Russell W. F. Lai and Xishi Wang}, title = {Polynomial-Time Construction of Two-Channel Prefix-Free Codes with Given Codeword Lengths}, booktitle = {{IEEE} Information Theory Workshop, {ITW} 2021, Kanazawa, Japan, October 17-21, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITW48936.2021.9611502}, doi = {10.1109/ITW48936.2021.9611502}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itw/YinNSLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/Lai21, author = {Jiun{-}Ting Lai}, title = {Understand{\_}V.T.S.HAOS}, booktitle = {{SIGGRAPH} 2021: Special Interest Group on Computer Graphics and Interactive Techniques Conference, Art Gallery, Virtual Event, USA, August 9-13, 2021}, pages = {13:1--13:3}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3450507.3457440}, doi = {10.1145/3450507.3457440}, timestamp = {Mon, 26 Jul 2021 12:55:25 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/Lai21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YangWYWLLL21, author = {Hsin{-}Hung Yang and Tzu{-}Chia Wang and Yi{-}Ju Yang and Jo{-}Yun Wang and Ting{-}Yu Lin and Sena Lai and Hsin{-}Chin Liu}, title = {Improvement of Radio Frequency Fingerprint Portability for Wi-Fi Adaptor Identification}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9449035}, doi = {10.1109/VTC2021-SPRING51267.2021.9449035}, timestamp = {Fri, 18 Jun 2021 10:49:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/YangWYWLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZhaoPLWLZYXX21, author = {Yuzhi Zhao and Lai{-}Man Po and Tingyu Lin and Xuehui Wang and Kangcheng Liu and Yujia Zhang and Wing Yin Yu and Pengfei Xian and Jingjing Xiong}, title = {Legacy Photo Editing with Learned Noise Prior}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {2102--2111}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00215}, doi = {10.1109/WACV48630.2021.00215}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ZhaoPLWLZYXX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-04158, author = {Po{-}Ting Lai and Zhiyong Lu}, title = {{BERT-GT:} Cross-sentence n-ary relation extraction with {BERT} and Graph Transformer}, journal = {CoRR}, volume = {abs/2101.04158}, year = {2021}, url = {https://arxiv.org/abs/2101.04158}, eprinttype = {arXiv}, eprint = {2101.04158}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-04158.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03049, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Chao{-}Jung Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Lichin Chen and Yen{-}Chun Lai and Bi{-}Fang Hsu and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Yi{-}Lin Wu and Tzu{-}Ling Tzeng and Ching{-}Ting Tseng and Yi{-}Tsun Chen and Feipei Lai}, title = {Benchmarking of eight recurrent neural network variants for breath phase and adventitious sound detection on a self-developed open-access lung sound database-HF{\_}Lung{\_}V1}, journal = {CoRR}, volume = {abs/2102.03049}, year = {2021}, url = {https://arxiv.org/abs/2102.03049}, eprinttype = {arXiv}, eprint = {2102.03049}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-04487, author = {Tin Lai}, title = {Rapidly-exploring Random Forest: Adaptively Exploits Local Structure with Generalised Multi-Trees Motion Planning}, journal = {CoRR}, volume = {abs/2103.04487}, year = {2021}, url = {https://arxiv.org/abs/2103.04487}, eprinttype = {arXiv}, eprint = {2103.04487}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-04487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01051, author = {Shu{-}Wen Yang and Po{-}Han Chi and Yung{-}Sung Chuang and Cheng{-}I Jeff Lai and Kushal Lakhotia and Yist Y. Lin and Andy T. Liu and Jiatong Shi and Xuankai Chang and Guan{-}Ting Lin and Tzu{-}Hsien Huang and Wei{-}Cheng Tseng and Ko{-}tik Lee and Da{-}Rong Liu and Zili Huang and Shuyan Dong and Shang{-}Wen Li and Shinji Watanabe and Abdelrahman Mohamed and Hung{-}yi Lee}, title = {{SUPERB:} Speech processing Universal PERformance Benchmark}, journal = {CoRR}, volume = {abs/2105.01051}, year = {2021}, url = {https://arxiv.org/abs/2105.01051}, eprinttype = {arXiv}, eprint = {2105.01051}, timestamp = {Thu, 01 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-06903, author = {Weip{\'{e}}ng Hu{\'{a}}ng and Tin Lok James Ng and Nishma Laitonjam and Neil J. Hurley}, title = {Posterior Regularisation on Bayesian Hierarchical Mixture Clustering}, journal = {CoRR}, volume = {abs/2105.06903}, year = {2021}, url = {https://arxiv.org/abs/2105.06903}, eprinttype = {arXiv}, eprint = {2105.06903}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-06903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07343, author = {Yutai Hou and Yongkui Lai and Cheng Chen and Wanxiang Che and Ting Liu}, title = {Learning to Bridge Metric Spaces: Few-shot Joint Learning of Intent Detection and Slot Filling}, journal = {CoRR}, volume = {abs/2106.07343}, year = {2021}, url = {https://arxiv.org/abs/2106.07343}, eprinttype = {arXiv}, eprint = {2106.07343}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01650, author = {Weiming Zhi and Tin Lai and Lionel Ott and Edwin V. Bonilla and Fabio Ramos}, title = {Learning ODEs via Diffeomorphisms for Fast and Robust Integration}, journal = {CoRR}, volume = {abs/2107.01650}, year = {2021}, url = {https://arxiv.org/abs/2107.01650}, eprinttype = {arXiv}, eprint = {2107.01650}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-11775, author = {Tin Lai and Weiming Zhi and Tucker Hermans and Fabio Ramos}, title = {Parallelised Diffeomorphic Sampling-based Motion Planning}, journal = {CoRR}, volume = {abs/2108.11775}, year = {2021}, url = {https://arxiv.org/abs/2108.11775}, eprinttype = {arXiv}, eprint = {2108.11775}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-11775.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02237, author = {Tuan Manh Lai and Heng Ji and ChengXiang Zhai}, title = {{BERT} might be Overkill: {A} Tiny but Effective Biomedical Entity Linker based on Residual Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2109.02237}, year = {2021}, url = {https://arxiv.org/abs/2109.02237}, eprinttype = {arXiv}, eprint = {2109.02237}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-10209, author = {Tin Lai and Fabio Ramos}, title = {Rapid Replanning in Consecutive Pick-and-Place Tasks with Lazy Experience Graph}, journal = {CoRR}, volume = {abs/2109.10209}, year = {2021}, url = {https://arxiv.org/abs/2109.10209}, eprinttype = {arXiv}, eprint = {2109.10209}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-10209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-08402, author = {Tin Lai}, title = {sbp-env: Sampling-based Motion Planners' Testing Environment}, journal = {CoRR}, volume = {abs/2110.08402}, year = {2021}, url = {https://arxiv.org/abs/2110.08402}, eprinttype = {arXiv}, eprint = {2110.08402}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-08402.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-10559, author = {Xipei Wang and Haoyu Zhang and Yuanbo Zhang and Meng Wang and Jiarui Song and Tin Lai and Matloob Khushi}, title = {Learning Non-Stationary Time-Series with Dynamic Pattern Extractions}, journal = {CoRR}, volume = {abs/2111.10559}, year = {2021}, url = {https://arxiv.org/abs/2111.10559}, eprinttype = {arXiv}, eprint = {2111.10559}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-10559.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-05892, author = {Honglu Zhou and Asim Kadav and Aviv Shamsian and Shijie Geng and Farley Lai and Long Zhao and Ting Liu and Mubbasir Kapadia and Hans Peter Graf}, title = {{COMPOSER:} Compositional Learning of Group Activity in Videos}, journal = {CoRR}, volume = {abs/2112.05892}, year = {2021}, url = {https://arxiv.org/abs/2112.05892}, eprinttype = {arXiv}, eprint = {2112.05892}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-05892.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-07513, author = {Jingyang Lin and Yingwei Pan and Rongfeng Lai and Xuehang Yang and Hongyang Chao and Ting Yao}, title = {CORE-Text: Improving Scene Text Detection with Contrastive Relational Reasoning}, journal = {CoRR}, volume = {abs/2112.07513}, year = {2021}, url = {https://arxiv.org/abs/2112.07513}, eprinttype = {arXiv}, eprint = {2112.07513}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-07513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12496, author = {Chih{-}Ting Liu and Chien{-}Yi Wang and Shao{-}Yi Chien and Shang{-}Hong Lai}, title = {FedFR: Joint Optimization Federated Framework for Generic and Personalized Face Recognition}, journal = {CoRR}, volume = {abs/2112.12496}, year = {2021}, url = {https://arxiv.org/abs/2112.12496}, eprinttype = {arXiv}, eprint = {2112.12496}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12496.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLXWL20, author = {Tingting Chen and Xueping Liu and Bizhong Xia and Wei Wang and Yongzhi Lai}, title = {Unsupervised Anomaly Detection of Industrial Robots Using Sliding-Window Convolutional Variational Autoencoder}, journal = {{IEEE} Access}, volume = {8}, pages = {47072--47081}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2977892}, doi = {10.1109/ACCESS.2020.2977892}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLXWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLXWL20a, author = {Tingting Chen and Xueping Liu and Bizhong Xia and Wei Wang and Yongzhi Lai}, title = {Corrections to "Unsupervised Anomaly Detection of Industrial Robots Using Sliding-Window Convolutional Variational Autoencoder"}, journal = {{IEEE} Access}, volume = {8}, pages = {117062}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004098}, doi = {10.1109/ACCESS.2020.3004098}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLXWL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLLLWLHHC20, author = {Jung{-}Hua Wang and Shih{-}Kai Lee and Yi{-}Chung Lai and Cheng{-}Chun Lin and Ting{-}Yuan Wang and Ying{-}Ren Lin and Te{-}Hua Hsu and Chang{-}Wen Huang and Chung{-}Ping Chiang}, title = {Anomalous Behaviors Detection for Underwater Fish Using {AI} Techniques}, journal = {{IEEE} Access}, volume = {8}, pages = {224372--224382}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3043712}, doi = {10.1109/ACCESS.2020.3043712}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangLLLWLHHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangLC20, author = {Ching{-}Wen Yang and Ting{-}Tzung Lai and Ping{-}Shun Chen}, title = {A Survey of Critical Success Factors in the Implementation of Reverse Logistics in Taiwan's Optoelectronic Industry}, journal = {{IEEE} Access}, volume = {8}, pages = {193890--193897}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030939}, doi = {10.1109/ACCESS.2020.3030939}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/HuangLLYTH20, author = {Ming{-}Siang Huang and Po{-}Ting Lai and Pei{-}Yen Lin and Yu{-}Ting You and Richard Tzong{-}Han Tsai and Wen{-}Lian Hsu}, title = {Biomedical named entity recognition and linking datasets: survey and our recent development}, journal = {Briefings Bioinform.}, volume = {21}, number = {6}, pages = {2219--2238}, year = {2020}, url = {https://doi.org/10.1093/bib/bbaa054}, doi = {10.1093/BIB/BBAA054}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/HuangLLYTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LiuBZLDWLGLDMGL20, author = {Yu Liu and Paul W. Bible and Bin Zou and Qiaoxing Liang and Cong Dong and Xiaofeng Wen and Yan Li and Xiaofei Ge and Xifang Li and Xiuli Deng and Rong Ma and Shixin Guo and Juanran Liang and Tingting Chen and Wenliang Pan and Lixin Liu and Wei Chen and Xueqin Wang and Lai Wei}, title = {{CSMD:} a computational subtraction-based microbiome discovery pipeline for species-level characterization of clinical metagenomic samples}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1577--1583}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz790}, doi = {10.1093/BIOINFORMATICS/BTZ790}, timestamp = {Thu, 22 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LiuBZLDWLGLDMGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LaiCW20, author = {Wei Kuang Lai and Yi{-}Uan Chen and Tin{-}Yu Wu}, title = {Analysis and evaluation of random-based message propagation models on the social networks}, journal = {Comput. Networks}, volume = {170}, pages = {107047}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2019.107047}, doi = {10.1016/J.COMNET.2019.107047}, timestamp = {Sat, 20 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/LaiCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/WangLWL20, author = {Chien Ting Wang and Ying{-}Dar Lin and Chih{-}Chiang Wang and Yuan{-}Cheng Lai}, title = {Cost minimization in placing service chains for virtualized network functions}, journal = {Int. J. Commun. Syst.}, volume = {33}, number = {4}, year = {2020}, url = {https://doi.org/10.1002/dac.4222}, doi = {10.1002/DAC.4222}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/WangLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ShenLXKY20, author = {Ziyi Shen and Wei{-}Sheng Lai and Tingfa Xu and Jan Kautz and Ming{-}Hsuan Yang}, title = {Exploiting Semantics for Face Image Deblurring}, journal = {Int. J. Comput. Vis.}, volume = {128}, number = {7}, pages = {1829--1846}, year = {2020}, url = {https://doi.org/10.1007/s11263-019-01288-9}, doi = {10.1007/S11263-019-01288-9}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ShenLXKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/ChenYLWWHKCLPLY20, author = {Bo{-}Wei Chen and Shih{-}Hung Yang and Yu{-}Chun Lo and Ching{-}Fu Wang and Han{-}Lin Wang and Chen{-}Yang Hsu and Yun{-}Ting Kuo and Jung{-}Chen Chen and Sheng{-}Huang Lin and Han{-}Chi Pan and Sheng{-}Wei Lee and Xiao Yu and Boyi Qu and Chao{-}Hung Kuo and You{-}Yin Chen and Hsin{-}Yi Lai}, title = {Enhancement of Hippocampal Spatial Decoding Using a Dynamic Q-Learning Method With a Relative Reward Using Theta Phase Precession}, journal = {Int. J. Neural Syst.}, volume = {30}, number = {9}, pages = {2050048:1--2050048:21}, year = {2020}, url = {https://doi.org/10.1142/S0129065720500483}, doi = {10.1142/S0129065720500483}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijns/ChenYLWWHKCLPLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/LaiLY20, author = {Ting{-}Ling Lai and Fang Ting Lin and Hsiu{-}Ping Yueh}, title = {The effectiveness of team-based flipped learning on a vocational high school economics classroom}, journal = {Interact. Learn. Environ.}, volume = {28}, number = {1}, pages = {130--141}, year = {2020}, url = {https://doi.org/10.1080/10494820.2018.1528284}, doi = {10.1080/10494820.2018.1528284}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/LaiLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhiQQR20, author = {Huilai Zhi and Jianjun Qi and Ting Qian and Ruisi Ren}, title = {Conflict analysis under one-vote veto based on approximate three-way concept lattice}, journal = {Inf. Sci.}, volume = {516}, pages = {316--330}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.12.065}, doi = {10.1016/J.INS.2019.12.065}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ZhiQQR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LeeLCHLCLL20, author = {Meng{-}Tse Lee and Fong{-}Ci Lin and Szu{-}Ta Chen and Wan{-}Ting Hsu and Samuel Lin and Tzer{-}Shyong Chen and Feipei Lai and Chien{-}Chang Lee}, title = {Web-Based Dashboard for the Interactive Visualization and Analysis of National Risk-Standardized Mortality Rates of Sepsis in the {US}}, journal = {J. Medical Syst.}, volume = {44}, number = {2}, pages = {54}, year = {2020}, url = {https://doi.org/10.1007/s10916-019-1509-9}, doi = {10.1007/S10916-019-1509-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/LeeLCHLCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LaiMRF20, author = {Tin Lai and Philippe Morere and Fabio Ramos and Gilad Francis}, title = {Bayesian Local Sampling-Based Planning}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {2}, pages = {1954--1961}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.2969145}, doi = {10.1109/LRA.2020.2969145}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LaiMRF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuLTY20, author = {Hsiao{-}Kuan Wu and Hung{-}Jen Lai and Ting Teng and Chung{-}Huang Yu}, title = {Development of an Objective Portable Measurement Device for Spinal Joint Accessory Motion Testing}, journal = {Sensors}, volume = {20}, number = {1}, pages = {100}, year = {2020}, url = {https://doi.org/10.3390/s20010100}, doi = {10.3390/S20010100}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WuLTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuYLPLW20, author = {Ching{-}Chou Wu and Hao{-}Yu Yen and Lu{-}Ting Lai and Guey{-}Chuen Perng and Cheng{-}Rei Lee and Shuenn{-}Jue Wu}, title = {A Label-Free Impedimetric Genosensor for the Nucleic Acid Amplification-Free Detection of Extracted {RNA} of Dengue Virus}, journal = {Sensors}, volume = {20}, number = {13}, pages = {3728}, year = {2020}, url = {https://doi.org/10.3390/s20133728}, doi = {10.3390/S20133728}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WuYLPLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LiangHDGYWWLCK20, author = {Liang Liang and Ting Huang and Liping Di and Di Geng and Juan Yan and Shuguo Wang and Lijuan Wang and Li Li and Bingqian Chen and Jianrong Kang}, title = {Influence of Different Bandwidths on {LAI} Estimation Using Vegetation Indices}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {1494--1502}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2020.2984608}, doi = {10.1109/JSTARS.2020.2984608}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/LiangHDGYWWLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhangMZMLCZ20, author = {Gaobo Zhang and Zhen Mei and Yuan Zhang and Xuesheng Ma and Benny Lo and Dongyi Chen and Yuan{-}Ting Zhang}, title = {A Noninvasive Blood Glucose Monitoring System Based on Smartphone {PPG} Signal Processing and Machine Learning}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {11}, pages = {7209--7218}, year = {2020}, url = {https://doi.org/10.1109/TII.2020.2975222}, doi = {10.1109/TII.2020.2975222}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZhangMZMLCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WuYLCCH20, author = {Ying{-}Jhih Wu and Shuo{-}Ting Yu and Kuan{-}Chou Lai and Amit Chhabra and Hsi{-}Ya Chang and Kuo{-}Chan Huang}, title = {Two-level utilization-based processor allocation for scheduling moldable jobs}, journal = {J. Supercomput.}, volume = {76}, number = {12}, pages = {10212--10239}, year = {2020}, url = {https://doi.org/10.1007/s11227-020-03246-6}, doi = {10.1007/S11227-020-03246-6}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/WuYLCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/YangKWMLJ20, author = {Chao{-}Tung Yang and Endah Kristiani and Yuan{-}Ting Wang and Geyong Min and Ching{-}Han Lai and Wei{-}Je Jiang}, title = {On construction of a network log management system using {ELK} Stack with Ceph}, journal = {J. Supercomput.}, volume = {76}, number = {8}, pages = {6344--6360}, year = {2020}, url = {https://doi.org/10.1007/s11227-019-02853-2}, doi = {10.1007/S11227-019-02853-2}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/YangKWMLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HafidiKKLAQ20, author = {Yousra Hafidi and La{\"{\i}}d Kahloul and Mohamed Khalgui and Zhiwu Li and Khalid Abdulaziz Alnowibet and Ting Qu}, title = {On Methodology for the Verification of Reconfigurable Timed Net Condition/Event Systems}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {10}, pages = {3577--3591}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2018.2855209}, doi = {10.1109/TSMC.2018.2855209}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HafidiKKLAQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChienLLW20, author = {Hsu{-}Tung Chien and Ying{-}Dar Lin and Chia{-}Lin Lai and Chien{-}Ting Wang}, title = {End-to-End Slicing With Optimized Communication and Computing Resource Allocation in Multi-Tenant 5G Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {2}, pages = {2079--2091}, year = {2020}, url = {https://doi.org/10.1109/TVT.2019.2959193}, doi = {10.1109/TVT.2019.2959193}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChienLLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/TuZXZLJL20, author = {Wei Tu and Tingting Zhu and Jizhe Xia and Yulun Zhou and Yani Lai and Jincheng Jiang and Qingquan Li}, title = {Portraying the spatial dynamics of urban vibrancy using multisource urban big data}, journal = {Comput. Environ. Urban Syst.}, volume = {80}, pages = {101428}, year = {2020}, url = {https://doi.org/10.1016/j.compenvurbsys.2019.101428}, doi = {10.1016/J.COMPENVURBSYS.2019.101428}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/TuZXZLJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/BhattKLCL20, author = {Priyanka C. Bhatt and Vimal Kumar and Tzu{-}Chuen Lu and Rico Lee{-}Ting Cho and Kuei{-}Kuei Lai}, editor = {Pawel Sitek and Marcin Pietranik and Marek Kr{\'{o}}tkiewicz and Chutimet Srinilta}, title = {Rise and Rise of Blockchain: {A} Patent Statistics Approach to Identify the Underlying Technologies}, booktitle = {Intelligent Information and Database Systems - 12th Asian Conference, {ACIIDS} 2020, Phuket, Thailand, March 23-26, 2020, Companion Proceedings}, series = {Communications in Computer and Information Science}, volume = {1178}, pages = {456--466}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-3380-8\_40}, doi = {10.1007/978-981-15-3380-8\_40}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aciids/BhattKLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HouCLZLLL20, author = {Yutai Hou and Wanxiang Che and Yongkui Lai and Zhihan Zhou and Yijia Liu and Han Liu and Ting Liu}, editor = {Dan Jurafsky and Joyce Chai and Natalie Schluter and Joel R. Tetreault}, title = {Few-shot Slot Tagging with Collapsed Dependency Transfer and Label-enhanced Task-adaptive Projection Network}, booktitle = {Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics, {ACL} 2020, Online, July 5-10, 2020}, pages = {1381--1393}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.acl-main.128}, doi = {10.18653/V1/2020.ACL-MAIN.128}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/HouCLZLLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/SuLZGHC20, author = {Ching{-}Lung Su and Wen{-}Cheng Lai and Yu{-}Kai Zhang and Ting{-}Jia Guo and Yi{-}Jiun Hung and Hui{-}Chiao Chen}, title = {Artificial Intelligence Design on Embedded Board with Edge Computing for Vehicle Applications}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2020, Laguna Hills, CA, USA, December 9-13, 2020}, pages = {130--133}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AIKE48582.2020.00026}, doi = {10.1109/AIKE48582.2020.00026}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aike/SuLZGHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/CaiLWT20, author = {Yun{-}Zhan Cai and Chih{-}Hao Lai and Yu{-}Ting Wang and Meng{-}Hsun Tsai}, title = {Improving Scanner Data Collection in P4-based {SDN}}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {126--131}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9237047}, doi = {10.23919/APNOMS50412.2020.9237047}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/CaiLWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AradTBLFGLW0LLL20, author = {Boaz Arad and Radu Timofte and Ohad Ben{-}Shahar and Yi{-}Tun Lin and Graham D. Finlayson and Shai Givati and Jiaojiao Li and Chaoxiong Wu and Rui Song and Yunsong Li and Fei Liu and Zhiqiang Lang and Wei Wei and Lei Zhang and Jiangtao Nie and Yuzhi Zhao and Lai{-}Man Po and Qiong Yan and Wei Liu and Tingyu Lin and Youngjung Kim and Changyeop Shin and Kyeongha Rho and Sungho Kim and Zhiyu Zhu and Junhui Hou and He Sun and Jinchang Ren and Zhenyu Fang and Yijun Yan and Hao Peng and Xiaomei Chen and Jie Zhao and Tarek Stiebel and Simon Koppers and Dorit Merhof and Honey Gupta and Kaushik Mitra and Biebele Joslyn Fubara and Mohamed H. Sedky and Dave Dyke and Atmadeep Banerjee and Akash Palrecha and Sabarinathan sabarinathan and K. Uma and D. Synthiya Vinothini and B. Sathya Bama and S. M. Md Mansoor Roomi}, title = {{NTIRE} 2020 Challenge on Spectral Reconstruction from an {RGB} Image}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {1806--1822}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Arad\_NTIRE\_2020\_Challenge\_on\_Spectral\_Reconstruction\_From\_an\_RGB\_Image\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00231}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AradTBLFGLW0LLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhaoPYLL20, author = {Yuzhi Zhao and Lai{-}Man Po and Qiong Yan and Wei Liu and Tingyu Lin}, title = {Hierarchical Regression Network for Spectral Reconstruction from {RGB} Images}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {1695--1704}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhao\_Hierarchical\_Regression\_Network\_for\_Spectral\_Reconstruction\_From\_RGB\_Images\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00219}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhaoPYLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/MonT20, author = {Tin Lai Lai Mon and Thin Lai Lai Thein}, title = {Implementation of misbehaviour driving detection and alert system}, booktitle = {9th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2020, Kobe, Japan, October 13-16, 2020}, pages = {196--197}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GCCE50665.2020.9291745}, doi = {10.1109/GCCE50665.2020.9291745}, timestamp = {Wed, 13 Jan 2021 16:13:46 +0100}, biburl = {https://dblp.org/rec/conf/gcce/MonT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LaiKRLLZWL20, author = {Gabriel Chun{-}Hei Lai and Ron Chi{-}Wai Kwok and Tina Rochelle and Alvin Chung{-}Man Leung and Yanyan Li and Shanshan Zhang and George Yui{-}Lam Wong and Angel Lu}, title = {The Moderating Effect of Different Types of Internet Use on the Relationship between Transitional Aging Changes and Self-esteem of Older Adults}, booktitle = {53rd Hawaii International Conference on System Sciences, {HICSS} 2020, Maui, Hawaii, USA, January 7-10, 2020}, pages = {1--10}, publisher = {ScholarSpace}, year = {2020}, url = {https://hdl.handle.net/10125/64206}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/LaiKRLLZWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChangLCLCC20, author = {Hsi{-}Hao Chang and Xin{-}Hong Lai and Jun{-}Liang Chen and Ting{-}Lan Lin and Chiung{-}An Chen and Shih{-}Lun Chen}, title = {Color Filter Array Demosaicking Algorithm Based on Convolutional Neural Network}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258092}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258092}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChangLCLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LaiJHC20, author = {Wen{-}Cheng Lai and Sheng{-}Lyang Jang and Chih{-}Ting Hung and Li{-}Shin Chang}, title = {Divide-by-5 Injection-Locked Frequency Divider Using Assisted Low-modulus Injection Technique}, booktitle = {27th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2020, Glasgow, Scotland, UK, November 23-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICECS49266.2020.9294839}, doi = {10.1109/ICECS49266.2020.9294839}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/LaiJHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/QiaoLLLYW20, author = {Jinhao Qiao and Qirong Lai and Ying Li and Ting Lan and Chunyan Yu and Xiu Wang}, title = {A {GAN} Based Multi-Contrast Modalities Medical Image Registration Approach}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {3000--3004}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9191024}, doi = {10.1109/ICIP40778.2020.9191024}, timestamp = {Tue, 03 Nov 2020 11:48:53 +0100}, biburl = {https://dblp.org/rec/conf/icip/QiaoLLLYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LinLH20, author = {Ting{-}Syuan Lin and Chun{-}Chih Lai and Po{-}Chun Huang}, title = {Cross-layer Caching/Buffering Design for Search Trees based on Non-volatile Main Memories}, booktitle = {3rd {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2020, Kaohsiung, Taiwan, August 21-23, 2020}, pages = {100--103}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICKII50300.2020.9318837}, doi = {10.1109/ICKII50300.2020.9318837}, timestamp = {Tue, 02 Feb 2021 11:57:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/LinLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/FangVSL20, author = {Tzu{-}Ting Fang and Duc Minh Vo and Akihiro Sugimoto and Shang{-}Hong Lai}, title = {Stylized-Colorization for Line Arts}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {2033--2040}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412756}, doi = {10.1109/ICPR48806.2021.9412756}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/FangVSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihsi/Tin20, author = {Man Lai{-}man Tin}, editor = {Tareq Z. Ahram and Waldemar Karwowski and Alberto Vergnano and Francesco Leali and Redha Ta{\"{\i}}ar}, title = {Machine, Discourse and Power: From Machine Learning in Construction of 3D Face to Art and Creativity}, booktitle = {Intelligent Human Systems Integration 2020 - Proceedings of the 3rd International Conference on Intelligent Human Systems Integration {(IHSI} 2020): Integrating People and Intelligent Systems, February 19-21, 2020, Modena, Italy}, series = {Advances in Intelligent Systems and Computing}, volume = {1131}, pages = {517--523}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39512-4\_81}, doi = {10.1007/978-3-030-39512-4\_81}, timestamp = {Mon, 27 Jan 2020 10:54:21 +0100}, biburl = {https://dblp.org/rec/conf/ihsi/Tin20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCTHKWTHLLWKC20, author = {Chien{-}Hung Lin and Chih{-}Chung Cheng and Yi{-}Min Tsai and Sheng{-}Je Hung and Yu{-}Ting Kuo and Perry H. Wang and Pei{-}Kuei Tsung and Jeng{-}Yun Hsu and Wei{-}Chih Lai and Chia{-}Hung Liu and Shao{-}Yu Wang and Chin{-}Hua Kuo and Chih{-}Yu Chang and Ming{-}Hsien Lee and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {7.1 {A} 3.4-to-13.3TOPS/W 3.6TOPS Dual-Core Deep-Learning Accelerator for Versatile {AI} Applications in 7nm 5G Smartphone SoC}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {134--136}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063111}, doi = {10.1109/ISSCC19947.2020.9063111}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCTHKWTHLLWKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/LaiCLLCC20, author = {Po{-}Ting Lai and Yu{-}Hao Chiu and Chieh{-}Wen Lu and Kuang{-}Hsiang Liu and Tung{-}Liang Chiu and Wendy Chen}, title = {Development and Validation of a Novel Reliable Method for Wet Testing on Biochemical Chip}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei, Taiwan, September 23-25, 2020}, pages = {25--30}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC-Asia51099.2020.00016}, doi = {10.1109/ITC-ASIA51099.2020.00016}, timestamp = {Thu, 22 Oct 2020 12:38:36 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/LaiCLLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/TsaiLCW20, author = {Chia{-}Heng Tsai and Chi{-}Chang Lai and Hao Chen and Min{-}Jer Wang}, title = {Novel Circuit Probing for Tiny Inductor}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei, Taiwan, September 23-25, 2020}, pages = {31--34}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC-Asia51099.2020.00017}, doi = {10.1109/ITC-ASIA51099.2020.00017}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/TsaiLCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lifetech/ChenHLC20, author = {Yueh{-}Hong Chen and Hsiang{-}Cheh Huang and Chuan{-}Hung Lai and Ting{-}Yu Chang}, title = {An Image Watermarking Approach Based on Artificial Fish Swarm Algorithm}, booktitle = {2nd {IEEE} Global Conference on Life Sciences and Technologies, LifeTech 2020, Kyoto, Japan, March 10-12, 2020}, pages = {46--50}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/LifeTech48969.2020.1570619213}, doi = {10.1109/LIFETECH48969.2020.1570619213}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lifetech/ChenHLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZengHZYZWL20, author = {Ling{-}An Zeng and Fa{-}Ting Hong and Wei{-}Shi Zheng and Qi{-}Zhi Yu and Wei Zeng and Yao{-}Wei Wang and Jian{-}Huang Lai}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Hybrid Dynamic-static Context-aware Attention Network for Action Assessment in Long Videos}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {2526--2534}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3413560}, doi = {10.1145/3394171.3413560}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ZengHZYZWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/NandarSS20, author = {Tin Latt Nandar and Thinn Lai Soe and Khin Mar Soe}, title = {A Comparative Study of Named Entity Recognition on Myanmar Language}, booktitle = {23rd Conference of the Oriental {COCOSDA} International Committee for the Co-ordination and Standardisation of Speech Databases and Assessment Techniques, {O-COCOSDA} 2020, Yangon, Myanmar, November 5-7, 2020}, pages = {60--64}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/O-COCOSDA50338.2020.9295004}, doi = {10.1109/O-COCOSDA50338.2020.9295004}, timestamp = {Fri, 05 Feb 2021 12:01:40 +0100}, biburl = {https://dblp.org/rec/conf/ococosda/NandarSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuZLDXLFZLBLLZL30, author = {Ting Hu and Qize Zhong and Nanxi Li and Yuan Dong and Zhengji Xu and Dongdong Li and Yuan Hsing Fu and Yanyan Zhou and Keng Heng Lai and Vladimir Bliznetsov and Hou{-}Jang Lee and Wei Loong Loh and Shiyang Zhu and Qunying Lin and Navab Singh}, title = {A Metalens Array on a 12-Inch Glass Wafer for Optical Dot Projection}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083302}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HuZLDXLFZLBLLZL30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiFDHXZLZLBLLZL30, author = {Nanxi Li and Yuan Hsing Fu and Yuan Dong and Ting Hu and Zhengji Xu and Qize Zhong and Dongdong Li and Yanyan Zhou and Keng Heng Lai and Vladimir Bliznetsov and Hou{-}Jang Lee and Wei Loong Loh and Shiyang Zhu and Qunying Lin and Navab Singh}, title = {Metasurface Beam Deflector Array on a 12-Inch Glass Wafer}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083022}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiFDHXZLZLBLLZL30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhongDLLHXZLFBL30, author = {Qize Zhong and Yuan Dong and Dongdong Li and Nanxi Li and Ting Hu and Zhengji Xu and Yanyan Zhou and Keng Heng Lai and Yuan Hsing Fu and Vladimir Bliznetsov and Hou{-}Jang Lee and Wei Loong Loh and Shiyang Zhu and Qunying Lin and Navab Singh}, title = {Large-Area Metalens Directly Patterned on a 12-Inch Glass Wafer using Immersion Lithography for Mass Production}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083600}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhongDLLHXZLFBL30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LaiSLT20, author = {Ting{-}Wei Lai and Hsuan{-}Jung Su and Chu{-}Tung Liu and Yasuhiro Takano}, title = {Resource Allocation of Multi-Cell Full-Duplex Networks Based on Ant Colony Optimization}, booktitle = {31st {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2020, London, United Kingdom, August 31 - September 3, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PIMRC48278.2020.9217194}, doi = {10.1109/PIMRC48278.2020.9217194}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LaiSLT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/LaishramSEPS20, author = {Ricky Laishram and Ahmet Erdem Sariy{\"{u}}ce and Tina Eliassi{-}Rad and Ali Pinar and Sucheta Soundarajan}, editor = {Carlotta Demeniconi and Nitesh V. Chawla}, title = {Residual Core Maximization: An Efficient Algorithm for Maximizing the Size of the \emph{k}-Core}, booktitle = {Proceedings of the 2020 {SIAM} International Conference on Data Mining, {SDM} 2020, Cincinnati, Ohio, USA, May 7-9, 2020}, pages = {325--333}, publisher = {{SIAM}}, year = {2020}, url = {https://doi.org/10.1137/1.9781611976236.37}, doi = {10.1137/1.9781611976236.37}, timestamp = {Mon, 20 Jul 2020 11:23:45 +0200}, biburl = {https://dblp.org/rec/conf/sdm/LaishramSEPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChouLLSTCTCOCCC20, author = {Chung{-}Cheng Chou and Zheng{-}Jun Lin and Chien{-}An Lai and Chin{-}I Su and Pei{-}Ling Tseng and Wei{-}Chi Chen and Wu{-}Chin Tsai and Wen{-}Ting Chu and Tong{-}Chern Ong and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {A 22nm 96KX144 {RRAM} Macro with a Self-Tracking Reference and a Low Ripple Charge Pump to Achieve a Configurable Read Window and a Wide Operating Voltage Range}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163014}, doi = {10.1109/VLSICIRCUITS18222.2020.9163014}, timestamp = {Mon, 24 Aug 2020 16:22:01 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChouLLSTCTCOCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-06822, author = {Ziyi Shen and Wei{-}Sheng Lai and Tingfa Xu and Jan Kautz and Ming{-}Hsuan Yang}, title = {Deep Semantic Face Deblurring}, journal = {CoRR}, volume = {abs/2001.06822}, year = {2020}, url = {https://arxiv.org/abs/2001.06822}, eprinttype = {arXiv}, eprint = {2001.06822}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-06822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03412, author = {Boaz Arad and Radu Timofte and Ohad Ben{-}Shahar and Yi{-}Tun Lin and Graham D. Finlayson and Shai Givati and Jiaojiao Li and Chaoxiong Wu and Rui Song and Yunsong Li and Fei Liu and Zhiqiang Lang and Wei Wei and Lei Zhang and Jiangtao Nie and Yuzhi Zhao and Lai{-}Man Po and Qiong Yan and Wei Liu and Tingyu Lin and Youngjung Kim and Changyeop Shin and Kyeongha Rho and Sungho Kim and Zhiyu Zhu and Junhui Hou and He Sun and Jinchang Ren and Zhenyu Fang and Yijun Yan and Hao Peng and Xiaomei Chen and Jie Zhao and Tarek Stiebel and Simon Koppers and Dorit Merhof and Honey Gupta and Kaushik Mitra and Biebele Joslyn Fubara and Mohamed H. Sedky and Dave Dyke and Atmadeep Banerjee and Akash Palrecha and Sabarinathan sabarinathan and K. Uma and D. Synthiya Vinothini and B. Sathya Bama and S. M. Md Mansoor Roomi}, title = {{NTIRE} 2020 Challenge on Spectral Reconstruction from an {RGB} Image}, journal = {CoRR}, volume = {abs/2005.03412}, year = {2020}, url = {https://arxiv.org/abs/2005.03412}, eprinttype = {arXiv}, eprint = {2005.03412}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04703, author = {Yuzhi Zhao and Lai{-}Man Po and Qiong Yan and Wei Liu and Tingyu Lin}, title = {Hierarchical Regression Network for Spectral Reconstruction from {RGB} Images}, journal = {CoRR}, volume = {abs/2005.04703}, year = {2020}, url = {https://arxiv.org/abs/2005.04703}, eprinttype = {arXiv}, eprint = {2005.04703}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04703.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05702, author = {Yutai Hou and Wanxiang Che and Yongkui Lai and Zhihan Zhou and Yijia Liu and Han Liu and Ting Liu}, title = {Few-shot Slot Tagging with Collapsed Dependency Transfer and Label-enhanced Task-adaptive Projection Network}, journal = {CoRR}, volume = {abs/2006.05702}, year = {2020}, url = {https://arxiv.org/abs/2006.05702}, eprinttype = {arXiv}, eprint = {2006.05702}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05702.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-11653, author = {Sang Won Lee and Yueh{-}Ting Chiu and Philip Brudnicki and Audrey M. Bischoff and Angus Jelinek and Jenny Zijun Wang and Danielle R. Bogdanowicz and Andrew F. Laine and Jia Guo and Helen H. Lu}, title = {Darwin's Neural Network: AI-based Strategies for Rapid and Scalable Cell and Coronavirus Screening}, journal = {CoRR}, volume = {abs/2007.11653}, year = {2020}, url = {https://arxiv.org/abs/2007.11653}, eprinttype = {arXiv}, eprint = {2007.11653}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-11653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-05977, author = {Ling{-}An Zeng and Fa{-}Ting Hong and Wei{-}Shi Zheng and Qi{-}Zhi Yu and Wei Zeng and Yao{-}Wei Wang and Jian{-}Huang Lai}, title = {Hybrid Dynamic-static Context-aware Attention Network for Action Assessment in Long Videos}, journal = {CoRR}, volume = {abs/2008.05977}, year = {2020}, url = {https://arxiv.org/abs/2008.05977}, eprinttype = {arXiv}, eprint = {2008.05977}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-05977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-08138, author = {Yutai Hou and Jiafeng Mao and Yongkui Lai and Cheng Chen and Wanxiang Che and Zhigang Chen and Ting Liu}, title = {FewJoint: {A} Few-shot Learning Benchmark for Joint Language Understanding}, journal = {CoRR}, volume = {abs/2009.08138}, year = {2020}, url = {https://arxiv.org/abs/2009.08138}, eprinttype = {arXiv}, eprint = {2009.08138}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-08138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-08478, author = {Ling Luo and Shankai Yan and Po{-}Ting Lai and Daniel Veltri and Andrew J. Oler and Sandhya Xirasagar and Rajarshi Ghosh and Morgan Similuk and Peter N. Robinson and Zhiyong Lu}, title = {PhenoTagger: {A} Hybrid Method for Phenotype Concept Recognition using Human Phenotype Ontology}, journal = {CoRR}, volume = {abs/2009.08478}, year = {2020}, url = {https://arxiv.org/abs/2009.08478}, eprinttype = {arXiv}, eprint = {2009.08478}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-08478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-13772, author = {Kevin{-}CY Tsai and Kai{-}En Yang and Hung{-}Hao Shen and Mike Jiang and Fammy Tsai and Chung{-}An Wang and Yiju Ting and Jason Yeh and Citi Lai}, title = {Fast Design Space Adaptation with Deep Reinforcement Learning for Analog Circuit Sizing}, journal = {CoRR}, volume = {abs/2009.13772}, year = {2020}, url = {https://arxiv.org/abs/2009.13772}, eprinttype = {arXiv}, eprint = {2009.13772}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-13772.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-05256, author = {Yutai Hou and Yongkui Lai and Yushan Wu and Wanxiang Che and Ting Liu}, title = {Few-shot Learning for Multi-label Intent Detection}, journal = {CoRR}, volume = {abs/2010.05256}, year = {2020}, url = {https://arxiv.org/abs/2010.05256}, eprinttype = {arXiv}, eprint = {2010.05256}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-05256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11323, author = {Tin Lai and Fabio Ramos}, title = {Learning to Plan Optimally with Flow-based Motion Planner}, journal = {CoRR}, volume = {abs/2010.11323}, year = {2020}, url = {https://arxiv.org/abs/2010.11323}, eprinttype = {arXiv}, eprint = {2010.11323}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-13033, author = {Tin Lai and Philippe Morere}, title = {Robust Hierarchical Planning with Policy Delegation}, journal = {CoRR}, volume = {abs/2010.13033}, year = {2020}, url = {https://arxiv.org/abs/2010.13033}, eprinttype = {arXiv}, eprint = {2010.13033}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-13033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-06235, author = {Weiming Zhi and Tin Lai and Lionel Ott and Fabio Ramos}, title = {Anticipatory Navigation in Crowds by Probabilistic Prediction of Pedestrian Future Movements}, journal = {CoRR}, volume = {abs/2011.06235}, year = {2020}, url = {https://arxiv.org/abs/2011.06235}, eprinttype = {arXiv}, eprint = {2011.06235}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-06235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14309, author = {Li{-}Min Wang and Hsing{-}Yi Lai and Sun{-}Ting Tsai and Shan{-}Jyun Wu and Meng{-}Xue Tsai and Daw{-}Wei Wang and Yi{-}Ching Su and Chen Siang Ng and Tzay{-}Ming Hong}, title = {Mechanism of Evolution Shared by Gene and Language}, journal = {CoRR}, volume = {abs/2012.14309}, year = {2020}, url = {https://arxiv.org/abs/2012.14309}, eprinttype = {arXiv}, eprint = {2012.14309}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14309.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/FazeliRFLCHETJ20, author = {Elnaz Fazeli and Nathan H. Roy and Gautier Follain and Romain F. Laine and Lucas von Chamier and Pekka E. H{\"{a}}nninen and John E. Eriksson and Jean{-}Yves Tinevez and Guillaume Jacquemet}, title = {Automated cell tracking using StarDist and TrackMate}, journal = {F1000Research}, volume = {9}, pages = {1279}, year = {2020}, url = {https://doi.org/10.12688/f1000research.27019.1}, doi = {10.12688/F1000RESEARCH.27019.1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/FazeliRFLCHETJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GeraldesGLVDSNM19, author = {R{\'{u}}ben Geraldes and Artur Goncalves and Tin Lai and Mathias Villerabel and Wenlong Deng and Ana Salta and Kotaro Nakayama and Yutaka Matsuo and Helmut Prendinger}, title = {UAV-Based Situational Awareness System Using Deep Learning}, journal = {{IEEE} Access}, volume = {7}, pages = {122583--122594}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2938249}, doi = {10.1109/ACCESS.2019.2938249}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GeraldesGLVDSNM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LvZZLZX19, author = {Laishui Lv and Kun Zhang and Ting Zhang and Xun Li and Jiahui Zhang and Wei Xue}, title = {Eigenvector Centrality Measure Based on Node Similarity for Multilayer and Temporal Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {115725--115733}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936217}, doi = {10.1109/ACCESS.2019.2936217}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LvZZLZX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/WuYZLZ19, author = {Ting Wu and Ling Yang and Juan Zhou and Dong Cheng Lai and Nan Zhong}, title = {An improved nondestructive measurement method for salmon freshness based on spectral and image information fusion}, journal = {Comput. Electron. Agric.}, volume = {158}, pages = {11--19}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.01.039}, doi = {10.1016/J.COMPAG.2019.01.039}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/WuYZLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijar/ZhiQQW19, author = {Huilai Zhi and Jianjun Qi and Ting Qian and Ling Wei}, title = {Three-way dual concept analysis}, journal = {Int. J. Approx. Reason.}, volume = {114}, pages = {151--165}, year = {2019}, url = {https://doi.org/10.1016/j.ijar.2019.08.010}, doi = {10.1016/J.IJAR.2019.08.010}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijar/ZhiQQW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/WangQXPL19, author = {Ting Wang and Yonghua Qu and Ziqing Xia and Yiping Peng and Zhenhua Liu}, title = {Multi-Scale Validation of {MODIS} {LAI} Products Based on Crop Growth Period}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {8}, number = {12}, pages = {547}, year = {2019}, url = {https://doi.org/10.3390/ijgi8120547}, doi = {10.3390/IJGI8120547}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/WangQXPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LiuCOSLS19, author = {Kaifeng Liu and Foon{-}yee Chan and Calvin Kalun Or and David Tin{-}fung Sun and Wai{-}see Lai and Hing{-}Yu So}, title = {Heuristic evaluation and simulated use testing of infusion pumps to inform pump selection}, journal = {Int. J. Medical Informatics}, volume = {131}, year = {2019}, url = {https://doi.org/10.1016/j.ijmedinf.2019.07.011}, doi = {10.1016/J.IJMEDINF.2019.07.011}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LiuCOSLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/HwangCCWL19, author = {Gwo{-}Haur Hwang and Beyin Chen and Ru{-}Shan Chen and Ting{-}Ting Wu and Yu{-}Ling Lai}, title = {Differences between students' learning behaviors and performances of adopting a competitive game-based item bank practice approach for learning procedural and declarative knowledge}, journal = {Interact. Learn. Environ.}, volume = {27}, number = {5-6}, pages = {740--753}, year = {2019}, url = {https://doi.org/10.1080/10494820.2019.1610458}, doi = {10.1080/10494820.2019.1610458}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/HwangCCWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LaiCCCWT19, author = {Kuan{-}Ting Lai and Fu{-}Chiung Cheng and Seng{-}cho Timothy Chou and Yi{-}Chun Chang and Guo{-}Wei Wu and Jung{-}Cheng Tsai}, title = {AnyCharge: An IoT-Based Wireless Charging Service for the Public}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {6}, pages = {10888--10901}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2943030}, doi = {10.1109/JIOT.2019.2943030}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LaiCCCWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhangLLLNOZW19, author = {Hongxi Zhang and Can Lai and Ruibin Liu and Tingting Liu and Weiming Niu and Kenichi Oishi and Yi Zhang and Dan Wu}, title = {Age-specific optimization of T1-weighted brain {MRI} throughout infancy}, journal = {NeuroImage}, volume = {199}, pages = {387--395}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.05.075}, doi = {10.1016/J.NEUROIMAGE.2019.05.075}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhangLLLNOZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenSCBPWNM19, author = {Yepei Chen and Kaimin Sun and Chi Chen and Ting Bai and Taejin Park and Weile Wang and Ramakrishna R. Nemani and Ranga B. Myneni}, title = {Generation and Evaluation of {LAI} and {FPAR} Products from Himawari-8 Advanced Himawari Imager {(AHI)} Data}, journal = {Remote. Sens.}, volume = {11}, number = {13}, pages = {1517}, year = {2019}, url = {https://doi.org/10.3390/rs11131517}, doi = {10.3390/RS11131517}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenSCBPWNM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GuoLSXL19, author = {Yi{-}Xin Guo and Cong Lai and Zhi{-}biao Shao and Kai{-}Liang Xu and Ting Li}, title = {Differential Structure of Inductive Proximity Sensor}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2210}, year = {2019}, url = {https://doi.org/10.3390/s19092210}, doi = {10.3390/S19092210}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GuoLSXL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/XieYZWL19, author = {Guotian Xie and Kuiyuan Yang and Ting Zhang and Jingdong Wang and Jianhuang Lai}, title = {Balanced Decoupled Spatial Convolution for CNNs}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {30}, number = {11}, pages = {3419--3432}, year = {2019}, url = {https://doi.org/10.1109/TNNLS.2019.2892035}, doi = {10.1109/TNNLS.2019.2892035}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/XieYZWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/QuGSZLL19, author = {Ting Qu and Deke Guo and Yulong Shen and Xiaomin Zhu and Lailong Luo and Zhong Liu}, title = {Minimizing Traffic Migration During Network Update in IaaS Datacenters}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {12}, number = {4}, pages = {577--589}, year = {2019}, url = {https://doi.org/10.1109/TSC.2016.2628740}, doi = {10.1109/TSC.2016.2628740}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/QuGSZLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LaiHCL19, author = {Kuei{-}Chiang Lai and Yung{-}Jie Huang and Chun{-}Ting Chen and Cheng{-}Feng Lin}, title = {A Family of MMSE-Based Decision Feedback Equalizers and Their Properties for {FBMC/OQAM} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {3}, pages = {2346--2360}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2891744}, doi = {10.1109/TVT.2019.2891744}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LaiHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ChienLLW19, author = {Hsu{-}Tung Chien and Ying{-}Dar Lin and Chia{-}Lin Lai and Chien{-}Ting Wang}, title = {End-to-End Slicing as a Service with Computing and Communication Resource Allocation for Multi-Tenant 5G Systems}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {5}, pages = {104--112}, year = {2019}, url = {https://doi.org/10.1109/MWC.2019.1800466}, doi = {10.1109/MWC.2019.1800466}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/ChienLLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LaiCW19, author = {Chuan{-}Chi Lai and Chun{-}Ting Chen and Li{-}Chun Wang}, title = {On-Demand Density-Aware {UAV} Base Station 3D Placement for Arbitrarily Distributed Users With Guaranteed Data Rates}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {3}, pages = {913--916}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2899599}, doi = {10.1109/LWC.2019.2899599}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LaiCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agro-geoinformatics/HuangLWGLW19, author = {Ting Huang and Liang Liang and Jiahui Wang and Di Geng and Xiang Luo and Lijuan Wang}, title = {Influence of Vegetation Index on {LAI} Inversion Accuracy at Different Bandwidths}, booktitle = {8th International Conference on Agro-Geoinformatics, Agro-Geoinformatics 2019, Istanbul, Turkey, July 16-19, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/Agro-Geoinformatics.2019.8820248}, doi = {10.1109/AGRO-GEOINFORMATICS.2019.8820248}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/agro-geoinformatics/HuangLWGLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/Tin19, author = {Man Lai{-}man Tin}, editor = {Amic G. Ho}, title = {3D Scanning and Visual Dimension - Technological and Creative Evolution}, booktitle = {Advances in Human Factors in Communication of Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors in Communication of Design, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {974}, pages = {130--137}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20500-3\_14}, doi = {10.1007/978-3-030-20500-3\_14}, timestamp = {Thu, 23 Jun 2022 19:56:24 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/Tin19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/KauJLL19, author = {Lih{-}Jen Kau and Guo{-}Ting Jhao and Wei{-}Xiang Lai and You{-}Ran Liu}, title = {FPGA-Based Moving Object Detection with Interferences}, booktitle = {International Conference on Artificial Intelligence and Advanced Manufacturing, {AIAM} 2019, Dublin, Ireland, October 16-18, 2019}, pages = {181--184}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIAM48774.2019.00043}, doi = {10.1109/AIAM48774.2019.00043}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiam/KauJLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/WuL19, author = {Ya{-}Ling Wu and Szu{-}Ting Lai}, title = {The Effects of Hunger Marketing Strategy and Customer Emotion on Purchase Behavior}, booktitle = {25th Americas Conference on Information Systems, {AMCIS} 2019, Canc{\'{u}}n, Mexico, August 15-17, 2019}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/amcis2019/ebusiness/ebusiness/11}, timestamp = {Wed, 21 Aug 2019 10:43:25 +0200}, biburl = {https://dblp.org/rec/conf/amcis/WuL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LaiLSHL19, author = {Zhenchi Lai and Heng{-}An Lin and Yi{-}Ting Shih and Shih{-}Min Hong and Rung{-}Huei Liang}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {Expected-Experience Entanglements: Reframing Morning Experience through Design Fiction and Sound Interaction}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3312800}, doi = {10.1145/3290607.3312800}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LaiLSHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/IgnatovT19, author = {Andrey Ignatov and Radu Timofte and Xiaochao Qu and Xingguang Zhou and Ting Liu and Pengfei Wan and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Dongwon Park and Se Young Chun and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Zhiwei Zhong and Xianming Liu and Junjun Jiang and Debin Zhao and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Jie Liu and Cheolkon Jung and Raimondo Schettini and Simone Bianco and Claudio Cusano and Flavio Piccoli and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Nelson Chong Ngee Bow and Lai{-}Kuan Wong and John See and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Zheng Hui and Xiumei Wang and Xinbo Gao and Kanti Kumari and Vikas Kumar Anand and Mahendra Khened and Ganapathy Krishnamurthi}, title = {{NTIRE} 2019 Challenge on Image Enhancement: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2224--2232}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ignatov\_NTIRE\_2019\_Challenge\_on\_Image\_Enhancement\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00275}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/IgnatovT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YangLWF19, author = {Yi{-}Ting Yang and Hong{-}Jie Lai and Liang{-}Hung Wang and Minghui Fan}, title = {A Delta-Sigma {ADC} Design for a Wearable {ECG} Application}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991781}, doi = {10.1109/ICCE-TW46550.2019.8991781}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/YangLWF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ZhongHWL19, author = {Shi{-}Ting Zhong and Ling Huang and Chang{-}Dong Wang and Jian{-}Huang Lai}, editor = {Jianyong Wang and Kyuseok Shim and Xindong Wu}, title = {Constrained Matrix Factorization for Course Score Prediction}, booktitle = {2019 {IEEE} International Conference on Data Mining, {ICDM} 2019, Beijing, China, November 8-11, 2019}, pages = {1510--1515}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDM.2019.00199}, doi = {10.1109/ICDM.2019.00199}, timestamp = {Tue, 26 Jan 2021 13:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icdm/ZhongHWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenSL19, author = {Wenting Chen and Linlin Shen and Zhihui Lai}, title = {Introspective Gan for Meshface Recognition}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {3472--3476}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803594}, doi = {10.1109/ICIP.2019.8803594}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/WangLWL19, author = {Chien{-}Ming Wang and Jyun{-}Che Li and Bo{-}Han Wu and Yu{-}Ting Lai}, title = {A Single-Stage Soft-Switching {AC/DC} Converter without Soft-Switching Auxiliary Circuit}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, pages = {373--377}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIT.2019.8755096}, doi = {10.1109/ICIT.2019.8755096}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/WangLWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LuhWYLC19, author = {Guan{-}Chun Luh and Hung{-}Bin Wu and Ya{-}Ting Yong and Yu{-}Jhio Lai and Yu{-}Han Chen}, title = {Facial Expression Based Emotion Recognition Employing YOLOv3 Deep Neural Networks}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949236}, doi = {10.1109/ICMLC48188.2019.8949236}, timestamp = {Tue, 14 Jan 2020 10:49:23 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/LuhWYLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LaiRF19, author = {Tin Lai and Fabio Ramos and Gilad Francis}, title = {Balancing Global Exploration and Local-connectivity Exploitation with Rapidly-exploring Random disjointed-Trees}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {5537--5543}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8793618}, doi = {10.1109/ICRA.2019.8793618}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LaiRF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SuYWLSHLXHLC19, author = {Yung{-}Shan Su and Lap{-}Fai Yu and Hsueh{-}Cheng Wang and Shao{-}Huang Lu and Po{-}Sheng Ser and Wei{-}Ting Hsu and Wei{-}Cheng Lai and Biao Xie and Hong{-}Ming Huang and Teng{-}Yok Lee and Hung{-}Wen Chen}, title = {Pose-Aware Placement of Objects with Semantic Labels - Brandname-based Affordance Prediction and Cooperative Dual-Arm Active Manipulation}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4760--4767}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967755}, doi = {10.1109/IROS40897.2019.8967755}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/SuYWLSHLXHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isci/LinZLQ19, author = {Tingting Lin and Yixin Zhong and Xuejia Lai and Weidong Qiu}, editor = {Guojun Wang and Abdulmotaleb El{-}Saddik and Xuejia Lai and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kim{-}Kwang Raymond Choo}, title = {Software Tamper Resistance Based on White-Box {SMS4} Implementation}, booktitle = {Smart City and Informatization - 7th International Conference, iSCI 2019, Guangzhou, China, November 12-15, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1122}, pages = {486--495}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1301-5\_38}, doi = {10.1007/978-981-15-1301-5\_38}, timestamp = {Tue, 28 Jan 2020 21:18:31 +0100}, biburl = {https://dblp.org/rec/conf/isci/LinZLQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/YinNSLW19, author = {Hoover H. F. Yin and Ka Hei Ng and Yu Ting Shing and Russell W. F. Lai and Xishi Wang}, title = {Decision Procedure for the Existence of Two-Channel Prefix-Free Codes}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2019, Paris, France, July 7-12, 2019}, pages = {1522--1526}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIT.2019.8849758}, doi = {10.1109/ISIT.2019.8849758}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/YinNSLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LaiCSH19, author = {Wen{-}Cheng Lai and Wen{-}Hao Chen and Ting{-}Jung Shih and Ze{-}Sheng Hong}, title = {Frontend Design for {FMCW} {MIMO} Radar Sensor}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986266}, doi = {10.1109/ISPACS48206.2019.8986266}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/LaiCSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PengCLCHS19, author = {Pen{-}Jui Peng and Yan{-}Ting Chen and Sheng{-}Tsung Lai and Chao{-}Hsuan Chen and Hsiang{-}En Huang and Ted Shih}, title = {A 112Gb/s {PAM-4} Voltage-Mode Transmitter with 4-Tap Two-Step {FFE} and Automatic Phase Alignment Techniques in 40nm {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {124--126}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662361}, doi = {10.1109/ISSCC.2019.8662361}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PengCLCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/XuDTHTZSLLLLBFZ19, author = {Zhengji Xu and Yuan Dong and Chi Kuo Tseng and Ting Hu and Jinchao Tong and Qize Zhong and Larry Sim and Keng Heng Lai and Ying Lin and Dongdong Li and Yu Li and Vladimir Bliznetsov and Yuan Hsing Fu and Shiyang Zhu and Qunying Lin and Dao Hua Zhang and Dim Lee Kwong and Yuandong Gu}, title = {All-Si Metasurface Polarizing Bandpass Filter Mass Produced on 12 Inch Wafer}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696563}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/XuDTHTZSLLLLBFZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/KaoLC19, author = {David Kao and Kuan{-}Ting Lai and Ming{-}Syan Chen}, editor = {Qiang Yang and Zhi{-}Hua Zhou and Zhiguo Gong and Min{-}Ling Zhang and Sheng{-}Jun Huang}, title = {An Efficient and Resource-Aware Hashtag Recommendation Using Deep Neural Networks}, booktitle = {Advances in Knowledge Discovery and Data Mining - 23rd Pacific-Asia Conference, {PAKDD} 2019, Macau, China, April 14-17, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11440}, pages = {150--162}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-16145-3\_12}, doi = {10.1007/978-3-030-16145-3\_12}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/KaoLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KuoCJLCC19, author = {Shu{-}Yu Kuo and Xin Jie Cheam and Yu{-}Chi Jiang and Yun{-}Ting Lai and Keh{-}Ning Chang and Yao{-}Hsin Chou}, title = {Portfolio optimization Model using {ANQTS} with Trend Ratio on Quadratic Regression}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {629--634}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914008}, doi = {10.1109/SMC.2019.8914008}, timestamp = {Fri, 06 Dec 2019 14:51:38 +0100}, biburl = {https://dblp.org/rec/conf/smc/KuoCJLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-10219, author = {Ming{-}Siang Huang and Po{-}Ting Lai and Richard Tzong{-}Han Tsai and Wen{-}Lian Hsu}, title = {Revised {JNLPBA} Corpus: {A} Revised Version of Biomedical {NER} Corpus for Relation Extraction Task}, journal = {CoRR}, volume = {abs/1901.10219}, year = {2019}, url = {http://arxiv.org/abs/1901.10219}, eprinttype = {arXiv}, eprint = {1901.10219}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-10219.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-10580, author = {Ting Zhang and Bang Liu and Di Niu and Kunfeng Lai and Yu Xu}, title = {Multiresolution Graph Attention Networks for Relevance Matching}, journal = {CoRR}, volume = {abs/1902.10580}, year = {2019}, url = {http://arxiv.org/abs/1902.10580}, eprinttype = {arXiv}, eprint = {1902.10580}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-10580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-10881, author = {Chuan{-}Chi Lai and Chun{-}Ting Chen and Li{-}Chun Wang}, title = {On-Demand Density-Aware {UAV} Base Station 3D Placement for Arbitrarily Distributed Users with Guaranteed Data Rates}, journal = {CoRR}, volume = {abs/1904.10881}, year = {2019}, url = {http://arxiv.org/abs/1904.10881}, eprinttype = {arXiv}, eprint = {1904.10881}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-10881.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-12112, author = {Hoover H. F. Yin and Ka Hei Ng and Yu Ting Shing and Russell W. F. Lai and Xishi Wang}, title = {Decision Procedure for the Existence of Two-Channel Prefix-Free Codes}, journal = {CoRR}, volume = {abs/1904.12112}, year = {2019}, url = {http://arxiv.org/abs/1904.12112}, eprinttype = {arXiv}, eprint = {1904.12112}, timestamp = {Thu, 02 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-12112.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-02333, author = {Tin Lai and Weiming Zhi and Fabio Ramos}, title = {Occ-Traj120: Occupancy Maps with Associated Trajectories}, journal = {CoRR}, volume = {abs/1909.02333}, year = {2019}, url = {http://arxiv.org/abs/1909.02333}, eprinttype = {arXiv}, eprint = {1909.02333}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-02333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-03452, author = {Tin Lai and Philippe Morere and Fabio Ramos and Gilad Francis}, title = {Local Sampling-based Planning with Sequential Bayesian Updates}, journal = {CoRR}, volume = {abs/1909.03452}, year = {2019}, url = {http://arxiv.org/abs/1909.03452}, eprinttype = {arXiv}, eprint = {1909.03452}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-03452.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-11337, author = {Weiming Zhi and Tin Lai and Lionel Ott and Gilad Francis and Fabio Ramos}, title = {OCTNet: Trajectory Generation in New Environments from Past Experiences}, journal = {CoRR}, volume = {abs/1909.11337}, year = {2019}, url = {http://arxiv.org/abs/1909.11337}, eprinttype = {arXiv}, eprint = {1909.11337}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-11337.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiWLLY18, author = {Chao Lai and Weihong Wang and Zhenghua Liu and Tingting Liang and Shenao Yan}, title = {Three-Dimensional Impact Angle Constrained Partial Integrated Guidance and Control With Finite-Time Convergence}, journal = {{IEEE} Access}, volume = {6}, pages = {53833--53853}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2871051}, doi = {10.1109/ACCESS.2018.2871051}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LaiWLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/WuL18, author = {Tingzeng Wu and Hong{-}Jian Lai}, title = {On the permanental sum of graphs}, journal = {Appl. Math. Comput.}, volume = {331}, pages = {334--340}, year = {2018}, url = {https://doi.org/10.1016/j.amc.2018.03.026}, doi = {10.1016/J.AMC.2018.03.026}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/WuL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChouLCTL18, author = {Chih{-}Yueh Chou and K. Robert Lai and Po{-}Yao Chao and Shu{-}Fen Tseng and Ting{-}Yi Liao}, title = {A negotiation-based adaptive learning system for regulating help-seeking behaviors}, journal = {Comput. Educ.}, volume = {126}, pages = {115--128}, year = {2018}, url = {https://doi.org/10.1016/j.compedu.2018.07.010}, doi = {10.1016/J.COMPEDU.2018.07.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/ChouLCTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/LinYLZJ18, author = {Tingting Lin and Hailun Yan and Xuejia Lai and Yixin Zhong and Yin Jia}, title = {Security Evaluation and Improvement of a White-Box {SMS4} Implementation Based on Affine Equivalence Algorithm}, journal = {Comput. J.}, volume = {61}, number = {12}, pages = {1783--1790}, year = {2018}, url = {https://doi.org/10.1093/comjnl/bxy068}, doi = {10.1093/COMJNL/BXY068}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/LinYLZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KuoLHYZH18, author = {Su{-}E Kuo and Hui{-}San Lai and Jen{-}Ming Hsu and Yao{-}Chang Yu and Dong{-}Zhe Zheng and Ting{-}Wei Hou}, title = {A clinical nutritional information system with personalized nutrition assessment}, journal = {Comput. Methods Programs Biomed.}, volume = {155}, pages = {209--216}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2017.10.029}, doi = {10.1016/J.CMPB.2017.10.029}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KuoLHYZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/WuCL18, author = {Fan Wu and Yung{-}Ting Chuang and Hung{-}Wei Lai}, title = {Facilitating apps recommendation in Google Play}, journal = {Electron. Libr.}, volume = {36}, number = {5}, pages = {856--874}, year = {2018}, url = {https://doi.org/10.1108/EL-05-2017-0119}, doi = {10.1108/EL-05-2017-0119}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/WuCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gc/WuL18, author = {Tingzeng Wu and Hong{-}Jian Lai}, title = {Constructing Graphs Which are Permanental Cospectral and Adjacency Cospectral}, journal = {Graphs Comb.}, volume = {34}, number = {6}, pages = {1713--1721}, year = {2018}, url = {https://doi.org/10.1007/s00373-018-1963-z}, doi = {10.1007/S00373-018-1963-Z}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gc/WuL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/LiangLHCH18, author = {Ting{-}Peng Liang and Chia{-}Yin Lai and Peng{-}Hsiang Hsu and Chao{-}Min Chiu and Chang{-}Tseh Hsieh}, title = {Factors affecting satisfaction and brand loyalty to smartphone systems: a perceived benefits perspective}, journal = {Int. J. Mob. Commun.}, volume = {16}, number = {5}, pages = {513--534}, year = {2018}, url = {https://doi.org/10.1504/IJMC.2018.10006885}, doi = {10.1504/IJMC.2018.10006885}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/LiangLHCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuCHCLWCFCMFLT18, author = {Tsung{-}Chien Lu and Yi Chen and Te{-}Wei Ho and Yao{-}Ting Chang and Yi{-}Ting Lee and Yu{-}Siang Wang and Yen{-}Pin Chen and Chia{-}Ming Fu and Wen{-}Chu Chiang and Matthew Huei{-}Ming Ma and Cheng{-}Chung Fang and Feipei Lai and Anne M. Turner}, title = {A novel depth estimation algorithm of chest compression for feedback of high-quality cardiopulmonary resuscitation based on a smartwatch}, journal = {J. Biomed. Informatics}, volume = {87}, pages = {60--65}, year = {2018}, url = {https://doi.org/10.1016/j.jbi.2018.09.014}, doi = {10.1016/J.JBI.2018.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LuCHCLWCFCMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/LaiHYHT18, author = {Po{-}Ting Lai and Ming{-}Siang Huang and Ting{-}Hao Yang and Wen{-}Lian Hsu and Richard Tzong{-}Han Tsai}, title = {Statistical principle-based approach for gene and protein related object recognition}, journal = {J. Cheminformatics}, volume = {10}, number = {1}, pages = {64:1--64:9}, year = {2018}, url = {https://doi.org/10.1186/s13321-018-0314-7}, doi = {10.1186/S13321-018-0314-7}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/LaiHYHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsengWCLLYT18, author = {Shi{-}Chang Tseng and Tongyu Wu and Jung{-}Chuan Chou and Yi{-}Hung Liao and Chih{-}Hsien Lai and Siao{-}Jie Yan and Ting{-}Wei Tseng}, title = {Investigation of Sensitivities and Drift Effects of the Arrayed Flexible Chloride Sensor Based on RuO\({}_{\mbox{2}}\)/GO at Different Temperatures}, journal = {Sensors}, volume = {18}, number = {2}, pages = {632}, year = {2018}, url = {https://doi.org/10.3390/s18020632}, doi = {10.3390/S18020632}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TsengWCLLYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/WuWCWWLL18, author = {Ti{-}Rong Wu and I{-}Chen Wu and Guan{-}Wun Chen and Ting{-}Han Wei and Hung{-}Chun Wu and Tung{-}Yi Lai and Li{-}Cheng Lan}, title = {Multilabeled Value Networks for Computer Go}, journal = {{IEEE} Trans. Games}, volume = {10}, number = {4}, pages = {378--389}, year = {2018}, url = {https://doi.org/10.1109/TG.2018.2852806}, doi = {10.1109/TG.2018.2852806}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tciaig/WuWCWWLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinLLCL18, author = {Chih{-}Lung Lin and Po{-}Chun Lai and Po{-}Cheng Lai and Ting{-}Ching Chu and Chia{-}Lun Lee}, title = {Bidirectional Gate Driver Circuit Using Recharging and Time-Division Driving Scheme for In-Cell Touch LCDs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {4}, pages = {3585--3591}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2756583}, doi = {10.1109/TIE.2017.2756583}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinLLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/YangLLDX18, author = {Tingting Yang and Yangyang Li and Chengzhe Lai and Jie Dong and Minghua Xia}, title = {The Improved Hill Encryption Algorithm towards the Unmanned Surface Vessel Video Monitoring System Based on Internet of Things Technology}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {5183451:1--5183451:11}, year = {2018}, url = {https://doi.org/10.1155/2018/5183451}, doi = {10.1155/2018/5183451}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/YangLLDX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XieZYLW18, author = {Guotian Xie and Ting Zhang and Kuiyuan Yang and Jianhuang Lai and Jingdong Wang}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Decoupled Convolutions for CNNs}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {4284--4291}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11638}, doi = {10.1609/AAAI.V32I1.11638}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XieZYLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccc/HoYCLLCLC18, author = {Te{-}Wei Ho and Jia{-}Sheng Yao and Yao{-}Ting Chang and Feipei Lai and Jui{-}Fen Lai and Sue{-}Min Chu and Wan{-}Chung Liao and Han{-}Mo Chiu}, title = {A Platform for Dynamic Optimal Nurse Scheduling Based on Integer Linear Programming along with Multiple Criteria Constraints}, booktitle = {Proceedings of the 2018 Artificial Intelligence and Cloud Computing Conference, {AICCC} 2018, Tokyo, Japan, December 21-23, 2018}, pages = {145--150}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3299819.3299825}, doi = {10.1145/3299819.3299825}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccc/HoYCLLCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LaiHTC18, author = {Yu{-}Ting K. Lai and Jwu{-}Sheng Hu and Ya{-}Hui Tsai and Wei{-}Yao Chiu}, title = {Industrial Anomaly Detection and One-class Classification using Generative Adversarial Networks}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {1444--1449}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452228}, doi = {10.1109/AIM.2018.8452228}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aimech/LaiHTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LaiW18, author = {Tin{-}Yin Lai and Martin D. F. Wong}, editor = {Youngsoo Shin}, title = {A highly compressed timing macro-modeling algorithm for hierarchical and incremental timing analysis}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {166--171}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297300}, doi = {10.1109/ASPDAC.2018.8297300}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LaiW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ChangLLL18, author = {Chia{-}Yang Chang and Yan{-}Ting Lin and Shie{-}Jue Lee and Chih{-}Chin Lai}, editor = {Wei Li and Qingli Li and Lipo Wang}, title = {Information Retrieval Based on Word Semantic Clustering}, booktitle = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CISP-BMEI.2018.8633017}, doi = {10.1109/CISP-BMEI.2018.8633017}, timestamp = {Thu, 02 Feb 2023 10:46:28 +0100}, biburl = {https://dblp.org/rec/conf/bmei/ChangLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/PengHTLLHCWCTC18, author = {Yi{-}Hao Peng and Ming{-}Wei Hsu and Paul Taele and Ting{-}Yu Lin and Po{-}En Lai and Leon Hsu and Tzu{-}Chuan Chen and Te{-}Yen Wu and Yu{-}An Chen and Hsien{-}Hui Tang and Mike Y. Chen}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {SpeechBubbles: Enhancing Captioning Experiences for Deaf and Hard-of-Hearing People in Group Conversations}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {293}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173867}, doi = {10.1145/3173574.3173867}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/PengHTLLHCWCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZhangLNLX18, author = {Ting Zhang and Bang Liu and Di Niu and Kunfeng Lai and Yu Xu}, editor = {Alfredo Cuzzocrea and James Allan and Norman W. Paton and Divesh Srivastava and Rakesh Agrawal and Andrei Z. Broder and Mohammed J. Zaki and K. Sel{\c{c}}uk Candan and Alexandros Labrinidis and Assaf Schuster and Haixun Wang}, title = {Multiresolution Graph Attention Networks for Relevance Matching}, booktitle = {Proceedings of the 27th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2018, Torino, Italy, October 22-26, 2018}, pages = {933--942}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3269206.3271806}, doi = {10.1145/3269206.3271806}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ZhangLNLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/LeeL18, author = {Sophia Yat Mei Lee and Christy Choi Ting Lai}, editor = {Jia{-}Fei Hong and Qi Su and Jiun{-}Shiung Wu}, title = {Right Dislocation in Cantonese: An Emotion-Intensifying Device}, booktitle = {Chinese Lexical Semantics - 19th Workshop, {CLSW} 2018, Chiayi, Taiwan, May 26-28, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11173}, pages = {423--430}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04015-4\_35}, doi = {10.1007/978-3-030-04015-4\_35}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/clsw/LeeL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShenLXK018, author = {Ziyi Shen and Wei{-}Sheng Lai and Tingfa Xu and Jan Kautz and Ming{-}Hsuan Yang}, title = {Deep Semantic Face Deblurring}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {8260--8269}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Shen\_Deep\_Semantic\_Face\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00862}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ShenLXK018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/XieW0LHQ18, author = {Guotian Xie and Jingdong Wang and Ting Zhang and Jianhuang Lai and Richang Hong and Guo{-}Jun Qi}, title = {Interleaved Structured Sparse Convolutional Neural Networks}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {8847--8856}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Xie\_Interleaved\_Structured\_Sparse\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00922}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/XieW0LHQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/PengCCLHLY18, author = {Pen{-}Jui Peng and Yan{-}Ting Chen and Chao{-}Hsuan Chen and Sheng{-}Tsung Lai and Hsiang{-}En Huang and Ho{-}Hsuan Lu and Tsai{-}Chin Yu}, title = {A 50-Gb/s Quarter-Rate Voltage-Mode Transmitter with Three-Tap {FFE} in 40-nm {CMOS}}, booktitle = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018, Dresden, Germany, September 3-6, 2018}, pages = {174--177}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ESSCIRC.2018.8494286}, doi = {10.1109/ESSCIRC.2018.8494286}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/PengCCLHLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciot/LinTSHLH18, author = {Fuchun J. Lin and Kun{-}Lun Tsai and Shih{-}Ying Song and Wen{-}Cheng Hsu and Yueh{-}Ting Lai and Wan{-}Hsun Hu}, title = {A Tool for Defining Charging Models for {M2M} Communications}, booktitle = {2018 {IEEE} International Congress on Internet of Things, {ICIOT} 2018, San Francisco, CA, USA, July 2-7, 2018}, pages = {104--109}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICIOT.2018.00021}, doi = {10.1109/ICIOT.2018.00021}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciot/LinTSHLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/TingCCLYLCP18, author = {Hsien{-}Wei Ting and Chien{-}Lung Chan and Dachen Chu and K. Robert Lai and Nan{-}Ping Yang and Chun{-}Chih Liao and Ting{-}Ying Chien and Ren{-}Hao Pan}, title = {The Epidemiology and Cost of Hospital-Treated Traumatic Brain Injuries: a 10-Year Nationwide Survey in Taiwan}, booktitle = {Proceedings of the 2nd International Conference on Medical and Health Informatics, {ICMHI} 2018, Tsukuba, Japan, June 08-10, 2018}, pages = {76--82}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3239438.3239486}, doi = {10.1145/3239438.3239486}, timestamp = {Mon, 08 Nov 2021 09:04:11 +0100}, biburl = {https://dblp.org/rec/conf/icmhi/TingCCLYLCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChangCLLLWCY18, author = {Tin Chang and Tzu{-}Hsuan Chung and En{-}Wei Lin and Jun{-}Jie Lai and Xin{-}Hong Lai and Wen{-}Fong Wang and Chuan{-}Yu Chang and Ching{-}Yu Yang}, editor = {Chuan{-}Yu Chang and Chien{-}Chou Lin and Horng{-}Horng Lin}, title = {Indoor Navigation Based on a Gait Recognition and Counting Scheme}, booktitle = {New Trends in Computer Technologies and Applications - 23rd International Computer Symposium, {ICS} 2018, Yunlin, Taiwan, December 20-22, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1013}, pages = {406--414}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-9190-3\_43}, doi = {10.1007/978-981-13-9190-3\_43}, timestamp = {Thu, 18 Jul 2019 13:17:26 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChangCLLLWCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KooZTYTL18, author = {Cheon Hoi Koo and Hongxu Zhu and Yee Ting Tsang and Tsz Tat Yu and Kim Fung Tsang and Loi Lei Lai}, title = {A Humans' Status Detection Scheme for Industrial Safety}, booktitle = {27th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2018, Cairns, Australia, June 13-15, 2018}, pages = {1291--1295}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIE.2018.8433647}, doi = {10.1109/ISIE.2018.8433647}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/KooZTYTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LaiLKLC18, author = {Kuan{-}Ting Lai and Chia{-}Chih Lin and Chun{-}Yao Kang and Mei{-}Enn Liao and Ming{-}Syan Chen}, editor = {Susanne Boll and Kyoung Mu Lee and Jiebo Luo and Wenwu Zhu and Hyeran Byun and Chang Wen Chen and Rainer Lienhart and Tao Mei}, title = {{VIVID:} Virtual Environment for Visual Deep Learning}, booktitle = {2018 {ACM} Multimedia Conference on Multimedia Conference, {MM} 2018, Seoul, Republic of Korea, October 22-26, 2018}, pages = {1356--1359}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240508.3243653}, doi = {10.1145/3240508.3243653}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/LaiLKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/LeeCLLLKKHL18, author = {Yi{-}Jhe Lee and Bang{-}Yin Chen and Yun{-}Ting Lai and Hsueh{-}Wei Liao and Ting{-}Chun Liao and Sheng{-}Lun Kao and Kuan{-}Yi Kang and Chun{-}Tang Hsu and Yi{-}Wen Liu}, title = {Examining the Influence of Word Tonality on Pitch Contours When Singing in Mandarin}, booktitle = {2018 Oriental {COCOSDA} - International Conference on Speech Database and Assessments, Miyazaki, Japan, May 7-8, 2018}, pages = {89--94}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSDA.2018.8693016}, doi = {10.1109/ICSDA.2018.8693016}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/LeeCLLLKKHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/LaiLH18, author = {Chia{-}Yin Lai and Ting{-}Peng Liang and Kai Lung Hui}, editor = {Masaaki Hirano and Michael D. Myers and Kyoichi Kijima and Motonari Tanabu and Dai Senoo}, title = {Information Privacy Paradox: {A} Neural Science Study}, booktitle = {22nd Pacific Asia Conference on Information Systems, {PACIS} 2018, Yokohama, Japan, June 26-30, 2018}, pages = {247}, year = {2018}, url = {https://aisel.aisnet.org/pacis2018/247}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pacis/LaiLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/LiuCLWW18, author = {Kuan{-}Hung Liu and Hung{-}Chih Chen and Kuan{-}Ting Lai and Yi{-}Ying Wu and Chih{-}Ping Wei}, editor = {Masaaki Hirano and Michael D. Myers and Kyoichi Kijima and Motonari Tanabu and Dai Senoo}, title = {Alternative Ingredient Recommendation: {A} Co-occurrence and Ingredient Category Importance Based Approach}, booktitle = {22nd Pacific Asia Conference on Information Systems, {PACIS} 2018, Yokohama, Japan, June 26-30, 2018}, pages = {298}, year = {2018}, url = {https://aisel.aisnet.org/pacis2018/298}, timestamp = {Wed, 07 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pacis/LiuCLWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LaiH18, author = {Yu{-}Ting Kevin Lai and Jwu{-}Sheng Hu}, title = {A Texture Generation Approach for Detection of Novel Surface Defects}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {4357--4362}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00736}, doi = {10.1109/SMC.2018.00736}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LaiH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/OulasvirtaPKLJT18, author = {Antti Oulasvirta and Samuli De Pascale and Janin Koch and Thomas Langerak and Jussi Jokinen and Kashyap Todi and Markku Laine and Manoj Kristhombuge and Yuxi Zhu and Aliaksei Miniukovich and Gregorio Palmas and Tino Weinkauf}, editor = {Patrick Baudisch and Albrecht Schmidt and Andy Wilson}, title = {Aalto Interface Metrics {(AIM):} {A} Service and Codebase for Computational {GUI} Evaluation}, booktitle = {The 31st Annual {ACM} Symposium on User Interface Software and Technology Adjunct Proceedings, {UIST} 2018, Berlin, Germany, October 14-17, 2018}, pages = {16--19}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3266037.3266087}, doi = {10.1145/3266037.3266087}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/OulasvirtaPKLJT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LaishramSEPS18, author = {Ricky Laishram and Ahmet Erdem Sariy{\"{u}}ce and Tina Eliassi{-}Rad and Ali Pinar and Sucheta Soundarajan}, editor = {Pierre{-}Antoine Champin and Fabien Gandon and Mounia Lalmas and Panagiotis G. Ipeirotis}, title = {Measuring and Improving the Core Resilience of Networks}, booktitle = {Proceedings of the 2018 World Wide Web Conference on World Wide Web, {WWW} 2018, Lyon, France, April 23-27, 2018}, pages = {609--618}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178876.3186127}, doi = {10.1145/3178876.3186127}, timestamp = {Fri, 16 Apr 2021 10:04:12 +0200}, biburl = {https://dblp.org/rec/conf/www/LaishramSEPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiuZHNLX18, author = {Bang Liu and Ting Zhang and Fred X. Han and Di Niu and Kunfeng Lai and Yu Xu}, editor = {Pierre{-}Antoine Champin and Fabien Gandon and Mounia Lalmas and Panagiotis G. Ipeirotis}, title = {Matching Natural Language Sentences with Hierarchical Sentence Factorization}, booktitle = {Proceedings of the 2018 World Wide Web Conference on World Wide Web, {WWW} 2018, Lyon, France, April 23-27, 2018}, pages = {1237--1246}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178876.3186022}, doi = {10.1145/3178876.3186022}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/LiuZHNLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-07459, author = {Bang Liu and Ting Zhang and Di Niu and Jinghong Lin and Kunfeng Lai and Yu Xu}, title = {Matching Long Text Documents via Graph Convolutional Networks}, journal = {CoRR}, volume = {abs/1802.07459}, year = {2018}, url = {http://arxiv.org/abs/1802.07459}, eprinttype = {arXiv}, eprint = {1802.07459}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-07459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-00179, author = {Bang Liu and Ting Zhang and Fred X. Han and Di Niu and Kunfeng Lai and Yu Xu}, title = {Matching Natural Language Sentences with Hierarchical Sentence Factorization}, journal = {CoRR}, volume = {abs/1803.00179}, year = {2018}, url = {http://arxiv.org/abs/1803.00179}, eprinttype = {arXiv}, eprint = {1803.00179}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-00179.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-03345, author = {Ziyi Shen and Wei{-}Sheng Lai and Tingfa Xu and Jan Kautz and Ming{-}Hsuan Yang}, title = {Deep Semantic Face Deblurring}, journal = {CoRR}, volume = {abs/1803.03345}, year = {2018}, url = {http://arxiv.org/abs/1803.03345}, eprinttype = {arXiv}, eprint = {1803.03345}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-03345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-06202, author = {Guotian Xie and Jingdong Wang and Ting Zhang and Jianhuang Lai and Richang Hong and Guo{-}Jun Qi}, title = {{IGCV2:} Interleaved Structured Sparse Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/1804.06202}, year = {2018}, url = {http://arxiv.org/abs/1804.06202}, eprinttype = {arXiv}, eprint = {1804.06202}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-06202.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-09784, author = {Kwei{-}Herng Lai and Ting{-}Hsiang Wang and Heng{-}Yu Chi and Yian Chen and Ming{-}Feng Tsai and Chuan{-}Ju Wang}, title = {Superhighway: Bypass Data Sparsity in Cross-Domain {CF}}, journal = {CoRR}, volume = {abs/1808.09784}, year = {2018}, url = {http://arxiv.org/abs/1808.09784}, eprinttype = {arXiv}, eprint = {1808.09784}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-09784.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-03749, author = {Tin Lai and Fabio Ramos and Gilad Francis}, title = {Balancing Global Exploration and Local-connectivity Exploitation with Rapidly-exploring Random disjointed-Trees}, journal = {CoRR}, volume = {abs/1810.03749}, year = {2018}, url = {http://arxiv.org/abs/1810.03749}, eprinttype = {arXiv}, eprint = {1810.03749}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-03749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/LaiYBWCDH17, author = {Wenjiang Lai and Tiantang Yu and Tinh Quoc Bui and Zhiguo Wang and Jose L. Curiel{-}Sosa and Raj Das and Sohichi Hirose}, title = {3-D elasto-plastic large deformations: {IGA} simulation by B{\'{e}}zier extraction of {NURBS}}, journal = {Adv. Eng. Softw.}, volume = {108}, pages = {68--82}, year = {2017}, url = {https://doi.org/10.1016/j.advengsoft.2017.02.011}, doi = {10.1016/J.ADVENGSOFT.2017.02.011}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/LaiYBWCDH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChangCCLCWHWCLW17, author = {Chia{-}Jung Chang and Ming{-}Hua Chang and Bing{-}Cheng Chiu and Chen{-}Chung Liu and Shih{-}Hsun Fan Chiang and Cai{-}Ting Wen and Fu{-}Kwun Hwang and Ying{-}Tien Wu and Po{-}Yao Chao and Chia{-}Hsi Lai and Su{-}Wen Wu and Chih{-}Kang Chang and Wenli Chen}, title = {An analysis of student collaborative problem solving activities mediated by collaborative simulations}, journal = {Comput. Educ.}, volume = {114}, pages = {222--235}, year = {2017}, url = {https://doi.org/10.1016/j.compedu.2017.07.008}, doi = {10.1016/J.COMPEDU.2017.07.008}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/ChangCCLCWHWCLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/HwangHLH17, author = {Gwo{-}Jen Hwang and Ting{-}Chia Hsu and Chiu{-}Lin Lai and Ching{-}Jung Hsueh}, title = {Interaction of problem-based gaming and learning anxiety in language students' English listening performance and progressive behavioral patterns}, journal = {Comput. Educ.}, volume = {106}, pages = {26--42}, year = {2017}, url = {https://doi.org/10.1016/j.compedu.2016.11.010}, doi = {10.1016/J.COMPEDU.2016.11.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/HwangHLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/LiSZLCLZLTL17, author = {Bo Hu Li and Xiao Song and Lin Zhang and Jing Liu and Peng Chi and Tingyu Lin and Xing Zhang and Yuanjun Laili and Fei Tao and Tan Li}, title = {CoSMSOL: Complex system modeling, simulation and optimization language}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {8}, number = {2}, pages = {1741002:1--1741002:21}, year = {2017}, url = {https://doi.org/10.1142/S1793962317410021}, doi = {10.1142/S1793962317410021}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/LiSZLCLZLTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/LaiLK17, author = {Wei Kuang Lai and Mei{-}Tso Lin and Ting{-}Huan Kuo}, title = {Burst Transmission and Frame Aggregation for {VANET} Communications}, journal = {Int. J. Networked Distributed Comput.}, volume = {5}, number = {4}, pages = {192--202}, year = {2017}, url = {https://doi.org/10.2991/ijndc.2017.5.4.1}, doi = {10.2991/IJNDC.2017.5.4.1}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/LaiLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsinnov/ChangCL17, author = {Hsuan{-}Han Chang and Kuan{-}Ting Chen and Pao{-}Lien Lai}, title = {How to Systematically Embed Cycles in Balanced Hypercubes}, journal = {Int. J. Softw. Innov.}, volume = {5}, number = {1}, pages = {44--56}, year = {2017}, url = {https://doi.org/10.4018/IJSI.2017010104}, doi = {10.4018/IJSI.2017010104}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsinnov/ChangCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/TsaiLELSGST17, author = {Jason Sheng{-}Hong Tsai and Ying Ting Liao and Faezeh Ebrahimzadeh and Sheng{-}Ying Lai and Te{-}Jen Su and Shu{-}Mei Guo and Leang{-}San Shieh and Tzong J. Tsai}, title = {A new {PI} optimal linear quadratic state-estimate tracker for continuous-time non-square non-minimum phase systems}, journal = {Int. J. Syst. Sci.}, volume = {48}, number = {7}, pages = {1438--1459}, year = {2017}, url = {https://doi.org/10.1080/00207721.2016.1261201}, doi = {10.1080/00207721.2016.1261201}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/TsaiLELSGST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/TingCPLC17, author = {Hsien{-}Wei Ting and Chien{-}Lung Chan and Ren{-}Hao Pan and K. Robert Lai and Ting{-}Ying Chien}, title = {Use of Information Technologies to Explore Correlations between Climatic Factors and Spontaneous Intracerebral Hemorrhage in Different Age Groups}, journal = {J. Comput. Sci. Eng.}, volume = {11}, number = {4}, pages = {142--151}, year = {2017}, url = {https://doi.org/10.5626/jcse.2017.11.4.142}, doi = {10.5626/JCSE.2017.11.4.142}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/TingCPLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/LinLXJ17, author = {Tingting Lin and Xuejia Lai and Weijia Xue and Yin Jia}, title = {A New Feistel-Type White-Box Encryption Scheme}, journal = {J. Comput. Sci. Technol.}, volume = {32}, number = {2}, pages = {386--395}, year = {2017}, url = {https://doi.org/10.1007/s11390-017-1727-x}, doi = {10.1007/S11390-017-1727-X}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/LinLXJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/MuskardinBPWLOK17, author = {Tin Muskardin and Georg Balmer and Linnea Persson and Sven Wlach and Maximilian Laiacker and An{\'{\i}}bal Ollero and Konstantin Kondak}, title = {A Novel Landing System to Increase Payload Capacity and Operational Availability of High Altitude Long Endurance UAVs}, journal = {J. Intell. Robotic Syst.}, volume = {88}, number = {2-4}, pages = {597--618}, year = {2017}, url = {https://doi.org/10.1007/s10846-017-0475-z}, doi = {10.1007/S10846-017-0475-Z}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/MuskardinBPWLOK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/JinLLWZ17, author = {Ke Jin and Tao Lai and Gong{-}quan Li and Ting Wang and Yongjun Zhao}, title = {Ultra-wideband {FMCW} {ISAR} imaging with a large rotation angle based on block-sparse recovery}, journal = {Frontiers Inf. Technol. Electron. Eng.}, volume = {18}, number = {12}, pages = {2058--2069}, year = {2017}, url = {https://doi.org/10.1631/FITEE.1601310}, doi = {10.1631/FITEE.1601310}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jzusc/JinLLWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LaiLWS17, author = {Zhiquan Lai and King Tin Lam and Cho{-}Li Wang and Jinshu Su}, title = {PoweRock: Power Modeling and Flexible Dynamic Power Management for Many-Core Architectures}, journal = {{IEEE} Syst. J.}, volume = {11}, number = {2}, pages = {600--612}, year = {2017}, url = {https://doi.org/10.1109/JSYST.2015.2499307}, doi = {10.1109/JSYST.2015.2499307}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LaiLWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChenYHTCCLWTW17, author = {Tien{-}En Chen and Shih{-}I Yang and Li{-}Ting Ho and Kun{-}Hsi Tsai and Yu{-}Hsuan Chen and Yun{-}Fan Chang and Ying{-}Hui Lai and Syu{-}Siang Wang and Yu Tsao and Chau{-}Chung Wu}, title = {{S1} and {S2} Heart Sound Recognition Using Deep Neural Networks}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {2}, pages = {372--380}, year = {2017}, url = {https://doi.org/10.1109/TBME.2016.2559800}, doi = {10.1109/TBME.2016.2559800}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChenYHTCCLWTW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YehLMLS17, author = {Chia{-}Hung Yeh and Chih{-}Yang Lin and Kahlil Muchtar and Hsiang{-}Erh Lai and Ming{-}Ting Sun}, title = {Three-Pronged Compensation and Hysteresis Thresholding for Moving Object Detection in Real-Time Video Surveillance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {6}, pages = {4945--4955}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2669881}, doi = {10.1109/TIE.2017.2669881}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YehLMLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LuoG0QCL17, author = {Lailong Luo and Deke Guo and Jie Wu and Ting Qu and Tao Chen and Xueshan Luo}, title = {VLCcube: {A} {VLC} Enabled Hybrid Network Structure for Data Centers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {28}, number = {7}, pages = {2088--2102}, year = {2017}, url = {https://doi.org/10.1109/TPDS.2016.2646366}, doi = {10.1109/TPDS.2016.2646366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LuoG0QCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/TsaiCWLHJT17, author = {Yi{-}Chia Tsai and Yu{-}Da Cheng and Cheng{-}Wei Wu and Yueh{-}Ting Lai and Wan{-}Hsun Hu and Jeu{-}Yih Jeng and Yu{-}Chee Tseng}, editor = {Malek Mouhoub and Philippe Langlais}, title = {Time-Dependent Smart Data Pricing Based on Machine Learning}, booktitle = {Advances in Artificial Intelligence - 30th Canadian Conference on Artificial Intelligence, Canadian {AI} 2017, Edmonton, AB, Canada, May 16-19, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10233}, pages = {103--108}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57351-9\_14}, doi = {10.1007/978-3-319-57351-9\_14}, timestamp = {Thu, 28 Sep 2023 12:27:16 +0200}, biburl = {https://dblp.org/rec/conf/ai/TsaiCWLHJT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/LaiWYLC17, author = {Yueh{-}Ting Lai and Ya{-}Ping Wu and Chia{-}Hsuan Yu and Fang{-}Sun Lu and Chi{-}Hua Chen}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Mobile Data Usage Prediction System and Method}, booktitle = {31st International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2017 Workshops, Taipei, Taiwan, March 27-29, 2017}, pages = {484--486}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/WAINA.2017.50}, doi = {10.1109/WAINA.2017.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/LaiWYLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LiTLG17, author = {Yuan{-}Fu Li and Chia{-}Chi Tsai and Yi{-}Ting Lai and Jiun{-}In Guo}, title = {A multiple-lane vehicle tracking method for forward collision warning system applications}, booktitle = {2017 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2017, Kuala Lumpur, Malaysia, December 12-15, 2017}, pages = {1061--1064}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APSIPA.2017.8282185}, doi = {10.1109/APSIPA.2017.8282185}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LiTLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/ChangLCC17, author = {Yi{-}Chun Chang and Kuan{-}Ting Lai and Seng{-}cho Timothy Chou and Ming{-}Syan Chen}, editor = {Jana Diesner and Elena Ferrari and Guandong Xu}, title = {Mining the Networks of Telecommunication Fraud Groups using Social Network Analysis}, booktitle = {Proceedings of the 2017 {IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining 2017, Sydney, Australia, July 31 - August 03, 2017}, pages = {1128--1131}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3110025.3119396}, doi = {10.1145/3110025.3119396}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asunam/ChangLCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LaiHW17, author = {Tin{-}Yin Lai and Tsung{-}Wei Huang and Martin D. F. Wong}, title = {LibAbs: An Efficient and Accurate Timing Macro-Modeling Algorithm for Large Hierarchical Designs}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {65:1--65:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062274}, doi = {10.1145/3061639.3062274}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LaiHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/TsaiLPWHF17, author = {Richard Tzong{-}Han Tsai and Yu{-}Ting Lai and Pi{-}Ling Pai and Yu{-}Chun Wang and Sunny Hui{-}Min Huang and I{-}Chun Fan}, editor = {Rhian Lewis and Cecily Raynor and Dominic Forest and Michael Sinatra and St{\'{e}}fan Sinclair}, title = {WeisoEvent: {A} Ming-Weiso Event Analytics Tool with Named Entity Markup and Spatial-Temporal Information Linking}, booktitle = {12th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2017, Montr{\'{e}}al, Canada, August 8-11, 2017, Conference Abstracts}, publisher = {Alliance of Digital Humanities Organizations {(ADHO)}}, year = {2017}, url = {https://dh2017.adho.org/abstracts/298/298.pdf}, timestamp = {Fri, 10 Jul 2020 11:43:01 +0200}, biburl = {https://dblp.org/rec/conf/dihu/TsaiLPWHF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LinYMLCCWLLT17, author = {Yen{-}Ting Lin and Wen{-}Hau Yang and Yu{-}Sheng Ma and Yan{-}Jiun Lai and Hung{-}Wei Chen and Ke{-}Horng Chen and Chin{-}Long Wey and Ying{-}Hsi Lin and Jian{-}Ru Lin and Tsung{-}Yen Tsai}, title = {Unsymmetrical parallel switched-capacitor {(UP-SC)} regulator with fast searching optimum ratio technique}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {287--290}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094582}, doi = {10.1109/ESSCIRC.2017.8094582}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LinYMLCCWLLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/LiLCCLC17, author = {Ting{-}Mei Li and Chen{-}Chi Liao and Hsin{-}Hung Cho and Wei{-}Che Chien and Chin{-}Feng Lai and Han{-}Chieh Chao}, title = {An e-healthcare sensor network load-balancing scheme using {SDN-SFC}}, booktitle = {19th {IEEE} International Conference on e-Health Networking, Applications and Services, Healthcom 2017, Dalian, China, October 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HealthCom.2017.8210833}, doi = {10.1109/HEALTHCOM.2017.8210833}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/LiLCCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/HoTHCL17, author = {Te{-}Wei Ho and Chia{-}Jui Tsai and Chung{-}Chieh Hsu and Yao{-}Ting Chang and Feipei Lai}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {Indoor navigation and physician-patient communication in emergency department}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {92--98}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3162971}, doi = {10.1145/3162957.3162971}, timestamp = {Tue, 06 Nov 2018 16:57:30 +0100}, biburl = {https://dblp.org/rec/conf/iccip/HoTHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/YehLTJH17, author = {Wei{-}Chang Yeh and Chyh{-}Ming Lai and Hsin{-}Yi Ting and Yunzhi Jiang and Hsin{-}Ping Huang}, editor = {Yong Liu and Liang Zhao and Guoyong Cai and Guoqing Xiao and Kenli Li and Lipo Wang}, title = {Solving single row facility layout problem with simplified swarm optimization}, booktitle = {13th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery, {ICNC-FSKD} 2017, Guilin, China, July 29-31, 2017}, pages = {267--270}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FSKD.2017.8393199}, doi = {10.1109/FSKD.2017.8393199}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnc/YehLTJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/LiCCSL17, author = {Ting{-}Mei Li and Hsin{-}Hung Cho and Han{-}Chieh Chao and Timothy K. Shih and Chin{-}Feng Lai}, editor = {Tien{-}Chi Huang and Rynson W. H. Lau and Yueh{-}Min Huang and Marc Spaniol and Chun{-}Hung Yuen}, title = {An Accurate Brainwave-Based Emotion Clustering for Learning Evaluation}, booktitle = {Emerging Technologies for Education - Second International Symposium, {SETE} 2017, Held in Conjunction with {ICWL} 2017, Cape Town, South Africa, September 20-22, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {223--233}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71084-6\_25}, doi = {10.1007/978-3-319-71084-6\_25}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwl/LiCCSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/TuLT17, author = {Jing Cyun Tu and Po{-}Ting Lai and Richard Tzong{-}Han Tsai}, editor = {Jitendra Jonnagaddala and Hong{-}Jie Dai and Yung{-}Chun Chang}, title = {Enhancing Drug-Drug Interaction Classification with Corpus-level Feature and Classifier Ensemble}, booktitle = {Proceedings of the International Workshop on Digital Disease Detection using Social Media, DDDSM@IJCNLP 2017, Taipei, Taiwan, November 27, 2017}, pages = {52--56}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://aclanthology.org/W17-5808/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/TuLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iml/PaiLWWC17, author = {Hao{-}Ting Pai and Hung{-}Wei Lai and Shuli Wang and Mei{-}Fang Wu and Yung{-}Ting Chuang}, editor = {Hani Hamdan and Djallel Eddine Boubiche and Fanny Klett}, title = {Recommendations for mobile applications: facilitating commerce in google play}, booktitle = {Proceedings of the 1st International Conference on Internet of Things and Machine Learning, {IML} 2017, Liverpool, United Kingdom, October 17-18, 2017}, pages = {10:1--10:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3109761.3109771}, doi = {10.1145/3109761.3109771}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iml/PaiLWWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TingWWWWLTYHLHH17, author = {Tah{-}Kang Joseph Ting and Gyh{-}Bin Wang and Ming{-}Hung Wang and Chun{-}Peng Wu and Chun{-}Kai Wang and Chun{-}Wei Lo and Li{-}Chin Tien and Der{-}Min Yuan and Yung{-}Ching Hsieh and Jenn{-}Shiang Lai and Wen{-}Pin Hsu and Chien{-}Chih Huang and Chi{-}Kang Chen and Yung{-}Fa Chou and Ding{-}Ming Kwai and Zhe Wang and Wei Wu and Shigeki Tomishima and Patrick Stolt and Shih{-}Lien Lu}, title = {23.9 An 8-channel 4.5Gb 180GB/s 18ns-row-latency {RAM} for the last level cache}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {404--405}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870432}, doi = {10.1109/ISSCC.2017.7870432}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TingWWWWLTYHLHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/GohLHKR17, author = {Kam Meng Goh and Weng{-}Kin Lai and Ting Por Han and Daniel Koe and Wong Jee Keen Raymond}, editor = {Cecilia Zanni{-}Merk and Claudia S. Frydman and Carlos Toro and Yulia Hicks and Robert J. Howlett and Lakhmi C. Jain}, title = {Size Characterisation of Edible Bird Nest Impurities: {A} Preliminary Study}, booktitle = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 21st International Conference KES-2017, Marseille, France, 6-8 September 2017}, series = {Procedia Computer Science}, volume = {112}, pages = {1072--1081}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.procs.2017.08.123}, doi = {10.1016/J.PROCS.2017.08.123}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/GohLHKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lanman/WellemLCLCH17, author = {Theophilus Wellem and Yu{-}Kuen Lai and Chung{-}Hsiang Cheng and Yung{-}Chuan Liao and Li{-}Ting Chen and Chao{-}Yuan Huang}, title = {Implementing a heavy hitter detection on the NetFPGA OpenFlow switch}, booktitle = {2017 {IEEE} International Symposium on Local and Metropolitan Area Networks, {LANMAN} 2017, Osaka, Japan, June 12-14, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/LANMAN.2017.7972136}, doi = {10.1109/LANMAN.2017.7972136}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/lanman/WellemLCLCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsuLLLLHWLS17, author = {Chih{-}Chung Hsu and Ying{-}Chin Lee and Ping{-}En Lu and Shian{-}Shin Lu and Hsiao{-}Ting Lai and Ching{-}Chu Huang and Chun Wang and Yang{-}Jiun Lin and Weng{-}Tai Su}, editor = {Qiong Liu and Rainer Lienhart and Haohong Wang and Sheng{-}Wei "Kuan{-}Ta" Chen and Susanne Boll and Yi{-}Ping Phoebe Chen and Gerald Friedland and Jia Li and Shuicheng Yan}, title = {Social Media Prediction Based on Residual Learning and Random Forest}, booktitle = {Proceedings of the 2017 {ACM} on Multimedia Conference, {MM} 2017, Mountain View, CA, USA, October 23-27, 2017}, pages = {1865--1870}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123266.3127894}, doi = {10.1145/3123266.3127894}, timestamp = {Tue, 30 Jul 2024 20:52:04 +0200}, biburl = {https://dblp.org/rec/conf/mm/HsuLLLLHWLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npar/RosinMBCL0LSWWW17, author = {Paul L. Rosin and David Mould and Itamar Berger and John P. Collomosse and Yu{-}Kun Lai and Chuan Li and Hua Li and Ariel Shamir and Michael Wand and Tinghuai Wang and Holger Winnem{\"{o}}ller}, editor = {Holger Winnemoeller and Lyn Bartram and Stephen N. Spencer and Bruce Gooch and Yotam I. Gingold}, title = {Benchmarking non-photorealistic rendering of portraits}, booktitle = {15th International Symposium on Non-Photorealistic Animation and Rendering, NPAR@Expressive 2017, Los Angeles, CA, USA, July 29-30, 2017, Proceedings}, pages = {11:1--11:12}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3092919.3092921}, doi = {10.1145/3092919.3092921}, timestamp = {Wed, 19 Aug 2020 10:09:51 +0200}, biburl = {https://dblp.org/rec/conf/npar/RosinMBCL0LSWWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KuoLTLL17, author = {Ming{-}Hao Kuo and Meng Chun Lee and Che{-}Wei Tien and Wei{-}Ting Lai and Pei{-}Wen Li}, title = {Optimal design of Ge-dot photoMOSFETs for highly-integrated monolithic Si photonics}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7937406}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KuoLTLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfid/HungCLTLWCCCHYL17, author = {Ming{-}Hao Hung and Chung{-}Hung Chen and Yi{-}Cheng Lai and Kuan{-}Wen Tung and Wei{-}Ting Lin and Hsiu{-}Hua Wang and Feng{-}Jui Chan and Chun{-}Cheng Cheng and Chin{-}Tang Chuang and Yu{-}Sheng Huang and Cheng{-}Nan Yeh and Chu{-}Yu Liu and Jen{-}Pei Tseng and Min{-}Feng Chiang and Yu{-}Chieh Lin}, title = {Ultra low voltage 1-V {RFID} tag implement in a-IGZO {TFT} technology on plastic}, booktitle = {2017 {IEEE} International Conference on RFID, {RFID} 2017, Phoenix, AZ, USA, May 9-11, 2017}, pages = {193--197}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RFID.2017.7945608}, doi = {10.1109/RFID.2017.7945608}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/rfid/HungCLTLWCCCHYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiLLG17, author = {Chia{-}Chi Tsai and Yi{-}Ting Lai and Yuan{-}Fu Li and Jiun{-}In Guo}, title = {A vision radar system for car safety driving applications}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939670}, doi = {10.1109/VLSI-DAT.2017.7939670}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiLLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuWCWLWL17, author = {Ti{-}Rong Wu and I{-}Chen Wu and Guan{-}Wun Chen and Ting{-}Han Wei and Tung{-}Yi Lai and Hung{-}Chun Wu and Li{-}Cheng Lan}, title = {Multi-Labelled Value Networks for Computer Go}, journal = {CoRR}, volume = {abs/1705.10701}, year = {2017}, url = {http://arxiv.org/abs/1705.10701}, eprinttype = {arXiv}, eprint = {1705.10701}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuWCWLWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-09158, author = {Ting{-}Yan Lai and Tyng{-}Ruey Chuang and Shin{-}Cheng Mu}, title = {Type Safe Redis Queries: {A} Case Study of Type-Level Programming in Haskell}, journal = {CoRR}, volume = {abs/1708.09158}, year = {2017}, url = {http://arxiv.org/abs/1708.09158}, eprinttype = {arXiv}, eprint = {1708.09158}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-09158.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/DaiSLHJJRCMSSH16, author = {Hong{-}Jie Dai and Chu{-}Hsien Su and Po{-}Ting Lai and Ming{-}Siang Huang and Jitendra Jonnagaddala and Toni Rose Jue and Shruti Rao and Hui{-}Jou Chou and Marija Milacic and Onkar Singh and Syed Abdul Shabbir and Wen{-}Lian Hsu}, title = {{MET} network in PubMed: a text-mined network visualization and curation system}, journal = {Database J. Biol. Databases Curation}, volume = {2016}, year = {2016}, url = {https://doi.org/10.1093/database/baw090}, doi = {10.1093/DATABASE/BAW090}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/DaiSLHJJRCMSSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/LaiLHHT16, author = {Po{-}Ting Lai and Yu{-}Yan Lo and Ming{-}Siang Huang and Yu{-}Cheng Hsiao and Richard Tzong{-}Han Tsai}, title = {BelSmile: a biomedical semantic role labeling approach for extracting biological expression language from text}, journal = {Database J. Biol. Databases Curation}, volume = {2016}, year = {2016}, url = {https://doi.org/10.1093/database/baw064}, doi = {10.1093/DATABASE/BAW064}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/LaiLHHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/TsaiHL16, author = {Richard Tzong{-}Han Tsai and Yu{-}Cheng Hsiao and Po{-}Ting Lai}, title = {NERChem: adapting NERBio to chemical patents via full-token features and named entity feature with chemical sub-class composition}, journal = {Database J. Biol. Databases Curation}, volume = {2016}, year = {2016}, url = {https://doi.org/10.1093/database/baw135}, doi = {10.1093/DATABASE/BAW135}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/TsaiHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cera/WeiAXWL16, author = {Chiu{-}Chi Wei and Agus Andria and Houn{-}Wen Xiao and Chiou Shuei Wei and Ting{-}Chang Lai}, title = {A new fuzzy decision-making approach for selecting new product development project}, journal = {Concurr. Eng. Res. Appl.}, volume = {24}, number = {3}, pages = {240--250}, year = {2016}, url = {https://doi.org/10.1177/1063293X16644950}, doi = {10.1177/1063293X16644950}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cera/WeiAXWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HudecHWLCWFHLH16, author = {Boris Hudec and Chung{-}Wei Hsu and I{-}Ting Wang and Wei{-}Li Lai and Che{-}Chia Chang and Taifang Wang and Karol Fr{\"{o}}hlich and Chia{-}Hua Ho and Chen{-}Hsi Lin and Tuo{-}Hung Hou}, title = {3D resistive {RAM} cell design for high-density storage class memory - a review}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {6}, pages = {061403:1--061403:21}, year = {2016}, url = {https://doi.org/10.1007/s11432-016-5566-0}, doi = {10.1007/S11432-016-5566-0}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/HudecHWLCWFHLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LinLXH16, author = {Tingting Lin and Xuejia Lai and Weijia Xue and Geshi Huang}, title = {Discussion on the theoretical results of white-box cryptography}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {11}, pages = {112101}, year = {2016}, url = {https://doi.org/10.1007/s11432-015-5474-8}, doi = {10.1007/S11432-015-5474-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LinLXH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/LaiTWAC16, author = {Wei Kuang Lai and Chih Kun Tai and Tin{-}Yu Wu and Alagan Anpalagan and Jian Zhi Chen}, title = {{PBMP:} priority-based multi-path packet routing for vehicular ad hoc network system in city environment}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {27}, number = {10}, pages = {1331--1344}, year = {2016}, url = {https://doi.org/10.1002/ett.2909}, doi = {10.1002/ETT.2909}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/LaiTWAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/LaiK16, author = {Wei Kuang Lai and Ting{-}Huan Kuo}, title = {Vehicle Positioning and Speed Estimation Based on Cellular Network Signals for Urban Roads}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {5}, number = {10}, pages = {181}, year = {2016}, url = {https://doi.org/10.3390/ijgi5100181}, doi = {10.3390/IJGI5100181}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/LaiK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/ChangWLS16, author = {Kuo{-}En Chang and Lin{-}Jung Wu and Shing{-}Chuang Lai and Yao{-}Ting Sung}, title = {Using mobile devices to enhance the interactive learning for spatial geometry}, journal = {Interact. Learn. Environ.}, volume = {24}, number = {4}, pages = {916--934}, year = {2016}, url = {https://doi.org/10.1080/10494820.2014.948458}, doi = {10.1080/10494820.2014.948458}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/ChangWLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuLLCCWWLHCLCLC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen}, title = {A 0.5 nJ/Pixel 4 {K} {H.265/HEVC} Codec {LSI} for Multi-Format Smartphone Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {56--67}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2465857}, doi = {10.1109/JSSC.2015.2465857}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuLLCCWWLHCLCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuCCWSPHCHTPYUW16, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Kun Tan and Aravind Padyana and Vincent Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Bryan Juo{-}Jung Hung and Massimo Brandolini and Maco Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young J. Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hanson Hung{-}Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Ray Gomez}, title = {A 2.7 mW/Channel 48-1000 MHz Direct Sampling Full-Band Cable Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {845--859}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2511164}, doi = {10.1109/JSSC.2015.2511164}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuCCWSPHCHTPYUW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lalc/LiuLL16, author = {Gi{-}Zen Liu and Hui{-}Ching Lu and Chun{-}Ting Lai}, title = {Towards the construction of a field: The developments and implications of mobile assisted language learning {(MALL)}}, journal = {Digit. Scholarsh. Humanit.}, volume = {31}, number = {1}, pages = {164--180}, year = {2016}, url = {https://doi.org/10.1093/llc/fqu070}, doi = {10.1093/LLC/FQU070}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lalc/LiuLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ZhangWHCCLHLYY16, author = {Wenqi Zhang and Tzuo{-}Li Wang and Yan{-}hua Huang and Tsu{-}Ting Cheng and Shih{-}Yao Chen and Yiying Li and Chun{-}Hsiang Hsu and Chih{-}Jui Lai and Wen{-}Kuan Yeh and Yilin Yang}, title = {Influence of fin number on hot-carrier injection stress induced degradation in bulk FinFETs}, journal = {Microelectron. Reliab.}, volume = {67}, pages = {89--93}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.10.015}, doi = {10.1016/J.MICROREL.2016.10.015}, timestamp = {Sat, 14 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ZhangWHCCLHLYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/MeadowsHTAKRDTM16, author = {Adam L. Meadows and Kristy M. Hawkins and Yoseph Tsegaye and Eugene Antipov and Youngnyun Kim and Lauren Raetz and Robert H. Dahl and Anna Tai and Tina Mahatdejkul{-}Meadows and Lan Xu and Lishan Zhao and Madhukar S. Dasika and Abhishek Murarka and Jacob Lenihan and Diana Eng and Joshua S. Leng and Chi{-}Li Liu and Jared W. Wenger and Hanxiao Jiang and Lily Chao and Patrick Westfall and Jefferson Lai and Savita Ganesan and Peter Jackson and Robert Mans and Darren Platt and Christopher D. Reeves and Poonam R. Saija and Gale Wichmann and Victor F. Holmes and Kirsten Benjamin and Paul W. Hill and Timothy S. Gardner and Annie E. Tsong}, title = {Rewriting yeast central carbon metabolism for industrial isoprenoid production}, journal = {Nat.}, volume = {537}, number = {7622}, pages = {694--697}, year = {2016}, url = {https://doi.org/10.1038/nature19769}, doi = {10.1038/NATURE19769}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/MeadowsHTAKRDTM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/XueLSXL16, author = {Weijia Xue and Tingting Lin and Xin Shun and Fenglei Xue and Xuejia Lai}, title = {On the estimation of the second largest eigenvalue of Markov ciphers}, journal = {Secur. Commun. Networks}, volume = {9}, number = {13}, pages = {2093--2099}, year = {2016}, url = {https://doi.org/10.1002/sec.1465}, doi = {10.1002/SEC.1465}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/XueLSXL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YehCLLLC16, author = {Chun{-}Hsien Yeh and Pei{-}Yin Chen and Yen{-}Chen Lai and Hao{-}Ting Lin and Chia{-}Hao Li and Pei{-}Hua Chang}, title = {Real-Time Digital Hardware Simulation of the Rodless Pneumatic System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {9}, pages = {853--857}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2535043}, doi = {10.1109/TCSII.2016.2535043}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YehCLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/GuanLCCC16, author = {Shuen{-}Huei Guan and Yu{-}Chi Lai and Kuo{-}Wei Chen and Hsuang{-}Ting Chou and Yung{-}Yu Chuang}, title = {A Tool for Stereoscopic Parameter Setting Based on Geometric Perceived Depth Percentage}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {26}, number = {2}, pages = {290--303}, year = {2016}, url = {https://doi.org/10.1109/TCSVT.2015.2407774}, doi = {10.1109/TCSVT.2015.2407774}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/GuanLCCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/DingZYPLMLLZ16, author = {Xiao{-}Rong Ding and Ni Zhao and Guang{-}Zhong Yang and Roderic I. Pettigrew and Benny P. L. Lo and Fen Miao and Ye Li and Jing Liu and Yuan{-}Ting Zhang}, title = {Continuous Blood Pressure Measurement From Invasive to Unobtrusive: Celebration of 200th Birth Anniversary of Carl Ludwig}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {20}, number = {6}, pages = {1455--1465}, year = {2016}, url = {https://doi.org/10.1109/JBHI.2016.2620995}, doi = {10.1109/JBHI.2016.2620995}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/DingZYPLMLLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/ChangCL16, author = {Hsuan{-}Han Chang and Kuan{-}Ting Chen and Pao{-}Lien Lai}, title = {Systematic approaches to embed cycles in balanced hypercubes}, booktitle = {15th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2016, Okayama, Japan, June 26-29, 2016}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICIS.2016.7550746}, doi = {10.1109/ICIS.2016.7550746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/ChangCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanCLLCZCT16, author = {Siao{-}Jie Yan and Jung{-}Chuan Chou and Yi{-}Hung Liao and Chih{-}Hsien Lai and Jian{-}Syun Chen and Bo{-}Yang Zhuang and Hsiang{-}Yi Chen and Ting{-}Wei Tseng}, title = {Analysis of non-ideal effects and electrochemical impedance spectroscopy of arrayed flexible NiO-based pH sensor}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {670--673}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APCCAS.2016.7804086}, doi = {10.1109/APCCAS.2016.7804086}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanCLLCZCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LeeL16, author = {Chung{-}Nan Lee and Han{-}Ting Lai}, title = {Pricing based resource allocation scheme for video multicast service in {LTE} networks}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820910}, doi = {10.1109/APSIPA.2016.7820910}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LeeL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/YehLLHCL16, author = {Wei{-}Chang Yeh and Cyuan{-}Yu Luo and Chyh{-}Ming Lai and Chi{-}Ting Hsu and Yuk Ying Chung and Jsen{-}Shung Lin}, title = {Simplified swarm optimization with modular search for the general multi-level redundancy allocation problem in series-parallel systems}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {778--784}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7743870}, doi = {10.1109/CEC.2016.7743870}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/YehLLHCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/YehLLLCL16, author = {Wei{-}Chang Yeh and Wei{-}Ting Lin and Chyh{-}Ming Lai and Yen{-}Chin Lee and Yuk Ying Chung and Jsen{-}Shung Lin}, title = {Application of simplified swarm optimization algorithm in deteriorate supply chain network problem}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {2695--2700}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7744127}, doi = {10.1109/CEC.2016.7744127}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/YehLLLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cits/JiaLL16, author = {Yin Jia and Tingting Lin and Xuejia Lai}, title = {A generic attack against white box implementation of block ciphers}, booktitle = {International Conference on Computer, Information and Telecommunication Systems, {CITS} 2016, Kunming, China, July 6-8, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CITS.2016.7546449}, doi = {10.1109/CITS.2016.7546449}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/cits/JiaLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LaiZJ16, author = {Min{-}Ge Lai and Wan{-}Ting Zeng and Chia{-}Feng Juang}, title = {Navigation for two fuzzy controlled cooperative object-carrying robots in concave maps with the consideration of dead-cycle problem}, booktitle = {2016 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {1905--1909}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FUZZ-IEEE.2016.7737923}, doi = {10.1109/FUZZ-IEEE.2016.7737923}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LaiZJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MuskardinBWKLO16, author = {Tin Muskardin and Georg Balmer and Sven Wlach and Konstantin Kondak and Maximilian Laiacker and An{\'{\i}}bal Ollero}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Landing of a fixed-wing {UAV} on a mobile ground vehicle}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {1237--1242}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487254}, doi = {10.1109/ICRA.2016.7487254}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/MuskardinBWKLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/LaiWSHFT16, author = {Ying{-}Hui Lai and Syu{-}Siang Wang and Yu{-}Ting Su and Cheng Han{-}Che and Fan Kang Fu and Yu Tsao}, title = {Improving the performance of speech perception in noisy environment based on an {FAME} strategy}, booktitle = {10th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2016, Tianjin, China, October 17-20, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCSLP.2016.7918430}, doi = {10.1109/ISCSLP.2016.7918430}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/LaiWSHFT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/LaiCWKKLTCW15, author = {Poh{-}Chin Lai and Chun Bong Chow and Ho Ting Wong and Kim{-}hung Kwong and Yat Wah Kwan and Shao Haei Liu and Wah Kun Tong and Wai Keung Cheung and Wing Leung Wong}, title = {An early warning system for detecting {H1N1} disease outbreak - a spatio-temporal approach}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {29}, number = {7}, pages = {1251--1268}, year = {2015}, url = {https://doi.org/10.1080/13658816.2015.1030671}, doi = {10.1080/13658816.2015.1030671}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gis/LaiCWKKLTCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/ChangLL15, author = {Ben{-}Jye Chang and Ying{-}Hsin Liang and Jiun{-}Ting Lai}, title = {Performance analyses of minimising emergency message collisions and maximising network throughput in {IEEE} 802.11p {VANET} network}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {18}, number = {4}, pages = {205--221}, year = {2015}, url = {https://doi.org/10.1504/IJAHUC.2015.069057}, doi = {10.1504/IJAHUC.2015.069057}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/ChangLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HuaLYC15, author = {Kai{-}Lung Hua and Chao{-}Ting Lai and Chuang{-}Wen You and Wen{-}Huang Cheng}, title = {An efficient pitch-by-pitch extraction algorithm through multimodal information}, journal = {Inf. Sci.}, volume = {294}, pages = {64--77}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2014.09.001}, doi = {10.1016/J.INS.2014.09.001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HuaLYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/DaiLCT15, author = {Hong{-}Jie Dai and Po{-}Ting Lai and Yung{-}Chun Chang and Richard Tzong{-}Han Tsai}, title = {Enhancing of chemical compound and drug name recognition using representative tag scheme and fine-grained tokenization}, journal = {J. Cheminformatics}, volume = {7}, number = {{S-1}}, pages = {S14}, year = {2015}, url = {https://doi.org/10.1186/1758-2946-7-S1-S14}, doi = {10.1186/1758-2946-7-S1-S14}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/DaiLCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LuLTTLK15, author = {Hsueh{-}Yi Lu and Tzu{-}Chi Li and Yong{-}Kwang Tu and Jui{-}Chang Tsai and Hong{-}Shiee Lai and Lu{-}Ting Kuo}, title = {Predicting Long-Term Outcome After Traumatic Brain Injury Using Repeated Measurements of Glasgow Coma Scale and Data Mining Methods}, journal = {J. Medical Syst.}, volume = {39}, number = {2}, pages = {14}, year = {2015}, url = {https://doi.org/10.1007/s10916-014-0187-x}, doi = {10.1007/S10916-014-0187-X}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/LuLTTLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungCYKLSHHLSHC15, author = {Chun{-}Hsiung Hung and Meng{-}Fan Chang and Yih{-}Shan Yang and Yao{-}Jen Kuo and Tzu{-}Neng Lai and Shin{-}Jang Shen and Jo{-}Yu Hsu and Shuo{-}Nan Hung and Hang{-}Ting Lue and Yen{-}Hao Shih and Shih{-}Lin Huang and Ti{-}Wen Chen and Tzung Shen Chen and Chung Kuang Chen and Chi{-}Yu Hung and Chih{-}Yuan Lu}, title = {Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate {BE-SONOS} {NAND} Flash Against Cross-Layer Process Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {6}, pages = {1491--1501}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413841}, doi = {10.1109/JSSC.2015.2413841}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HungCYKLSHHLSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LiWMBWJWWQLZZYLHCLLZLLW15, author = {Yanhui Li and Changliang Wang and Zhengqiang Miao and Xiaoman Bi and Deng Wu and Nana Jin and Liqiang Wang and Hao Wu and Kun Qian and Chunhua Li and Ting Zhang and Chunrui Zhang and Ying Yi and Hongyan Lai and Yongfei Hu and Lixin Cheng and Kwong{-}Sak Leung and Xiaobo Li and Fengmin Zhang and Kongning Li and Xia Li and Dong Wang}, title = {ViRBase: a resource for virus-host ncRNA-associated interactions}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {578--582}, year = {2015}, url = {https://doi.org/10.1093/nar/gku903}, doi = {10.1093/NAR/GKU903}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LiWMBWJWWQLZZYLHCLLZLLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/YangLLJ15, author = {Tingting Yang and Chengzhe Lai and Rongxing Lu and Rong Jiang}, title = {{EAPSG:} Efficient authentication protocol for secure group communications in maritime wideband communication networks}, journal = {Peer-to-Peer Netw. Appl.}, volume = {8}, number = {2}, pages = {216--228}, year = {2015}, url = {https://doi.org/10.1007/s12083-014-0251-9}, doi = {10.1007/S12083-014-0251-9}, timestamp = {Sat, 01 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/YangLLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LinCLH15, author = {Ying{-}Dar Lin and Edward T.{-}H. Chu and Yuan{-}Cheng Lai and Ting{-}Jun Huang}, title = {Time-and-Energy-Aware Computation Offloading in Handheld Devices to Coprocessors and Clouds}, journal = {{IEEE} Syst. J.}, volume = {9}, number = {2}, pages = {393--405}, year = {2015}, url = {https://doi.org/10.1109/JSYST.2013.2289556}, doi = {10.1109/JSYST.2013.2289556}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LinCLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChienCHLW15, author = {Hsi{-}An Chien and Ye{-}Hong Chen and Szu{-}Yuan Han and Hsiu{-}Yu Lai and Ting{-}Chi Wang}, title = {On Refining Row-Based Detailed Placement for Triple Patterning Lithography}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {5}, pages = {778--793}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2408253}, doi = {10.1109/TCAD.2015.2408253}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChienCHLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LaiCCH15, author = {Yi{-}Hsuan Lai and Yi{-}Lei Chen and Chuan{-}Ju Chiou and Chiou{-}Ting Hsu}, title = {Single-Image Dehazing via Optimal Transmission Map Under Scene Priors}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {25}, number = {1}, pages = {1--14}, year = {2015}, url = {https://doi.org/10.1109/TCSVT.2014.2329381}, doi = {10.1109/TCSVT.2014.2329381}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LaiCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/JuangLZ15, author = {Chia{-}Feng Juang and Min{-}Ge Lai and Wan{-}Ting Zeng}, title = {Evolutionary Fuzzy Control and Navigation for Two Wheeled Robots Cooperatively Carrying an Object in Unknown Environments}, journal = {{IEEE} Trans. Cybern.}, volume = {45}, number = {9}, pages = {1731--1743}, year = {2015}, url = {https://doi.org/10.1109/TCYB.2014.2359966}, doi = {10.1109/TCYB.2014.2359966}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/JuangLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LaiLCC15, author = {Kuan{-}Ting Lai and Dong Liu and Shih{-}Fu Chang and Ming{-}Syan Chen}, title = {Learning Sample Specific Weights for Late Fusion}, journal = {{IEEE} Trans. Image Process.}, volume = {24}, number = {9}, pages = {2772--2783}, year = {2015}, url = {https://doi.org/10.1109/TIP.2015.2423560}, doi = {10.1109/TIP.2015.2423560}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/LaiLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LaiLWS15, author = {Zhiquan Lai and King Tin Lam and Cho{-}Li Wang and Jinshu Su}, title = {Latency-aware {DVFS} for efficient power state transitions on many-core architectures}, journal = {J. Supercomput.}, volume = {71}, number = {7}, pages = {2720--2747}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1415-y}, doi = {10.1007/S11227-015-1415-Y}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LaiLWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChouLKR15, author = {Jerry Chi{-}Yuan Chou and Ting{-}Hsuan Lai and Jinoh Kim and Doron Rotem}, title = {Exploiting Replication for Energy-Aware Scheduling in Disk Storage Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {26}, number = {10}, pages = {2734--2749}, year = {2015}, url = {https://doi.org/10.1109/TPDS.2014.2359011}, doi = {10.1109/TPDS.2014.2359011}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChouLKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LaiCW15, author = {Bo{-}Cheng Charles Lai and Kuan{-}Ting Chen and Ping{-}Ru Wu}, title = {A High-Performance Double-Layer Counting Bloom Filter for Multicore Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {11}, pages = {2473--2486}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2370761}, doi = {10.1109/TVLSI.2014.2370761}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LaiCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YeHHCGLT0CLCEKL15, author = {Jing Ye and Yu Huang and Yu Hu and Wu{-}Tung Cheng and Ruifeng Guo and Liyang Lai and Ting{-}Pu Tai and Xiaowei Li and Wei{-}pin Changchien and Daw{-}Ming Lee and Ji{-}Jan Chen and Sandeep C. Eruvathi and Kartik K. Kumara and Charles C. C. Liu and Sam Pan}, title = {Diagnosis and Layout Aware {(DLA)} Scan Chain Stitching}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {3}, pages = {466--479}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2313563}, doi = {10.1109/TVLSI.2014.2313563}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YeHHCGLT0CLCEKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LaiCCF15, author = {Yu{-}Chi Lai and Hsuan{-}Ting Chou and Kuo{-}Wei Chen and Shaohua Fan}, title = {Robust and efficient adaptive direct lighting estimation}, journal = {Vis. Comput.}, volume = {31}, number = {1}, pages = {83--91}, year = {2015}, url = {https://doi.org/10.1007/s00371-013-0908-z}, doi = {10.1007/S00371-013-0908-Z}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/LaiCCF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/WuLC15, author = {Ting{-}Ying Wu and Chow Yin Lai and Silu Chen}, title = {An adaptive neural network compensator for decoupling of dynamic effects of a macro-mini manipulator}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, pages = {1427--1432}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/AIM.2015.7222741}, doi = {10.1109/AIM.2015.7222741}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/WuLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LeeLWLLLC15, author = {Wei{-}Han Lee and Jyi{-}Tsong Lin and Yu{-}Chun Wang and Po{-}Hsieh Lin and Chien{-}Chia Lai and Yong{-}Huang Lin and Tin{-}Chun Chang}, title = {Using {GIDL} mechanism for low-power consumption and data retention time improvement in a double-gate nanowire {TFT} 1T-DRAM with Fin-Gate and Pillar-Body structure}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517161}, doi = {10.1109/ASICON.2015.7517161}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LeeLWLLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChienLWHHL15, author = {Hsiao{-}Wei Chien and Jyun{-}Long Lai and Chao{-}Chieh Wu and Chih{-}Tsun Huang and Ting{-}Shuo Hsu and Jing{-}Jia Liou}, title = {Design of a scalable many-core processor for embedded applications}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {24--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7058928}, doi = {10.1109/ASPDAC.2015.7058928}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChienLWHHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinLCPCKCLHL15, author = {Yen{-}Ting Lin and Shin{-}Chi Lai and Shin{-}Hao Chen and Shen{-}Yu Peng and Ke{-}Horng Chen and Sheng Kang and Kevin Cheng and Ying{-}Hsi Lin and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {99.4{\%} peak audio signal recovery rate and ultra-low 0.32dB matching error with 10Hz high resolution filter fitting wearable aided speech compensation system}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men, China, November 9-11, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASSCC.2015.7387500}, doi = {10.1109/ASSCC.2015.7387500}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LinLCPCKCLHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdcloud/QuLHSXG15, author = {Ting Qu and Lailong Luo and Zhiyao Hu and Liang Shi and Junjie Xie and Deke Guo}, editor = {Keqiu Li and Heng Qi and Jean{-}Luc Gaudiot and Jay Kishigami and Hongyi Wu and Kuan{-}Ching Li and Yongwei Wu}, title = {cUpdate: Updating Software-Defined Networks with the Least Traffic Migration}, booktitle = {Fifth {IEEE} International Conference on Big Data and Cloud Computing, BDCloud 2015, Dalian, China, August 26-28, 2015}, pages = {87--92}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BDCloud.2015.47}, doi = {10.1109/BDCLOUD.2015.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bdcloud/QuLHSXG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/WangLL15, author = {Yun{-}Ting Wang and Jia{-}Ying Lee and Bo{-}Cheng Charles Lai}, title = {Computation and Communication Aware task graph Scheduling on multi-GPU systems}, booktitle = {2015 {IEEE} International Conference on Digital Signal Processing, {DSP} 2015, Singapore, July 21-24, 2015}, pages = {115--119}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICDSP.2015.7251841}, doi = {10.1109/ICDSP.2015.7251841}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/WangLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictd/ZamanWYTJ15, author = {Tariq Zaman and Heike Winschiers{-}Theophilus and Alvin W. Yeo and Lai Chiu Ting and Garen Jengan}, editor = {Arul Chib and Matthew Kam and Jenna Burrell}, title = {Reviving an indigenous rainforest sign language: digital Oroo' adventure game}, booktitle = {Proceedings of the Seventh International Conference on Information and Communication Technologies and Development, {ICTD} 2015, Singapore, May 15 - 18, 2015}, pages = {69:1--69:4}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2737856.2737885}, doi = {10.1145/2737856.2737885}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictd/ZamanWYTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LaiHKL15, author = {Wei Kuang Lai and Chih{-}Wen Hsu and Ting{-}Huan Kuo and Mei{-}Tso Lin}, title = {A {LTE} downlink scheduling mechanism with the prediction of packet delay}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {257--262}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182546}, doi = {10.1109/ICUFN.2015.7182546}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LaiHKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChenLLLWK15, author = {Chang{-}Ting Chen and Yi{-}Chien Liao and Chien{-}Fu Lai and Bor{-}Shyh Lin and Che{-}Chuan Wang and Jinn{-}Rung Kuo}, editor = {Jeng{-}Shyang Pan and Ivan Lee and Hsiang{-}Cheh Huang and Ching{-}Yu Yang}, title = {Optical Technique for Estimating Cerebral Perfusion Pressure}, booktitle = {2015 International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2015, Adelaide, Australia, September 23-25, 2015}, pages = {57--59}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IIH-MSP.2015.15}, doi = {10.1109/IIH-MSP.2015.15}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChenLLLWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/BastaBLK15, author = {Arsany Basta and Andreas Blenk and Yu{-}Ting Lai and Wolfgang Kellerer}, editor = {Remi Badonnel and Jin Xiao and Shingo Ata and Filip De Turck and Voicu Groza and Carlos Raniery Paula dos Santos}, title = {HyperFlex: Demonstrating control-plane isolation for virtual software-defined networks}, booktitle = {{IFIP/IEEE} International Symposium on Integrated Network Management, {IM} 2015, Ottawa, ON, Canada, 11-15 May, 2015}, pages = {1163--1164}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INM.2015.7140460}, doi = {10.1109/INM.2015.7140460}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/im/BastaBLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/LinLTL15, author = {Yu{-}Fan Lin and Ting{-}Peng Liang and Pei{-}Lee Teh and Chia{-}Yin Lai}, editor = {Atreyi Kankanhalli and Andrew Burton{-}Jones and Thompson S. H. Teo}, title = {Can Time Pressure and Discount Strategy of Mobile Coupons Affect Consumers' Purchase Intention}, booktitle = {19th Pacific Asia Conference on Information Systems, {PACIS} 2015, Singapore, July 5-9, 2015}, pages = {62}, year = {2015}, url = {http://aisel.aisnet.org/pacis2015/62}, timestamp = {Sat, 12 Dec 2015 19:19:58 +0100}, biburl = {https://dblp.org/rec/conf/pacis/LinLTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LinYL15, author = {Wun{-}Ting Lin and Chen{-}Ting Yeh and Shang{-}Hong Lai}, editor = {Yo{-}Sung Ho and Jitao Sang and Yong Man Ro and Junmo Kim and Fei Wu}, title = {Hierarchical Interpolation-Based Disocclusion Region Recovery for Two-View to N-View Conversion System}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2015 - 16th Pacific-Rim Conference on Multimedia, Gwangju, South Korea, September 16-18, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9315}, pages = {642--650}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24078-7\_66}, doi = {10.1007/978-3-319-24078-7\_66}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pcm/LinYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pg/YehHHL15, author = {Chen{-}Ting Yeh and Tien{-}Yu Ho and Szu{-}Hao Huang and Shang{-}Hong Lai}, editor = {Jos Stam and Niloy J. Mitra and Kun Xu}, title = {Simultaneous Stereo Rectification and Distortion Correction with Application to DoF Synthesis}, booktitle = {23rd Pacific Conference on Computer Graphics and Applications, {PG} 2015 - Short Papers, Beijing, China, October 7-9, 2015}, pages = {47--52}, publisher = {Eurographics Association}, year = {2015}, url = {https://doi.org/10.2312/pg.20151280}, doi = {10.2312/PG.20151280}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pg/YehHHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LinLL15, author = {Wei{-}Ting Lin and I{-}Wei Lai and Chia{-}Han Lee}, title = {Distributed energy cooperation for energy harvesting nodes using reinforcement learning}, booktitle = {26th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2015, Hong Kong, China, August 30 - September 2, 2015}, pages = {1584--1588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/PIMRC.2015.7343551}, doi = {10.1109/PIMRC.2015.7343551}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LinLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenTLLYKC15, author = {Chin{-}Chi Chen and Yung{-}Che Tsai and I{-}I Liu and Chia{-}Chun Lai and Yi{-}Ting Yeh and Shu{-}Yu Kuo and Yao{-}Hsin Chou}, title = {A Novel Metaheuristic: Jaguar Algorithm with Learning Behavior}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {1595--1600}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.282}, doi = {10.1109/SMC.2015.282}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenTLLYKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/DowHLTTWWYJCHHH15, author = {Hsu{-}Kang Dow and Ching{-}Hua Huang and Chun{-}Hung Lai and Kai{-}Hsiang Tsao and Sheng{-}Chih Tseng and Kun{-}Yi Wu and Ting{-}Hsuan Wu and Ho{-}Chun Yang and Da{-}Jing Zhang{-}Jian and Yun{-}Nan Chang and Steve Haga and Shen{-}Fu Hsiao and Ing{-}Jer Huang and Shiann{-}Rong Kuang and Chung{-}Nan Lee}, title = {An OpenGL {ES} 2.0 3D graphics SoC with versatile {HW/SW} development support}, booktitle = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-DAT.2015.7114496}, doi = {10.1109/VLSI-DAT.2015.7114496}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/DowHLTTWWYJCHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/WuCCWSPHCHTPYUW15, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Tan and Aravind Padyana and Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Juo{-}Jung Hung and Massimo Brandolini and Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hung Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Gomez}, title = {A 2.7mW/Channel 48-to-1000MHz Direct Sampling Full-Band Cable Receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {214}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231263}, doi = {10.1109/VLSIC.2015.7231263}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/WuCCWSPHCHTPYUW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2015-1, editor = {Sabri Arik and Tingwen Huang and Weng Kin Lai and Qingshan Liu}, title = {Neural Information Processing - 22nd International Conference, {ICONIP} 2015, Istanbul, Turkey, November 9-12, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9489}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26532-2}, doi = {10.1007/978-3-319-26532-2}, isbn = {978-3-319-26531-5}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2015-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2015-2, editor = {Sabri Arik and Tingwen Huang and Weng Kin Lai and Qingshan Liu}, title = {Neural Information Processing - 22nd International Conference, {ICONIP} 2015, Istanbul, Turkey, November 9-12, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9490}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26535-3}, doi = {10.1007/978-3-319-26535-3}, isbn = {978-3-319-26534-6}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2015-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2015-3, editor = {Sabri Arik and Tingwen Huang and Weng Kin Lai and Qingshan Liu}, title = {Neural Information Processing - 22nd International Conference, {ICONIP} 2015, Istanbul, Turkey, November 9-12, 2015, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9491}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26555-1}, doi = {10.1007/978-3-319-26555-1}, isbn = {978-3-319-26554-4}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2015-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2015-4, editor = {Sabri Arik and Tingwen Huang and Weng Kin Lai and Qingshan Liu}, title = {Neural Information Processing - 22nd International Conference, {ICONIP} 2015, Istanbul, Turkey, November 9-12, 2015, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {9492}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26561-2}, doi = {10.1007/978-3-319-26561-2}, isbn = {978-3-319-26560-5}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/2015-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/XiaoLL14, author = {Tingting Xiao and Ke Liu and Kin Keung Lai}, title = {Tax Evasion: a Two-period Model}, journal = {Asia Pac. J. Oper. Res.}, volume = {31}, number = {3}, year = {2014}, url = {https://doi.org/10.1142/S0217595914500171}, doi = {10.1142/S0217595914500171}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/XiaoLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TsaiL14, author = {Richard Tzong{-}Han Tsai and Po{-}Ting Lai}, title = {A resource-saving collective approach to biomedical semantic role labeling}, journal = {{BMC} Bioinform.}, volume = {15}, pages = {160}, year = {2014}, url = {https://doi.org/10.1186/1471-2105-15-160}, doi = {10.1186/1471-2105-15-160}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/TsaiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/LaiCWC14, author = {Wei Kuang Lai and Yi{-}Uan Chen and Tin{-}Yu Wu and Ya{-}Yin Chen}, title = {Dual migration for mobile cloud service in 4G/LTE network}, journal = {Comput. Syst. Sci. Eng.}, volume = {29}, number = {6}, year = {2014}, timestamp = {Mon, 27 Jun 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/LaiCWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YangLLL14, author = {Kai{-}Ting Yang and Wei Kuang Lai and Shu{-}Min Li and Yuh{-}Chung Lin}, title = {Event-Based Clustering Architecture for Power Efficiency in Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/612590}, doi = {10.1155/2014/612590}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YangLLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/ChangCL14, author = {Kuei{-}Hu Chang and Yung{-}Chia Chang and Pei{-}Ting Lai}, title = {Applying the concept of exponential approach to enhance the assessment capability of {FMEA}}, journal = {J. Intell. Manuf.}, volume = {25}, number = {6}, pages = {1413--1427}, year = {2014}, url = {https://doi.org/10.1007/s10845-013-0747-9}, doi = {10.1007/S10845-013-0747-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/ChangCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TingHLCCKCSL14, author = {Hua Ting and Ren{-}Jing Huang and Ching{-}Hsiang Lai and Shen{-}Wen Chang and Ai{-}Hui Chung and Teng{-}Yao Kuo and Ching{-}Haur Chang and Tung{-}Sheng Shih and Shin{-}Da Lee}, title = {Evaluation of Candidate Measures for Home-Based Screening of Sleep Disordered Breathing in Taiwanese Bus Drivers}, journal = {Sensors}, volume = {14}, number = {5}, pages = {8126--8149}, year = {2014}, url = {https://doi.org/10.3390/s140508126}, doi = {10.3390/S140508126}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TingHLCCKCSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/XuPCCFTN14, author = {Xuyuan Xu and Lai{-}Man Po and Terence Chun{-}Ho Cheung and Kwok{-}Wai Cheung and Litong Feng and Chi{-}Wang Ting and Ka{-}Ho Ng}, title = {Adaptive depth truncation filter for {MVC} based compressed depth image}, journal = {Signal Process. Image Commun.}, volume = {29}, number = {3}, pages = {316--331}, year = {2014}, url = {https://doi.org/10.1016/j.image.2013.12.005}, doi = {10.1016/J.IMAGE.2013.12.005}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/XuPCCFTN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ZhengDPLZZYZZ14, author = {Yali Zheng and Xiao{-}Rong Ding and Carmen Chung Yan Poon and Benny Ping Lai Lo and Heye Zhang and Xiao{-}Lin Zhou and Guang{-}Zhong Yang and Ni Zhao and Yuan{-}Ting Zhang}, title = {Unobtrusive Sensing and Wearable Devices for Health Informatics}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {61}, number = {5}, pages = {1538--1554}, year = {2014}, url = {https://doi.org/10.1109/TBME.2014.2309951}, doi = {10.1109/TBME.2014.2309951}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ZhengDPLZZYZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LaiCWO14, author = {Wei Kuang Lai and Yi{-}Uan Chen and Tin Yu Wu and Mohammad S. Obaidat}, title = {Towards a framework for large-scale multimedia data storage and processing on Hadoop platform}, journal = {J. Supercomput.}, volume = {68}, number = {1}, pages = {488--507}, year = {2014}, url = {https://doi.org/10.1007/s11227-013-1050-4}, doi = {10.1007/S11227-013-1050-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LaiCWO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TuKTKLL14, author = {Chien{-}Ming Tu and Shih{-}Han Ku and Ju{-}Chi Tseng and Hsiang{-}Ting Kao and Fang{-}Sun Lu and Feipei Lai}, title = {{CHT} cloud orchestration: An integrated cloud system of virtualization platform}, booktitle = {The 16th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2014, Hsinchu, Taiwan, September 17-19, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APNOMS.2014.6996544}, doi = {10.1109/APNOMS.2014.6996544}, timestamp = {Wed, 13 Nov 2019 15:14:09 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/TuKTKLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/LiLZF14, author = {Pengye Li and Dakun Lai and Fei Zhang and Ting Feng}, editor = {Dong Xie and Ron Yang and Jinguang Sun and Lipo Wang and Xiaowei Hui and Ying Chen}, title = {Numerical modeling and simulation based on finite element method for internal cardiac defibrillation in a human torso}, booktitle = {7th International Conference on Biomedical Engineering and Informatics, {BMEI} 2014, Dalian, China, October 14-16, 2014}, pages = {456--460}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BMEI.2014.7002818}, doi = {10.1109/BMEI.2014.7002818}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/LiLZF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/LaiHYL14, author = {Wen{-}Cheng Lai and Jhin{-}Fang Huang and Tin Ye and Wang{-}Tyng Lay}, title = {System in package of bandgap voltage reference circuit with sub-1-V operation in {CMOS} for communication control and BioMedical applications}, booktitle = {{IEEE} China Summit {\&} International Conference on Signal and Information Processing, ChinaSIP 2014, Xi'an, China, July 9-13, 2014}, pages = {646--649}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ChinaSIP.2014.6889323}, doi = {10.1109/CHINASIP.2014.6889323}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chinasip/LaiHYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LaiYCC14, author = {Kuan{-}Ting Lai and Felix X. Yu and Ming{-}Syan Chen and Shih{-}Fu Chang}, title = {Video Event Detection by Inferring Temporal Instance Labels}, booktitle = {2014 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2014, Columbus, OH, USA, June 23-28, 2014}, pages = {2251--2258}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CVPR.2014.288}, doi = {10.1109/CVPR.2014.288}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LaiYCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PopovychLWLLW14, author = {Sergiy Popovych and Hung{-}Hao Lai and Chieh{-}Min Wang and Yih{-}Lang Li and Wen{-}Hao Liu and Ting{-}Chi Wang}, title = {Density-aware Detailed Placement with Instant Legalization}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {122:1--122:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593120}, doi = {10.1145/2593069.2593120}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PopovychLWLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LaiLCC14, author = {Kuan{-}Ting Lai and Dong Liu and Ming{-}Syan Chen and Shih{-}Fu Chang}, editor = {David J. Fleet and Tom{\'{a}}s Pajdla and Bernt Schiele and Tinne Tuytelaars}, title = {Recognizing Complex Events in Videos by Learning Key Static-Dynamic Evidences}, booktitle = {Computer Vision - {ECCV} 2014 - 13th European Conference, Zurich, Switzerland, September 6-12, 2014, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8691}, pages = {675--688}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-10578-9\_44}, doi = {10.1007/978-3-319-10578-9\_44}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/LaiLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LaiL14, author = {Yi{-}Ting Lai and Chun{-}Min Lo}, title = {Assessing in vitro cytotoxicity of cell micromotion by Hilbert-Huang Transform}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {3200--3203}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944303}, doi = {10.1109/EMBC.2014.6944303}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LaiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XuPCFCTN14, author = {Xuyuan Xu and Lai{-}Man Po and Chun{-}Ho Cheung and Litong Feng and Kwok{-}Wai Cheung and Chi{-}Wang Ting and Ka{-}Ho Ng}, title = {Adaptive block truncation filter for {MVC} depth image enhancement}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {544--548}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6853655}, doi = {10.1109/ICASSP.2014.6853655}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XuPCFCTN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/GongTCLWC14, author = {Cihun{-}Siyong Alex Gong and Yuan{-}Ting Tsai and Ji{-}An Chen and Hsin{-}Yi Lai and Wei{-}Che Wei and You{-}Yin Chen}, title = {Functional connectivity altering in hippocampus with closed-loop deep brain stimulation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2014, Taipei, Taiwan, May 26-28, 2014}, pages = {7--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE-TW.2014.6904106}, doi = {10.1109/ICCE-TW.2014.6904106}, timestamp = {Thu, 25 Nov 2021 16:44:13 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/GongTCLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccve/ChangLL14, author = {Ben{-}Jye Chang and Ying{-}Hsin Liang and Jiun{-}Ting Lai}, title = {Minimizing emergency message collisions and maximizing network throughput in {IEEE} 802.11p vehicular wireless network}, booktitle = {International Conference on Connected Vehicles and Expo, {ICCVE} 2014, Vienna, Austria, November 3-7, 2014}, pages = {635--640}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCVE.2014.7297626}, doi = {10.1109/ICCVE.2014.7297626}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/iccve/ChangLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LamSHWLZY14, author = {King Tin Lam and Jinghao Shi and Dominic Hung and Cho{-}Li Wang and Zhiquan Lai and Wangbin Zhu and Youliang Yan}, title = {Rhymes: {A} shared virtual memory system for non-coherent tiled many-core architectures}, booktitle = {20th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2014, Hsinchu, Taiwan, December 16-19, 2014}, pages = {183--190}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PADSW.2014.7097807}, doi = {10.1109/PADSW.2014.7097807}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LamSHWLZY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LaiCCCSH14, author = {Chih{-}Chuan Lai and Yu{-}Ting Chen and Kuan{-}Wen Chen and Shen{-}Chi Chen and Sheng{-}Wen Shih and Yi{-}Ping Hung}, title = {Appearance-Based Gaze Tracking with Free Head Movement}, booktitle = {22nd International Conference on Pattern Recognition, {ICPR} 2014, Stockholm, Sweden, August 24-28, 2014}, pages = {1869--1873}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICPR.2014.327}, doi = {10.1109/ICPR.2014.327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/LaiCCCSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LinLCHL14, author = {Ping{-}Yi Lin and Wei{-}Ting Lee and Shang{-}Wei Chen and Jonq{-}Chin Hwang and Yen{-}Shin Lai}, title = {Infinite speed drives control with {MTPA} and {MTPV} for interior permanent magnet synchronous motor}, booktitle = {{IECON} 2014 - 40th Annual Conference of the {IEEE} Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}, pages = {668--674}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IECON.2014.7048572}, doi = {10.1109/IECON.2014.7048572}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/LinLCHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LaiLYJG14, author = {Shin{-}Chi Lai and Wen Chih Li and Sin He You and Da Wei Jhuang and Shih Ting Gao}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {Low-Cost and Low-Complexity Electrocardiogram Signal Recorder Design Based on Arduino Platform}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {309--312}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.83}, doi = {10.1109/IIH-MSP.2014.83}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/LaiLYJG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChangLHM14, author = {Ting{-}Yao Chang and Wei{-}Yu Lai and Tien{-}Ruey Hsiang and Ching{-}Hao Mao}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Detecting Malware in Malicious Virtual Machines Using Tensor Analysis Techniques}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {821--830}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-821}, doi = {10.3233/978-1-61499-484-8-821}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChangLHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LeeCKTHNCLCC14, author = {Cheng{-}Ting Lee and Chun{-}Min Chang and Chung{-}Yi Kao and Hua{-}Min Tseng and Henpai Hsu and Chin{-}Chung Nien and Li{-}Huei Chen and Li{-}Yen Lai and Troy{-}Chi Chiu and Pai H. Chou}, title = {Smart Insulating Container with Anti-theft Features by {M2M} Tracking}, booktitle = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, pages = {140--147}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/iThings.2014.29}, doi = {10.1109/ITHINGS.2014.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/LeeCKTHNCLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/WenLLP14, author = {Yu Ting Wen and Chien Hsiang Lai and Po{-}Ruey Lei and Wen{-}Chih Peng}, editor = {Arkady B. Zaslavsky and Panos K. Chrysanthis and Christian Becker and Jadwiga Indulska and Mohamed F. Mokbel and Daniela Nicklas and Chi{-}Yin Chow}, title = {RouteMiner: Mining Ship Routes from a Massive Maritime Trajectories}, booktitle = {{IEEE} 15th International Conference on Mobile Data Management, {MDM} 2014, Brisbane, Australia, July 14-18, 2014 - Volume 1}, pages = {353--356}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MDM.2014.52}, doi = {10.1109/MDM.2014.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/WenLLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/BaoYWCSLL14, author = {Tao Bao and Peijiang Yuan and Tianmiao Wang and Dongdong Chen and Zhenyun Shi and Yong Li and Ting Lai}, title = {Application and experiment of tracking control method for {AGV}}, booktitle = {International Conference on Multisensor Fusion and Information Integration for Intelligent Systems, {MFI} 2014, Beijing, China, September 28-29, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MFI.2014.6997706}, doi = {10.1109/MFI.2014.6997706}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mfi/BaoYWCSLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/LaiKCL14, author = {Wei Kuang Lai and Ting{-}Huan Kuo and Chi{-}Hua Chen and Dai{-}Rong Lee}, editor = {Shyue{-}Liang Leon Wang and Yu{-}Hui Tao and Liming Chen and Chung{-}Nan Lee}, title = {A Vehicle Speed Estimation Mechanism Using Handovers and Call Arrivals of Cellular Networks}, booktitle = {Proceedings of the 12th International Conference on Advances in Mobile Computing and Multimedia, Kaohsiung, Taiwan, December 8-10, 2014}, pages = {19--26}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2684103.2684134}, doi = {10.1145/2684103.2684134}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/LaiKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChenYLCSLTL14, author = {Lichin Chen and Hui{-}Chu Yu and Hung{-}Chang Lee and Yu{-}Fang Chung and Rung{-}Ji Shang and Hsiu{-}Yun Liu and Ching{-}Ting Tan and Feipei Lai}, editor = {Kaija Saranto and Charlotte A. Weaver and Polun Chang}, title = {Improving Inpatient Fall Prevention Strategies Using Interactive Data Repository Information System}, booktitle = {Nursing Informatics 2014 - East Meets West eSMART+ - Proceedings of the 12th International Congress on Nursing Informatics, Taipei, Taiwan, June 21-25, 2014}, series = {Studies in Health Technology and Informatics}, volume = {201}, pages = {87--93}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-415-2-87}, doi = {10.3233/978-1-61499-415-2-87}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ni/ChenYLCSLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/LaiTL14, author = {Tsai{-}Ya Lai and Yu{-}Ting Tseng and Chin{-}Ni Lee}, editor = {Kaija Saranto and Charlotte A. Weaver and Polun Chang}, title = {Physician and Consumer Acceptance of the Traditional Chinese Medicine Clinical Practice Support Sustem {(TCMCPSS)}}, booktitle = {Nursing Informatics 2014 - East Meets West eSMART+ - Proceedings of the 12th International Congress on Nursing Informatics, Taipei, Taiwan, June 21-25, 2014}, series = {Studies in Health Technology and Informatics}, volume = {201}, pages = {321--327}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-415-2-321}, doi = {10.3233/978-1-61499-415-2-321}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/LaiTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nordichi/NieminenLTRKVLJKLKB14, author = {Marko Nieminen and Juha Laine and Sampo Ter{\"{a}}s and Mikael Runonen and Virpi Kalakoski and Teppo Valtonen and Jani Lukander and Timo Jokela and Johanna Kaipio and Tinja L{\"{a}}{\"{a}}veri and Andr{\'{e}} Kushniruk and Elizabeth Boryzki}, editor = {Virpi Roto and Jonna H{\"{a}}kkil{\"{a}} and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen{-}Vainio{-}Mattila and Oskar Juhlin and Thomas Olsson and Ebba Thora Hvannberg}, title = {How to involve users in government system procurement?}, booktitle = {Proceedings of the 8th Nordic Conference on Human-Computer Interaction: Fun, Fast, Foundational, Helsinki, Finland, October 26-30, 2014}, pages = {805--808}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2639189.2654832}, doi = {10.1145/2639189.2654832}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nordichi/NieminenLTRKVLJKLKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/ChenLTCHT14, author = {Sheng{-}Wei Chen and Po{-}Ting Lai and Yi{-}Lin Tsai and Jay Kuan{-}Chieh Chung and Sherry Shih{-}Huan Hsiao and Richard Tzong{-}Han Tsai}, editor = {Noriko Kando and Hideo Joho and Kazuaki Kishida}, title = {{NCU} {IISR} System for {NTCIR-11} MedNLP-2 Task}, booktitle = {Proceedings of the 11th {NTCIR} Conference on Evaluation of Information Access Technologies, NTCIR-11, National Center of Sciences, Tokyo, Japan, December 9-12, 2014}, publisher = {National Institute of Informatics {(NII)}}, year = {2014}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings11/pdf/NTCIR/MEDNLP/06-NTCIR11-MEDNLP-ChenSW.pdf}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/ChenLTCHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LaiYWWCL14, author = {Ting Lai and Peijiang Yuan and Tianmiao Wang and Chengkun Wang and Dongdong Chen and Yong Li}, title = {An AGV-based teaching approach on experiments of mechatronics course}, booktitle = {2014 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2014, Bali, Indonesia, December 5-10, 2014}, pages = {2104--2109}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ROBIO.2014.7090647}, doi = {10.1109/ROBIO.2014.7090647}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/LaiYWWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/LaiLWS14, author = {Zhiquan Lai and King Tin Lam and Cho{-}Li Wang and Jinshu Su}, title = {A Power Modelling Approach for Many-Core Architectures}, booktitle = {2014 10th International Conference on Semantics, Knowledge and Grids, Beijing, China, August 27-29, 2014}, pages = {128--132}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SKG.2014.10}, doi = {10.1109/SKG.2014.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/skg/LaiLWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiHHLYCL14, author = {Jyu{-}Yuan Lai and Chih{-}Tsun Huang and Ting{-}Shuo Hsu and Jing{-}Jia Liou and Tung{-}Hua Yeh and Liang{-}Chia Cheng and Juin{-}Ming Lu}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Methodology of exploring {ESL/RTL} many-core platforms for developing embedded parallel applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {286--291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948942}, doi = {10.1109/SOCC.2014.6948942}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiHHLYCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/YangLT14, author = {Yueh{-}Lin Yang and Po{-}Ting Lai and Richard Tzong{-}Han Tsai}, editor = {Shin{-}Ming Cheng and Min{-}Yuh Day}, title = {A Hybrid System for Temporal Relation Extraction from Discharge Summaries}, booktitle = {Technologies and Applications of Artificial Intelligence, 19th International Conference, {TAAI} 2014, Taipei, Taiwan, November 21-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8916}, pages = {379--386}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13987-6\_35}, doi = {10.1007/978-3-319-13987-6\_35}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/taai/YangLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/TsengWL13, author = {Yu{-}Chee Tseng and Fang{-}Jing Wu and Wan{-}Ting Lai}, title = {Opportunistic data collection for disconnected wireless sensor networks by mobile mules}, journal = {Ad Hoc Networks}, volume = {11}, number = {3}, pages = {1150--1164}, year = {2013}, url = {https://doi.org/10.1016/j.adhoc.2013.01.001}, doi = {10.1016/J.ADHOC.2013.01.001}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/TsengWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/ChouLL13, author = {Wen Huei Chou and Yu{-}Ting Lai and Kuang{-}Hsia Liu}, title = {User requirements of social media for the elderly: a case study in Taiwan}, journal = {Behav. Inf. Technol.}, volume = {32}, number = {9}, pages = {920--937}, year = {2013}, url = {https://doi.org/10.1080/0144929X.2012.681068}, doi = {10.1080/0144929X.2012.681068}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/ChouLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LaiCLSH13, author = {Jhih{-}Siang Lai and Cheng{-}Wei Cheng and Allan Lo and Ting{-}Yi Sung and Wen{-}Lian Hsu}, title = {Lipid exposure prediction enhances the inference of rotational angles of transmembrane helices}, journal = {{BMC} Bioinform.}, volume = {14}, pages = {304}, year = {2013}, url = {https://doi.org/10.1186/1471-2105-14-304}, doi = {10.1186/1471-2105-14-304}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LaiCLSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/PohXCWCMSTO13, author = {Wan{-}Ting Poh and Eryu Xia and Kwanrutai Chin{-}inmanu and Lai{-}Ping Wong and Anthony Youzhi Cheng and Prida Malasit and Prapat Suriyaphol and Yik{-}Ying Teo and Rick Twee{-}Hee Ong}, title = {Viral quasispecies inference from 454 pyrosequencing}, journal = {{BMC} Bioinform.}, volume = {14}, pages = {355}, year = {2013}, url = {https://doi.org/10.1186/1471-2105-14-355}, doi = {10.1186/1471-2105-14-355}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/PohXCWCMSTO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChangLWW13, author = {Jui{-}Hung Chang and Chin{-}Feng Lai and Ming{-}Shi Wang and Tin{-}Yu Wu}, title = {A cloud-based intelligent {TV} program recommendation system}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {7}, pages = {2379--2399}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2013.04.025}, doi = {10.1016/J.COMPELECENG.2013.04.025}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ChangLWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ChoLLH13, author = {Wei{-}Ting Cho and Ying{-}Xun Lai and Chin{-}Feng Lai and Yueh{-}Min Huang}, title = {Appliance-Aware Activity Recognition Mechanism for IoT Energy Management System}, journal = {Comput. J.}, volume = {56}, number = {8}, pages = {1020--1033}, year = {2013}, url = {https://doi.org/10.1093/comjnl/bxt047}, doi = {10.1093/COMJNL/BXT047}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ChoLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/ZhangHSL13, author = {Yong Zhang and Ting{-}Zhu Huang and Wei Shao and Sheng{-}Jian Lai}, title = {Some new strategies for {RCM} ordering in solving electromagnetic scattering problems}, journal = {Comput. Phys. Commun.}, volume = {184}, number = {4}, pages = {1161--1164}, year = {2013}, url = {https://doi.org/10.1016/j.cpc.2012.12.007}, doi = {10.1016/J.CPC.2012.12.007}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/ZhangHSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/Lai13, author = {Chih{-}Chin Lai}, title = {Corrigendum to "A digital watermarking scheme based on singular value decomposition and tiny genetic algorithm" [Digital Signal Processing 21 {(4)} {(2011)} 522-527]}, journal = {Digit. Signal Process.}, volume = {23}, number = {4}, pages = {1333}, year = {2013}, url = {https://doi.org/10.1016/j.dsp.2013.02.005}, doi = {10.1016/J.DSP.2013.02.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/Lai13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LinLL13, author = {Sun{-}Ting Lin and Shou{-}Sheu Lin and Je{-}An Lai}, title = {A Low-Complexity Stopping Criterion for Turbo Decoding Using Forward State Metrics at a Single Time Instant}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {3}, pages = {722--729}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.722}, doi = {10.1587/TRANSCOM.E96.B.722}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LinLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijart/HsuL13, author = {Wun{-}Ting Hsu and Wen{-}Shu Lai}, title = {The dual roles of the spectator as active viewer and intended author in participatory database art}, journal = {Int. J. Arts Technol.}, volume = {6}, number = {2}, pages = {196--212}, year = {2013}, url = {https://doi.org/10.1504/IJART.2013.053574}, doi = {10.1504/IJART.2013.053574}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijart/HsuL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChienCLYLCCLC13, author = {Hung{-}Yu Chien and Shyr{-}Kuen Chen and Ching{-}Yang Lin and Jia{-}Ling Yan and Wei{-}Chen Liao and Huan{-}Yi Chu and Kuan{-}Ju Chen and Bo{-}Fan Lai and Yi{-}Ting Chen}, title = {Design and Implementation of ZigBee-Ontology-Based Exhibit Guidance and Recommendation System}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/248535}, doi = {10.1155/2013/248535}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChienCLYLCCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/LaiY13, author = {Wei Kuang Lai and Kai{-}Ting Yang}, title = {Cross-Layer Dual Domain Scheduler for 3GPP-Long Term Evolution}, journal = {J. Networks}, volume = {8}, number = {1}, pages = {189--196}, year = {2013}, url = {https://doi.org/10.4304/jnw.8.1.189-196}, doi = {10.4304/JNW.8.1.189-196}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/LaiY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChangLLC13, author = {Chuan{-}Yu Chang and Chih{-}Chin Lai and Cheng{-}Ting Lai and Shao{-}Jer Chen}, title = {Integrating {PSONN} and Boltzmann function for feature selection and classification of lymph nodes in ultrasound images}, journal = {J. Vis. Commun. Image Represent.}, volume = {24}, number = {1}, pages = {23--30}, year = {2013}, url = {https://doi.org/10.1016/j.jvcir.2012.10.004}, doi = {10.1016/J.JVCIR.2012.10.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChangLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PeiCLSLGCW13, author = {Yu{-}Cheng Pei and Ting{-}Yu Chang and Tsung{-}Chi Lee and Sudipta Saha and Hsin{-}Yi Lai and Manuel Gomez{-}Ramirez and Shih{-}Wei Chou and Alice M. K. Wong}, title = {Cross-Modal Sensory Integration of Visual-Tactile Motion Information: Instrument Design and Human Psychophysics}, journal = {Sensors}, volume = {13}, number = {6}, pages = {7212--7223}, year = {2013}, url = {https://doi.org/10.3390/s130607212}, doi = {10.3390/S130607212}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PeiCLSLGCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YenLHPCH13, author = {Yi{-}Kuang Yen and Yu{-}Cheng Lai and Wei{-}Ting Hong and Yotsapoom Pheanpanitporn and Chuin{-}Shan Chen and Long{-}Sun Huang}, title = {Electrical Detection of C-Reactive Protein Using a Single Free-Standing, Thermally Controlled Piezoresistive Microcantilever for Highly Reproducible and Accurate Measurements}, journal = {Sensors}, volume = {13}, number = {8}, pages = {9653--9668}, year = {2013}, url = {https://doi.org/10.3390/s130809653}, doi = {10.3390/S130809653}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YenLHPCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/XuPNFCCT13, author = {Xuyuan Xu and Lai{-}Man Po and Ka{-}Ho Ng and Litong Feng and Kwok{-}Wai Cheung and Chun{-}Ho Cheung and Chi{-}Wang Ting}, title = {Depth map misalignment correction and dilation for {DIBR} view synthesis}, journal = {Signal Process. Image Commun.}, volume = {28}, number = {9}, pages = {1023--1045}, year = {2013}, url = {https://doi.org/10.1016/j.image.2013.04.003}, doi = {10.1016/J.IMAGE.2013.04.003}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/XuPNFCCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LinCL13, author = {Ting{-}Lan Lin and Wen{-}Chih Chen and Chun{-}Kai Lai}, title = {Recovery of Lost Motion Vectors Using Encoded Residual Signals}, journal = {{IEEE} Trans. Broadcast.}, volume = {59}, number = {4}, pages = {705--716}, year = {2013}, url = {https://doi.org/10.1109/TBC.2013.2275056}, doi = {10.1109/TBC.2013.2275056}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LinCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicics/LaiLY13, author = {Wei Kuang Lai and Mei{-}Tso Lin and Kai{-}Ting Yang}, title = {Intelligent monitor system based on {WSN} to improve power utilization}, booktitle = {9th International Conference on Information, Communications {\&} Signal Processing, {ICICS} 2013, Tainan, Taiwan, December 10-13, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICICS.2013.6782901}, doi = {10.1109/ICICS.2013.6782901}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/IEEEicics/LaiLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/LinL13, author = {Wun{-}Ting Lin and Shang{-}Hong Lai}, title = {Single Image Super-Resolution Based on Local Self-Similarity}, booktitle = {2nd {IAPR} Asian Conference on Pattern Recognition, {ACPR} 2013, Naha, Japan, November 5-8, 2013}, pages = {191--195}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACPR.2013.107}, doi = {10.1109/ACPR.2013.107}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acpr/LinL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TsaiLCYL13, author = {Pang{-}Wei Tsai and Yu{-}Ting Lai and Pei{-}Wen Cheng and Chu{-}Sing Yang and Mon{-}Yen Luo}, title = {Design and develop an OpenFlow Testbed within virtualized architecture}, booktitle = {15th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2013, Hiroshima, Japan, September 25-27, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6665241/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/TsaiLCYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LinL13a, author = {Wun{-}Ting Lin and Shang{-}Hong Lai}, title = {Novel 3D video conversion from down-sampled stereo video}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2013, Kaohsiung, Taiwan, October 29 - November 1, 2013}, pages = {1--10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/APSIPA.2013.6694325}, doi = {10.1109/APSIPA.2013.6694325}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LinL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcomp/ChoL13, author = {Wei{-}Ting Cho and Chin{-}Feng Lai}, editor = {Victor C. M. Leung and Min Chen}, title = {Adaptive Multimedia Cloud Computing Center Applied on {H.264/SVC} Streaming}, booktitle = {Cloud Computing - 4th International Conference, CloudComp 2013, Wuhan, China, October 17-19, 2013, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {133}, pages = {14--26}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05506-0\_2}, doi = {10.1007/978-3-319-05506-0\_2}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloudcomp/ChoL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuLYCC13, author = {Dong Liu and Kuan{-}Ting Lai and Guangnan Ye and Ming{-}Syan Chen and Shih{-}Fu Chang}, title = {Sample-Specific Late Fusion for Visual Category Recognition}, booktitle = {2013 {IEEE} Conference on Computer Vision and Pattern Recognition, Portland, OR, USA, June 23-28, 2013}, pages = {803--810}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CVPR.2013.109}, doi = {10.1109/CVPR.2013.109}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuLYCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ChoWCL13, author = {Wei{-}Ting Cho and Lien{-}Chun Wang and Yu{-}Sheng Chiu and Chin{-}Feng Lai}, title = {A Lightweight Appliance Recognition Approach for Smart Grid}, booktitle = {{IEEE} 11th International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2013, Chengdu, China, December 21-22, 2013}, pages = {469--474}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DASC.2013.109}, doi = {10.1109/DASC.2013.109}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/ChoWCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/WangCCL13, author = {Lien{-}Chun Wang and Wei{-}Ting Cho and Yu{-}Sheng Chiu and Chin{-}Feng Lai}, title = {A Parallel Multi-appliance Recognition for Smart Meter}, booktitle = {{IEEE} 11th International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2013, Chengdu, China, December 21-22, 2013}, pages = {475--480}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DASC.2013.110}, doi = {10.1109/DASC.2013.110}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/WangCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iriacv/HsuJLLL13, author = {Roy Chaoming Hsu and Jhih{-}Wei Jian and Chih{-}Chuan Lin and Chien{-}Hung Lai and Cheng{-}Ting Liu}, editor = {Juha R{\"{o}}ning and David P. Casasent}, title = {Remotely controlling of mobile robots using gesture captured by the Kinect and recognized by machine learning method}, booktitle = {Intelligent Robots and Computer Vision {XXX:} Algorithms and Techniques, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8662}, pages = {86620B}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2008456}, doi = {10.1117/12.2008456}, timestamp = {Fri, 04 Aug 2023 08:46:10 +0200}, biburl = {https://dblp.org/rec/conf/ei-iriacv/HsuJLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangLLLY13, author = {Jiun{-}Ting Huang and Hsin{-}Yu Lai and Yen{-}Chi Lee and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Distance estimation in concentration-based molecular communications}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {2587--2591}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831464}, doi = {10.1109/GLOCOM.2013.6831464}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuangLLLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TsengTLW13, author = {Wan{-}Ting Tseng and Jin{-}Han Tseng and Hsin{-}Hsi Lai and Fong{-}Gong Wu}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Evaluation of Guideline System and Sign Design of Public Space in Taiwan Emergency Department}, booktitle = {Universal Access in Human-Computer Interaction. User and Context Diversity - 7th International Conference, {UAHCI} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8009}, pages = {368--375}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39188-0\_40}, doi = {10.1007/978-3-642-39188-0\_40}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/TsengTLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/Yang-MaoLLZL13, author = {Shys{-}Fan Yang{-}Mao and Ming{-}Hui Lin and Yu{-}Ting Lin and Wen{-}Jun Zeng and Yueh{-}Yi Lai}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Exploring Psychophysical Factors Influencing Visibility of Virtual Image Display}, booktitle = {Universal Access in Human-Computer Interaction. User and Context Diversity - 7th International Conference, {UAHCI} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8010}, pages = {327--335}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39191-0\_37}, doi = {10.1007/978-3-642-39191-0\_37}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/Yang-MaoLLZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpca/LiRCXL13, author = {Xiu{-}Ting Li and Shuo Ren and Wei Cheng and Lai{-}Sheng Xiang and Xi{-}Yu Liu}, editor = {Qiaohong Zu and Maria Vargas{-}Vera and Bo Hu}, title = {Smartphone: Security and Privacy Protection}, booktitle = {Pervasive Computing and the Networked World - Joint International Conference, {ICPCA/SWS} 2013, Vina del Mar, Chile, December 5-7, 2013. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8351}, pages = {289--302}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-09265-2\_30}, doi = {10.1007/978-3-319-09265-2\_30}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/icpca/LiRCXL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LaiackerKSM13, author = {Maximilian Laiacker and Konstantin Kondak and Marc Schwarzbach and Tin Muskardin}, title = {Vision aided automatic landing system for fixed wing {UAV}}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {2971--2976}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696777}, doi = {10.1109/IROS.2013.6696777}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/LaiackerKSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LaiHCHCL13, author = {Jyu{-}Yuan Lai and Ting{-}Shuo Hsu and Po{-}Yu Chen and Chih{-}Tsun Huang and Yu{-}Hsun Chen and Jing{-}Jia Liou}, editor = {Masoud Daneshtalab and Ahmed Hemani and Maurizio Palesi}, title = {Design of high-throughput Inter-PE communication with application-level flow control protocol for many-core architectures}, booktitle = {Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual {IEEE/ACM} International Symposium on Computer Architecture, {ISCA} 2013, June 24, 2013}, pages = {41--44}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2489068.2489074}, doi = {10.1145/2489068.2489074}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LaiHCHCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/LaiCLLT13, author = {Yen{-}Shin Lai and Shang{-}Wei Chen and Wei{-}Ting Lee and Yong{-}Kai Lin and Jian{-}Feng Tsai}, title = {Novel integrated inverter/converter circuit and control technique of motor drives with dual mode control for {EV/HEV} applications}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563822}, doi = {10.1109/ISIE.2013.6563822}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/LaiCLLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ye0HCGLT0CLCEKLP13, author = {Jing Ye and Yu Huang and Yu Hu and Wu{-}Tung Cheng and Ruifeng Guo and Liyang Lai and Ting{-}Pu Tai and Xiaowei Li and Wei{-}pin Changchien and Daw{-}Ming Lee and Ji{-}Jan Chen and Sandeep C. Eruvathi and Kartik K. Kumara and Charles C. C. Liu and Sam Pan}, title = {Diagnosis and Layout Aware {(DLA)} scan chain stitching}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651929}, doi = {10.1109/TEST.2013.6651929}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Ye0HCGLT0CLCEKLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/music/HsiehLHHHL13, author = {Ting{-}An Hsieh and Kuan{-}Ching Li and Kuo{-}Chan Huang and Kuo{-}Hsun Hsu and Ching{-}Hsien Hsu and Kuan{-}Chou Lai}, editor = {James J. Park and Hojjat Adeli and Namje Park and Isaac Woungang}, title = {Community Identification in Multiple Relationship Social Networks}, booktitle = {Mobile, Ubiquitous, and Intelligent Computing - {MUSIC} 2013, {FTRA} 4th International Conference on Mobile, Ubiquitous, and Intelligent Computing, September 4-6, 2013, Gwangju, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {274}, pages = {609--614}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40675-1\_90}, doi = {10.1007/978-3-642-40675-1\_90}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/music/HsiehLHHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/ChenLLTL13, author = {Yi{-}Ting Chen and Bin{-}Yih Liao and Chin{-}Feng Lee and Wu{-}Der Tsay and Mei{-}Chiao Lai}, title = {An Adjustable Frequency Bat Algorithm Based on Flight Direction to Improve Solution Accuracy for Optimization Problems}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {172--177}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.47}, doi = {10.1109/RVSP.2013.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/ChenLLTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LiuKCL13, author = {Hao{-}Wei Liu and Hsien{-}Kai Kuo and Kuan{-}Ting Chen and Bo{-}Cheng Charles Lai}, title = {Memory capacity aware non-blocking data transfer on {GPGPU}}, booktitle = {{IEEE} Workshop on Signal Processing Systems, SiPS 2013, Taipei City, Taiwan, October 16-18, 2013}, pages = {395--400}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SiPS.2013.6674539}, doi = {10.1109/SIPS.2013.6674539}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/LiuKCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/ChouLPLLCYCNCLLH13, author = {Pai H. Chou and Cheng{-}Ting Lee and Zan{-}Ya Peng and Jo{-}Ping Li and Tong Kun Lai and Chun{-}Min Chang and Cheng{-}Hsun Yang and Yi{-}Lin Chen and Chin{-}Chung Nien and Li{-}Huei Chen and Li{-}Yen Lai and Jen{-}Chao Lu and Shang{-}Chih Hung}, title = {A Bluetooth-Smart Insulating Container for Cold-Chain Logistics}, booktitle = {2013 {IEEE} 6th International Conference on Service-Oriented Computing and Applications, Koloa, HI, USA, December 16-18, 2013}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SOCA.2013.46}, doi = {10.1109/SOCA.2013.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/ChouLPLLCYCNCLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/LaiKCW12, author = {Yu{-}Jen Lai and Wei{-}Hao Kuo and Wan{-}Ting Chiu and Hung{-}Yu Wei}, title = {Accelerometer-Assisted 802.11 Rate Adaptation on Mobile WiFi Access}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {246}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-246}, doi = {10.1186/1687-1499-2012-246}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/LaiKCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShenKYHWL12, author = {Chia{-}Ping Shen and Wen{-}Chung Kao and Yueh{-}Yiing Yang and Ming{-}Chai Hsu and Yuan{-}Ting Wu and Feipei Lai}, title = {Detection of cardiac arrhythmia in electrocardiograms using adaptive feature extraction and modified support vector machines}, journal = {Expert Syst. Appl.}, volume = {39}, number = {9}, pages = {7845--7852}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.01.093}, doi = {10.1016/J.ESWA.2012.01.093}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ShenKYHWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hac/ChenLYY12, author = {Yu{-}Lin Chen and Ting{-}Sheng Lai and Takami Yasuda and Shigeki Yokoi}, title = {A museum exhibits support system based on history and culture literacy}, journal = {Int. J. Humanit. Arts Comput.}, volume = {6}, number = {1-2}, pages = {148--159}, year = {2012}, url = {https://doi.org/10.3366/ijhac.2012.0045}, doi = {10.3366/IJHAC.2012.0045}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hac/ChenLYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/DuLCC12, author = {Timon C. Du and Vincent S. Lai and Waiman Cheung and Xiling Cui}, title = {Willingness to share information in a supply chain: {A} partnership-data-process perspective}, journal = {Inf. Manag.}, volume = {49}, number = {2}, pages = {89--98}, year = {2012}, url = {https://doi.org/10.1016/j.im.2011.10.003}, doi = {10.1016/J.IM.2011.10.003}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iam/DuLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/LaiTH12, author = {Ting{-}Yu Lai and Pi{-}Cheng Tung and Yung{-}Chia Hsiao}, title = {Coalescence of the Two Secondary Responses in Coupled Duffing equations}, journal = {Int. J. Bifurc. Chaos}, volume = {22}, number = {6}, year = {2012}, url = {https://doi.org/10.1142/S0218127412501490}, doi = {10.1142/S0218127412501490}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/LaiTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HsuCLWH12, author = {Kuei{-}Shu Hsu and Wei{-}Ting Cho and Chin{-}Feng Lai and Xiaofei Wang and Yueh{-}Min Huang}, title = {Design and Analysis of an Interactive Motion Simulator in Space Entertainment System}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {6}, number = {1}, pages = {446--467}, year = {2012}, url = {https://doi.org/10.3837/tiis.2012.01.025}, doi = {10.3837/TIIS.2012.01.025}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/HsuCLWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DaiCWLTH12, author = {Hong{-}Jie Dai and Chun{-}Yu Chen and Chi{-}Yang Wu and Po{-}Ting Lai and Richard Tzong{-}Han Tsai and Wen{-}Lian Hsu}, title = {Coreference resolution of medical concepts in discharge summaries by exploiting contextual information}, journal = {J. Am. Medical Informatics Assoc.}, volume = {19}, number = {5}, pages = {888--896}, year = {2012}, url = {https://doi.org/10.1136/amiajnl-2012-000808}, doi = {10.1136/AMIAJNL-2012-000808}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DaiCWLTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/LiuLC12, author = {Duen{-}Ren Liu and Chin{-}Hui Lai and Ya{-}Ting Chen}, title = {Document recommendations based on knowledge flows: {A} hybrid of personalized and group-based approaches}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {63}, number = {10}, pages = {2100--2117}, year = {2012}, url = {https://doi.org/10.1002/asi.22705}, doi = {10.1002/ASI.22705}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/LiuLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ShenJDCCHWHLTAL12, author = {Chia{-}Ping Shen and Chinburen Jigjidsuren and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Wei{-}Hsin Chen and Chih{-}Kuo Hsu and Jin{-}Ming Wu and Chih{-}Wen Hsueh and Mei{-}Shu Lai and Ching{-}Ting Tan and Erdenebaatar Altangerel and Feipei Lai}, title = {A Data-Mining Framework for Transnational Healthcare System}, journal = {J. Medical Syst.}, volume = {36}, number = {4}, pages = {2565--2575}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9729-7}, doi = {10.1007/S10916-011-9729-7}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ShenJDCCHWHLTAL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YuSDCWLTJALHCL12, author = {Hwan{-}Jeu Yu and Chia{-}Ping Shen and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Jin{-}Ming Wu and Mei{-}Shu Lai and Ching{-}Ting Tan and Chinburen Jigjidsuren and Erdenebaatar Altangerel and Hung{-}Chang Lee and Chih{-}Wen Hsueh and Yu{-}Fang Chung and Feipei Lai}, title = {A Physician Order Category-Based Clinical Guideline Comparison System}, journal = {J. Medical Syst.}, volume = {36}, number = {6}, pages = {3741--3753}, year = {2012}, url = {https://doi.org/10.1007/s10916-012-9847-x}, doi = {10.1007/S10916-012-9847-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YuSDCWLTJALHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LueWHSWYHCWL12, author = {Cheng{-}En Lue and I{-}Shun Wang and Chi{-}Hsien Huang and Yu{-}Ting Shiao and Hau{-}Cheng Wang and Chia{-}Ming Yang and Shu{-}Hao Hsu and Ching{-}Yu Chang and William Wang and Chao{-}Sung Lai}, title = {pH sensing reliability of flexible {ITO/PET} electrodes on EGFETs prepared by a roll-to-roll process}, journal = {Microelectron. Reliab.}, volume = {52}, number = {8}, pages = {1651--1654}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.10.026}, doi = {10.1016/J.MICROREL.2011.10.026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/LueWHSWYHCWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/WangLCL12, author = {Jer{-}Chyi Wang and Chih{-}Ting Lin and Pai{-}Chi Chou and Chao{-}Sung Lai}, title = {Gadolinium-based metal oxide for nonvolatile memory applications}, journal = {Microelectron. Reliab.}, volume = {52}, number = {4}, pages = {635--641}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.09.032}, doi = {10.1016/J.MICROREL.2011.09.032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/WangLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/WangLHLL12, author = {Jer{-}Chyi Wang and Chih{-}Ting Lin and Chi{-}Hsien Huang and Chao{-}Sung Lai and Chin{-}Hsiang Liao}, title = {Charge storage and data retention characteristics of forming gas-annealed Gd\({}_{\mbox{2}}\)O\({}_{\mbox{3}}\)-nanocrystal nonvolatile memory cell}, journal = {Microelectron. Reliab.}, volume = {52}, number = {8}, pages = {1627--1631}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.10.014}, doi = {10.1016/J.MICROREL.2011.10.014}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/WangLHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mssp/HsuCLL12, author = {Roy Chaoming Hsu and Din{-}Yuen Chan and Cheng{-}Ting Liu and Wei{-}Chieh Lai}, title = {Contour extraction in medical images using initial boundary pixel selection and segmental contour following}, journal = {Multidimens. Syst. Signal Process.}, volume = {23}, number = {4}, pages = {469--498}, year = {2012}, url = {https://doi.org/10.1007/s11045-012-0176-5}, doi = {10.1007/S11045-012-0176-5}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mssp/HsuCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/WongPCTNX12, author = {Ka{-}Man Wong and Lai{-}Man Po and Kwok{-}Wai Cheung and Chi{-}Wang Ting and Ka{-}Ho Ng and Xuyuan Xu}, title = {Horizontal Scaling and Shearing-Based Disparity-Compensated Prediction for Stereo Video Coding}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {22}, number = {10}, pages = {1457--1470}, year = {2012}, url = {https://doi.org/10.1109/TCSVT.2012.2202073}, doi = {10.1109/TCSVT.2012.2202073}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/WongPCTNX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/LaiYLS12, author = {Wei Kuang Lai and Kai{-}Ting Yang and Yuh{-}Chung Lin and Chin{-}Shiuh Shieh}, editor = {Jeng{-}Shyang Pan and Shyi{-}Ming Chen and Ngoc Thanh Nguyen}, title = {Dual Migration for Improved Efficiency in Cloud Service}, booktitle = {Intelligent Information and Database Systems - 4th Asian Conference, {ACIIDS} 2012, Kaohsiung, Taiwan, March 19-21, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7198}, pages = {216--225}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28493-9\_24}, doi = {10.1007/978-3-642-28493-9\_24}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/LaiYLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LaiCHHL12, author = {Jyu{-}Yuan Lai and Po{-}Yu Chen and Ting{-}Shuo Hsu and Chih{-}Tsun Huang and Jing{-}Jia Liou}, title = {Design and analysis of a many-core processor architecture for multimedia applications}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411869/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LaiCHHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KuoCLJ12, author = {Hsien{-}Kai Kuo and Kuan{-}Ting Chen and Bo{-}Cheng Charles Lai and Jing{-}Yang Jou}, title = {Thread affinity mapping for irregular data access on shared Cache {GPGPU}}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {659--664}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6165038}, doi = {10.1109/ASPDAC.2012.6165038}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KuoCLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/ChangCLHWWLC12, author = {Kow{-}Ming Chang and Chu{-}Feng Chen and Chiung{-}Hui Lai and Cheng{-}Ting Hsieh and Chin{-}Ning Wu and Yu{-}Bin Wang and Chung{-}Hsien Liu and Kuo Chin Chang}, editor = {Joaquim Gabriel and Carlos Manuel B. A. Correia and Ana L. N. Fred and Hugo Gamboa}, title = {Surface Passivation Effect in {SGOI} Nanowire Biosensor with High Ge Fraction}, booktitle = {{BIODEVICES} 2012 - Proceedings of the International Conference on Biomedical Electronics and Devices, Vilamoura, Algarve, Portugal, 1 - 4 February, 2012}, pages = {384--387}, publisher = {SciTePress}, year = {2012}, timestamp = {Tue, 01 Oct 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/ChangCLHWWLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ShenLC12, author = {Tsu{-}Wang Shen and Ting{-}Fong Laio and Shao{-}Tsu Chen}, title = {Hormone concentration inception: Development an artificial immune system with immunomodulator {(AISI)} intervention}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2012, Brisbane, Australia, June 10-15, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CEC.2012.6256630}, doi = {10.1109/CEC.2012.6256630}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/ShenLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ChenKLLT12, author = {Liang{-}Chieh Chen and Tsung{-}Ting Kuo and Wei{-}Chi Lai and Shou{-}De Lin and Chi{-}Hung Tsai}, editor = {Tsau Young Lin and Xiaohua Hu and Zhaohui Wu and Arbee L. P. Chen and Andrei Z. Broder and Howard Ho and Shuliang Wang}, title = {Prediction-based outlier detection with explanations}, booktitle = {2012 {IEEE} International Conference on Granular Computing, GrC 2012, Hangzhou, China, August 11-13, 2012}, pages = {44--49}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/GrC.2012.6468672}, doi = {10.1109/GRC.2012.6468672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/ChenKLLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChenTL12, author = {Shih{-}Wei Chen and Yu{-}Ting Tseng and Tsai{-}Ya Lai}, title = {The design of an ontology-based service-oriented architecture framework for traditional chinese medicine healthcare}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {353--356}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6379435}, doi = {10.1109/HEALTHCOM.2012.6379435}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChenTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LaiWY12, author = {Yu{-}Ching Lai and Shih{-}Ting Wang and Jie{-}Chi Yang}, editor = {Carlo Giovannella and Demetrios G. Sampson and Ignacio Aedo}, title = {An Investigation of the Exergames Experience with Flow State, Enjoyment, and Physical Fitness}, booktitle = {12th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2012, Rome, Italy, July 4-6, 2012}, pages = {58--60}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICALT.2012.183}, doi = {10.1109/ICALT.2012.183}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LaiWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XuPCNWT12, author = {Xuyuan Xu and Lai{-}Man Po and Kwok{-}Wai Cheung and Ka{-}Ho Ng and Ka{-}Man Wong and Chi{-}Wang Ting}, title = {A foreground biased depth map refinement method for {DIBR} view synthesis}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {805--808}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288006}, doi = {10.1109/ICASSP.2012.6288006}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XuPCNWT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LinLYL12, author = {Yuh{-}Chung Lin and Wei Kuang Lai and Kai{-}Ting Yang and Mei{-}Tso Lin}, title = {An Novel Scheduling Algorithm for Video Stream in {LTE}}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {107--110}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.58}, doi = {10.1109/ICGEC.2012.58}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LinLYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/YangLTC12, author = {Kai{-}Ting Yang and Wei Kuang Lai and Chen{-}Da Tsai and Chuan{-}Wang Chang}, title = {Cooperative Transmission Scheme in Metropolitan Environment}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {111--114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.75}, doi = {10.1109/ICGEC.2012.75}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/YangLTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YuL12, author = {Ting{-}Jung Yu and K. Robert Lai}, title = {A framework of fuzzy constraint-directed agent negotiation with learning element}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2012, Xian, Shaanxi, China, July 15-17, 2012, Proceedings}, pages = {1594--1600}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICMLC.2012.6359603}, doi = {10.1109/ICMLC.2012.6359603}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/YuL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LaiCH12, author = {Yi{-}Shuan Lai and Yi{-}Lei Chen and Chiou{-}Ting Hsu}, title = {Single image dehazing with optimal transmission map}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {388--391}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460153/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/LaiCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LinLY12, author = {Ting{-}Lan Lin and Chun{-}Kai Lai and Neng{-}Chieh Yang}, title = {Efficient pixel-based motion vector recovery in {H.264}}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {355--360}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473510}, doi = {10.1109/ISPACS.2012.6473510}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LinLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangCLHWWL12, author = {Kow{-}Ming Chang and Chu{-}Feng Chen and Chiung{-}Hui Lai and Cheng{-}Ting Hsieh and Chin{-}Ning Wu and Yu{-}Bin Wang and Chung{-}Hsien Liu}, title = {Sensitivity enhancement in {SGOI} nanowire biosensor fabricated by top surface passivation}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {579--582}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196842}, doi = {10.1109/NEMS.2012.6196842}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangCLHWWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangCLWHWL12, author = {Kow{-}Ming Chang and Chu{-}Feng Chen and Chiung{-}Hui Lai and Chin{-}Ning Wu and Cheng{-}Ting Hsieh and Yu{-}Bin Wang and Chung{-}Hsien Liu}, title = {Effect of oxidation on {SGOI} nanowire biosensor fabrication using Ge condensation}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {708--711}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196873}, doi = {10.1109/NEMS.2012.6196873}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangCLWHWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/ChenWL12, author = {Kuan{-}Ting Chen and Ping{-}Ru Wu and Bo{-}Cheng Charles Lai}, title = {Reduce Data Coherence Cost with an Area Efficient Double Layer Counting Bloom Filter}, booktitle = {Fifth International Symposium on Parallel Architectures, Algorithms and Programming, {PAAP} 2012, Taipei, Taiwan, December 17-20, 2012}, pages = {7--12}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PAAP.2012.9}, doi = {10.1109/PAAP.2012.9}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/paap/ChenWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiLC12, author = {Bi{-}Ting Lai and Tai{-}Hung Li and Tai{-}Chen Chen}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Native-conflict-avoiding track routing for double patterning technology}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {381--386}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398340}, doi = {10.1109/SOCC.2012.6398340}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/FangLDH11, author = {Yu{-}Ching Fang and Po{-}Ting Lai and Hong{-}Jie Dai and Wen{-}Lian Hsu}, title = {MeInfoText 2.0: gene methylation and cancer relation extraction from biomedical literature}, journal = {{BMC} Bioinform.}, volume = {12}, pages = {471}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-471}, doi = {10.1186/1471-2105-12-471}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/FangLDH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TsaiL11, author = {Richard Tzong{-}Han Tsai and Po{-}Ting Lai}, title = {Dynamic programming re-ranking for {PPI} interactor and pair extraction in full-text articles}, journal = {{BMC} Bioinform.}, volume = {12}, pages = {60}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-60}, doi = {10.1186/1471-2105-12-60}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/TsaiL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TsaiL11a, author = {Richard Tzong{-}Han Tsai and Po{-}Ting Lai}, title = {Multi-stage gene normalization for full-text articles with context-based species filtering for dynamic dictionary entry selection}, journal = {{BMC} Bioinform.}, volume = {12}, number = {{S-8}}, pages = {S7}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-S8-S7}, doi = {10.1186/1471-2105-12-S8-S7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/TsaiL11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/LaiLHC11, author = {San Kiong Lai and Yu{-}Ting Lin and P. J. Hsu and Siew Ann Cheong}, title = {Dynamical study of metallic clusters using the statistical method of time series clustering}, journal = {Comput. Phys. Commun.}, volume = {182}, number = {4}, pages = {1013--1026}, year = {2011}, url = {https://doi.org/10.1016/j.cpc.2010.12.047}, doi = {10.1016/J.CPC.2010.12.047}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/LaiLHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/Lai11, author = {Chih{-}Chin Lai}, title = {A digital watermarking scheme based on singular value decomposition and tiny genetic algorithm}, journal = {Digit. Signal Process.}, volume = {21}, number = {4}, pages = {522--527}, year = {2011}, url = {https://doi.org/10.1016/j.dsp.2011.01.017}, doi = {10.1016/J.DSP.2011.01.017}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/Lai11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/TingLL11, author = {Kuo{-}Chang Ting and Hung{-}Chang Lee and Feipei Lai}, title = {A scalable, high-performance grouping {DCF} for the {MAC} layer enhancement of 802.11n}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {7}, number = {1/2}, pages = {101--118}, year = {2011}, url = {https://doi.org/10.1504/IJCNDS.2011.040980}, doi = {10.1504/IJCNDS.2011.040980}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/TingLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/LaiT11, author = {Po{-}Ting Lai and Richard Tzong{-}Han Tsai}, title = {A Dynamic Programming Re-ranking Approach to Enhance {PPI} Interactor Extraction}, journal = {Inf. Media Technol.}, volume = {6}, number = {1}, pages = {35--38}, year = {2011}, url = {https://doi.org/10.11185/imt.6.35}, doi = {10.11185/IMT.6.35}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/LaiT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ChoLHLH11, author = {Wei{-}Ting Cho and Chin{-}Feng Lai and Yueh{-}Min Huang and Wei{-}Tsong Lee and Sing{-}Wei Huangk}, title = {Home Energy Management System for Interconnecting and Sensing of Electric Appliances}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {5}, number = {7}, pages = {1274--1292}, year = {2011}, url = {https://doi.org/10.3837/tiis.2011.07.004}, doi = {10.3837/TIIS.2011.07.004}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/ChoLHLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/KuoLCCLTC11, author = {Hsien{-}Chi Kuo and Po{-}Yu Lin and Ting{-}Chiun Chung and Chin{-}Mei Chao and Liang{-}Chuan Lai and Mong{-}Hsun Tsai and Eric Y. Chuang}, title = {{DBCAT:} Database of CpG Islands and Analytical Tools for Identifying Comprehensive Methylation Profiles in Cancer Cells}, journal = {J. Comput. Biol.}, volume = {18}, number = {8}, pages = {1013--1017}, year = {2011}, url = {https://doi.org/10.1089/cmb.2010.0038}, doi = {10.1089/CMB.2010.0038}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/KuoLCCLTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/TsaiTHL11, author = {Ming{-}Yi Tsai and C. W. Ting and C. Y. Huang and Yi{-}Shao Lai}, title = {Determination of residual strains of the {EMC} in {PBGA} during manufacturing and {IR} solder reflow processes}, journal = {Microelectron. Reliab.}, volume = {51}, number = {3}, pages = {642--648}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2010.10.009}, doi = {10.1016/J.MICROREL.2010.10.009}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/TsaiTHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LueYYPL11, author = {Cheng{-}En Lue and Ting{-}Chun Yu and Chia{-}Ming Yang and Dorota G. Pijanowska and Chao{-}Sung Lai}, title = {Optimization of Urea-EnFET Based on Ta\({}_{\mbox{2}}\)O\({}_{\mbox{5}}\) Layer with Post Annealing}, journal = {Sensors}, volume = {11}, number = {5}, pages = {4562--4571}, year = {2011}, url = {https://doi.org/10.3390/s110504562}, doi = {10.3390/S110504562}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LueYYPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaifs/LairdDT11, author = {John Edwin Laird and Nate Derbinsky and Miller Tinkerhess}, title = {A Case Study in Integrating Probabilistic Decision Making and Learning in a Symbolic Cognitive Architecture: Soar Plays Dice}, booktitle = {Advances in Cognitive Systems, Papers from the 2011 {AAAI} Fall Symposium, Arlington, Virginia, USA, November 4-6, 2011}, series = {{AAAI} Technical Report}, volume = {{FS-11-01}}, publisher = {{AAAI}}, year = {2011}, url = {http://www.aaai.org/ocs/index.php/FSS/FSS11/paper/view/4189}, timestamp = {Wed, 25 Sep 2013 15:36:19 +0200}, biburl = {https://dblp.org/rec/conf/aaaifs/LairdDT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euvip/LaiCHL11, author = {Kuan{-}Ting Lai and Ming{-}Syan Chen and Chaur{-}Heh Hsieh and Mao{-}Fu Lai}, title = {Orientation histogram of {SIFT} displacement for recognizing actions in broadcast videos}, booktitle = {European Workshop on Visual Information Processing, {EUVIP} 2011, Paris, France, July 4-6, 2011}, pages = {286--291}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EuVIP.2011.6045516}, doi = {10.1109/EUVIP.2011.6045516}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euvip/LaiCHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ChengL11, author = {Li{-}Chen Cheng and Min{-}Ting Lai}, title = {Mining the change of consensus from group ranking decisions}, booktitle = {Eighth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2011, 26-28 July 2011, Shanghai, China}, pages = {1459--1463}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FSKD.2011.6019909}, doi = {10.1109/FSKD.2011.6019909}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ChengL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoLTCH11, author = {Wei{-}Ting Cho and Chin{-}Feng Lai and Shau{-}Yin Tseng and Han{-}Chieh Chao and Yueh{-}Min Huang}, title = {A {TV} program-oriented user generated content service with seamless video reconstruction ranking in cloud networks}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {29--33}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOMW.2011.6162457}, doi = {10.1109/GLOCOMW.2011.6162457}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChoLTCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/NivalaMCC11, author = {Wen Cing{-}Yan Nivala and De{-}Lai Men and Tin{-}Kai Chen and Robert C. C. Chen}, editor = {Constantine Stephanidis}, title = {An Investigation of a Personas-Based Model Assessment for Experiencing User-Centred Design}, booktitle = {Universal Access in Human-Computer Interaction. Users Diversity - 6th International Conference, {UAHCI} 2011, Held as Part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6766}, pages = {98--107}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21663-3\_11}, doi = {10.1007/978-3-642-21663-3\_11}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/NivalaMCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdma/ChienLLL11, author = {Ting{-}Li Chien and Hsin{-}Chou Lai and Yung{-}Chien Lin and Yung{-}Chin Lin}, title = {Dynamic Programming Algorithm Based Path Planning of the Multiple Robot System}, booktitle = {Second International Conference on Digital Manufacturing and Automation, {ICDMA} 2011, Zhangjiajie, Hunan, China, August 5-7, 2011}, pages = {469--474}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICDMA.2011.121}, doi = {10.1109/ICDMA.2011.121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdma/ChienLLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LaiYL11, author = {Wei Kuang Lai and Kai{-}Ting Yang and Meng{-}Chong Li}, editor = {Junzo Watada and Pau{-}Choo Chung and Jim{-}Min Lin and Chin{-}Shiuh Shieh and Jeng{-}Shyang Pan}, title = {Bus Assisted Connectionless Routing Protocol for Metropolitan {VANET}}, booktitle = {Fifth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2011, Kinmen, Taiwan / Xiamen, China, August 29 - September 1, 2011}, pages = {57--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICGEC.2011.22}, doi = {10.1109/ICGEC.2011.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icgec/LaiYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoft/LaiLC11, author = {Chin{-}Hui Lai and Duen{-}Ren Liu and Ya{-}Ting Chen}, editor = {Mar{\'{\i}}a Jos{\'{e}} Escalona Cuaresma and Boris Shishkov and Jos{\'{e}} Cordeiro}, title = {Recommending Documents via Knowledge Flow-based Group Recommendation}, booktitle = {{ICSOFT} 2011 - Proceedings of the 6th International Conference on Software and Data Technologies, Volume 2, Seville, Spain, 18-21 July, 2011}, pages = {341--349}, publisher = {SciTePress}, year = {2011}, timestamp = {Wed, 28 Sep 2011 18:25:28 +0200}, biburl = {https://dblp.org/rec/conf/icsoft/LaiLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChuangTHLLHC11, author = {Gene C. H. Chuang and Pangan Ting and Jen{-}Yuan Hsu and Jiun{-}You Lai and Shun{-}Chang Lo and Ying{-}Chuan Hsiao and Tzi{-}Dar Chiueh}, title = {A {MIMO} WiMAX SoC in 90nm {CMOS} for 300km/h mobility}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {134--136}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746252}, doi = {10.1109/ISSCC.2011.5746252}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChuangTHLLHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/MahasukhonSHZMS11, author = {Puttipong Mahasukhon and Hamid Sharif and Michael Hempel and Ting Zhou and Tao Ma and Pradhumna Shrestha}, title = {A study on energy efficient multi-tier multi-hop wireless sensor networks for freight-train monitoring}, booktitle = {Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2011, Istanbul, Turkey, 4-8 July, 2011}, pages = {297--301}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IWCMC.2011.5982549}, doi = {10.1109/IWCMC.2011.5982549}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/MahasukhonSHZMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/ZhouSHMMS11, author = {Ting Zhou and Hamid Sharif and Michael Hempel and Puttipong Mahasukhon and Tao Ma and Pradhumna Lal Shrestha}, title = {A cross-layer parallel handover optimization scheme for WiMAX networks}, booktitle = {{MILCOM} 2011 - 2011 {IEEE} Military Communications Conference, Baltimore, MD, USA, November 7-10, 2011}, pages = {699--704}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/MILCOM.2011.6127757}, doi = {10.1109/MILCOM.2011.6127757}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/milcom/ZhouSHMMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LaiCCY11, author = {Yu{-}Tse Lai and Yung{-}Ming Chen and Chun{-}Ting Chen and Yao{-}Joe Yang}, title = {Development of shear sensing system for a three-finger robot hand}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {1183--1187}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181448}, doi = {10.1109/ROBIO.2011.6181448}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/LaiCCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/HsuCLL11, author = {Roy Chaoming Hsu and Din{-}Yuen Chan and Wei{-}Chieh Lai and Cheng{-}Ting Liu}, editor = {Jar{-}Ferr Yang and Hsueh{-}Ming Hang and Masayuki Tanimoto and Tsuhan Chen}, title = {An improved automatic initial snaxel selection with corner tracing for object contour extraction in medical image}, booktitle = {2011 {IEEE} Visual Communications and Image Processing, {VCIP} 2011, Tainan, Taiwan, November 6-9, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VCIP.2011.6115962}, doi = {10.1109/VCIP.2011.6115962}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/vcip/HsuCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ZhouSHMMS11, author = {Ting Zhou and Hamid Sharif and Michael Hempel and Puttipong Mahasukhon and Tao Ma and Pradhumna Shrestha}, title = {Priority Preemption for Real-Time Application QoS Guarantees in Cooperative Vehicular Networks}, booktitle = {Proceedings of the 73rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2011, 15-18 May 2011, Budapest, Hungary}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VETECS.2011.5956768}, doi = {10.1109/VETECS.2011.5956768}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ZhouSHMMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/procedia/LinHLYW11, author = {Kuo{-}Ping Lin and Kuo{-}Chen Hung and Ssu{-}Ping Lai and Ya{-}Ting Yu and Pei{-}Ti Wu}, editor = {Mitsuhisa Sato and Satoshi Matsuoka and Peter M. A. Sloot and G. Dick van Albada and Jack J. Dongarra}, title = {Applying an approximate T{\(\omega\)} (the weakest t-norm) fuzzy {GERT} to evaluate two-unit standby redundant system reliability}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2011, Nanyang Technological University, Singapore, 1-3 June, 2011}, series = {Procedia Computer Science}, volume = {4}, pages = {1326--1335}, publisher = {Elsevier}, year = {2011}, url = {https://doi.org/10.1016/j.procs.2011.04.143}, doi = {10.1016/J.PROCS.2011.04.143}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/journals/procedia/LinHLYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/LaiLY10, author = {K. Robert Lai and Menq{-}Wen Lin and Ting{-}Jung Yu}, title = {Learning opponent's beliefs via fuzzy constraint-directed approach to make effective agent negotiation}, journal = {Appl. Intell.}, volume = {33}, number = {2}, pages = {232--246}, year = {2010}, url = {https://doi.org/10.1007/s10489-009-0162-2}, doi = {10.1007/S10489-009-0162-2}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/LaiLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/WangLHW10, author = {Shyue{-}Liang Wang and Ting{-}Zheng Lai and Tzung{-}Pei Hong and Yu{-}Lung Wu}, title = {Hiding collaborative recommendation association rules on horizontally partitioned data}, journal = {Intell. Data Anal.}, volume = {14}, number = {1}, pages = {47--67}, year = {2010}, url = {https://doi.org/10.3233/IDA-2010-0408}, doi = {10.3233/IDA-2010-0408}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/WangLHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijclclp/HuangKLL10, author = {Anta Huang and Tsung{-}Ting Kuo and Ying{-}Chun Lai and Shou{-}De Lin}, title = {Discovering Correction Rules for Auto Editing}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {15}, number = {3-4}, year = {2010}, url = {http://www.aclclp.org.tw/clclp/v15n34/v15n34a4.pdf}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijclclp/HuangKLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/TsaiL10, author = {Richard Tzong{-}Han Tsai and Po{-}Ting Lai}, title = {Using Contextual Information to Clarify Cross-Species Gene Normalization Ambiguity}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {20}, number = {2}, pages = {197--214}, year = {2010}, url = {https://doi.org/10.1142/S0218194010004694}, doi = {10.1142/S0218194010004694}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/TsaiL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijssoe/LuoLCHZLTWCST10, author = {Zongwei Luo and Martin Lai and Mary Cheung and Shuihua Han and Tianle Zhang and Zhongjun Luo and James Ting and Patrick Wong and Sam Chan and Kwok So and George Tipoe}, title = {Developing Local Association Network Based IoT Solutions for Body Parts Tagging and Tracking}, journal = {Int. J. Syst. Serv. Oriented Eng.}, volume = {1}, number = {4}, pages = {42--64}, year = {2010}, url = {https://doi.org/10.4018/jssoe.2010100104}, doi = {10.4018/JSSOE.2010100104}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijssoe/LuoLCHZLTWCST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiXL10, author = {Zhihui Li and Ting Xue and Hong Lai}, title = {Secret sharing schemes from binary linear codes}, journal = {Inf. Sci.}, volume = {180}, number = {22}, pages = {4412--4419}, year = {2010}, url = {https://doi.org/10.1016/j.ins.2010.07.029}, doi = {10.1016/J.INS.2010.07.029}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiXL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdim/ShihPL10, author = {Chia Chun Shih and Ting{-}Chun Peng and Wei Shen Lai}, title = {Mining the Blogosphere to Generate Cuisine Hotspot Maps}, journal = {J. Digit. Inf. Manag.}, volume = {8}, number = {6}, pages = {396--401}, year = {2010}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdim/ShihPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiehHCTSHHL10, author = {Sung{-}Huai Hsieh and I{-}Ching Hou and Po{-}Hsun Cheng and Ching{-}Ting Tan and Po{-}Chao Shen and Kai{-}Ping Hsu and Sheau{-}Ling Hsieh and Feipei Lai}, title = {Design and Implementation of Web-Based Mobile Electronic Medication Administration Record}, journal = {J. Medical Syst.}, volume = {34}, number = {5}, pages = {947--958}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9310-9}, doi = {10.1007/S10916-009-9310-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiehHCTSHHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/SongLCRL10, author = {Ting Song and Vivian S. Lee and Qun Chen and Henry Rusinek and Andrew F. Laine}, title = {An automated three-dimensional plus time registration framework for dynamic {MR} renography}, journal = {J. Vis. Commun. Image Represent.}, volume = {21}, number = {1}, pages = {1--8}, year = {2010}, url = {https://doi.org/10.1016/j.jvcir.2009.09.003}, doi = {10.1016/J.JVCIR.2009.09.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/SongLCRL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HsuFYCCJLL10, author = {Chia{-}Wei Hsu and Yean{-}Kuen Fang and Wen{-}Kuan Yeh and Chun{-}Yu Chen and Yen{-}Ting Chiang and Feng{-}Renn Juang and Chien{-}Ting Lin and Chieh{-}Ming Lai}, title = {Improvement of {TDDB} reliability, characteristics of HfO\({}_{\mbox{2}}\) high-k/metal gate {MOSFET} device with oxygen post deposition annealing}, journal = {Microelectron. Reliab.}, volume = {50}, number = {5}, pages = {618--621}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.01.045}, doi = {10.1016/J.MICROREL.2010.01.045}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HsuFYCCJLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/DaiLT10, author = {Hong{-}Jie Dai and Po{-}Ting Lai and Richard Tzong{-}Han Tsai}, title = {Multistage Gene Normalization and SVM-Based Ranking for Protein Interactor Extraction in Full-Text Articles}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {7}, number = {3}, pages = {412--420}, year = {2010}, url = {https://doi.org/10.1109/TCBB.2010.45}, doi = {10.1109/TCBB.2010.45}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/DaiLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cason/ChangRFLLGLJW10, author = {Weng{-}Long Chang and Ting{-}Ting Ren and Mang Feng and Jun Luo and Kawuu Weicheng Lin and Minyi Guo and Lai Chin Lu and Gwo{-}Jia Jong and Chih{-}Chiang Wang}, title = {Quantum Algorithms and Mathematical Representation of Bio-molecular Solutions for the Hitting-set Problem on a Quantum Computer}, booktitle = {International Conference on Computational Aspects of Social Networks, CASoN 2010, Taiyuan, China, 26-28 September 2010}, pages = {721--724}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CASoN.2010.165}, doi = {10.1109/CASON.2010.165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cason/ChangRFLLGLJW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cason/ChangRFLLGLWJ10, author = {Weng{-}Long Chang and Ting{-}Ting Ren and Mang Feng and Jun Luo and Kawuu Weicheng Lin and Minyi Guo and Lai Chin Lu and Chih{-}Chiang Wang and Gwo{-}Jia Jong}, title = {Quantum Algorithms and Mathematical Representation of Bio-molecular Solutions for the Clique Problem in a Finite-dimensional Hilbert Space}, booktitle = {International Conference on Computational Aspects of Social Networks, CASoN 2010, Taiyuan, China, 26-28 September 2010}, pages = {717--720}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CASoN.2010.164}, doi = {10.1109/CASON.2010.164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cason/ChangRFLLGLWJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/DaiLTH10, author = {Hong{-}Jie Dai and Po{-}Ting Lai and Richard Tzong{-}Han Tsai and Wen{-}Lian Hsu}, editor = {Chu{-}Ren Huang and Dan Jurafsky}, title = {Global Ranking via Data Fusion}, booktitle = {{COLING} 2010, 23rd International Conference on Computational Linguistics, Posters Volume, 23-27 August 2010, Beijing, China}, pages = {223--231}, publisher = {Chinese Information Processing Society of China}, year = {2010}, url = {https://aclanthology.org/C10-2026/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/DaiLTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/WangHLLJCLKL10, author = {Meng{-}Ting Wang and Po{-}Chun Huang and Jenq Kuen Lee and Shang{-}Hong Lai and Jyh{-}Shing Roger Jang and Chun{-}Fa Chang and Chih{-}Wei Liu and Tei{-}Wei Kuo and Steve Liao}, editor = {Peter Marwedel and David Jeff Jackson and Kenneth G. Ricks}, title = {Support of Android lab modules for embedded system curriculum}, booktitle = {Proceedings of the 2010 Workshop on Embedded Systems Education, {WESE} 2010, Scottsdale, AZ, USA, October 28, 2010}, pages = {4}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1930277.1930281}, doi = {10.1145/1930277.1930281}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esweek/WangHLLJCLKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/HsuKLL10, author = {Roy Chaoming Hsu and Ping{-}Wen Kao and Wei{-}Jie Lai and Cheng{-}Ting Liu}, title = {An initial edge point selection and segmental contour following for object contour extraction}, booktitle = {11th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2010, Singapore, 7-10 December 2010, Proceedings}, pages = {1632--1637}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICARCV.2010.5707892}, doi = {10.1109/ICARCV.2010.5707892}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/HsuKLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YangL10, author = {Ting{-}Ting Yang and Shang{-}Hong Lai}, title = {A learning-based system for generating exaggerative caricature from face images with expression}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {2138--2141}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495565}, doi = {10.1109/ICASSP.2010.5495565}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YangL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/PoWCWNLT10, author = {Lai{-}Man Po and Liping Wang and Kwok{-}Wai Cheung and Ka{-}Man Wong and Ka{-}Ho Ng and Shenyuan Li and Chi{-}Wang Ting}, title = {Distance-based weighted prediction for Adaptive Intra Mode Bit Skip in {H.264/AVC}}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2010, September 26-29, Hong Kong, China}, pages = {2869--2872}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICIP.2010.5651941}, doi = {10.1109/ICIP.2010.5651941}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/PoWCWNLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisp/LaiHLC10, author = {Kuan{-}Ting Lai and Chaur{-}Heh Hsieh and Mao{-}Fu Lai and Ming{-}Syan Chen}, editor = {Abderrahim Elmoataz and Olivier Lezoray and Fathallah Nouboud and Driss Mammass and Jean Meunier}, title = {Human Action Recognition Using Key Points Displacement}, booktitle = {Image and Signal Processing, 4th International Conference, {ICISP} 2010, Trois-Rivi{\`{e}}res, QC, Canada, June 30-July 2, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6134}, pages = {439--447}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13681-8\_51}, doi = {10.1007/978-3-642-13681-8\_51}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisp/LaiHLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LaiT10, author = {Cheng{-}Liang Lai and Sung{-}Ting Tsai}, title = {Application of using fuzzy-neural network on {PTZ} camera}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {2577--2582}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580868}, doi = {10.1109/ICMLC.2010.5580868}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LaiT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LaiTC10, author = {Cheng{-}Liang Lai and Sung{-}Ting Tsai and Yu{-}Tsung Chiu}, title = {Analysis and comparison of fish posture by image processing}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {2559--2564}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580863}, doi = {10.1109/ICMLC.2010.5580863}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LaiTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LiDL10, author = {Ting Li and Xue{-}Lai Deng and Zhi{-}Xiang Li}, title = {The evaluation research of the regional S{\&}T popularization capacity}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {1368--1372}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580862}, doi = {10.1109/ICMLC.2010.5580862}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LiDL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ZhengNHYCLY10, author = {Timothy Tian{-}Ming Zheng and Wing W. Y. Ng and Xu{-}Sheng Huang and Shi{-}Ting Yang and Patrick P. K. Chan and Weiwei Lai and Daniel S. Yeung}, title = {Shape-based image retrieval of Chinese paper-cutting using {RBFNN} with invariant moment}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {808--814}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580582}, doi = {10.1109/ICMLC.2010.5580582}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/ZhengNHYCLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/TsengLHW10, author = {Yu{-}Chee Tseng and Wan{-}Ting Lai and Chi{-}Fu Huang and Fang{-}Jing Wu}, title = {Using Mobile Mules for Collecting Data from an Isolated Wireless Sensor Network}, booktitle = {39th International Conference on Parallel Processing, {ICPP} 2010, San Diego, California, USA, 13-16 September 2010}, pages = {673--679}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPP.2010.75}, doi = {10.1109/ICPP.2010.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/TsengLHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/TingKHWL10, author = {Kuo{-}Chang Ting and Fang{-}Chang Kuo and Bor{-}Jiunn Hwang and Hwang{-}Cheng Wang and Feipei Lai}, title = {An Accurate Power Analysis Model Based on {MAC} Layer for the {DCF} of 802.11n}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2010, Taipei, Taiwan, 6-9 September 2010}, pages = {350--358}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPA.2010.88}, doi = {10.1109/ISPA.2010.88}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/TingKHWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangKLL10, author = {Anta Huang and Tsung{-}Ting Kuo and Ying{-}Chun Lai and Shou{-}De Lin}, title = {Identifying Correction Rules for Auto Editing}, booktitle = {Proceedings of the 22th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2010, Nantou, Taiwan, September 1-2, 2010}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2010}, url = {https://aclanthology.org/O10-2001/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangKLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/LaiKCCW10, author = {Yu{-}Jen Lai and Wei{-}Hao Kuo and Wan{-}Ting Chiu and Shao{-}Ting Chang and Hung{-}Yu Wei}, editor = {Shivkumar Kalyanaraman and Venkata N. Padmanabhan and K. K. Ramakrishnan and Rajeev Shorey and Geoffrey M. Voelker}, title = {Accelerometer-assisted 802.11 rate adaptation on mass rapid transit system}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2010 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, New Delhi, India, August 30 -September 3, 2010}, pages = {421--422}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1851182.1851241}, doi = {10.1145/1851182.1851241}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/LaiKCCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/TsaiDLH09, author = {Richard Tzong{-}Han Tsai and Hong{-}Jie Dai and Po{-}Ting Lai and Chi{-}Hsin Huang}, title = {PubMed-EX: a web browser extension to enhance PubMed search with text mining features}, journal = {Bioinform.}, volume = {25}, number = {22}, pages = {3031--3032}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp475}, doi = {10.1093/BIOINFORMATICS/BTP475}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/TsaiDLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TsaiLDHBCPH09, author = {Richard Tzong{-}Han Tsai and Po{-}Ting Lai and Hong{-}Jie Dai and Chi{-}Hsin Huang and Yue{-}Yang Bow and Yen{-}Ching Chang and Wen{-}Harn Pan and Wen{-}Lian Hsu}, title = {HypertenGene: extracting key hypertension genes from biomedical literature with position and automatically-generated template features}, journal = {{BMC} Bioinform.}, volume = {10}, number = {{S-15}}, pages = {9}, year = {2009}, url = {https://doi.org/10.1186/1471-2105-10-S15-S9}, doi = {10.1186/1471-2105-10-S15-S9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/TsaiLDHBCPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/DuanTHL09, author = {Yong Duan and P. F. Tang and Ting{-}Zhu Huang and Sheng{-}Jian Lai}, title = {Coupling projection domain decomposition method and Kansa's method in electrostatic problems}, journal = {Comput. Phys. Commun.}, volume = {180}, number = {2}, pages = {209--214}, year = {2009}, url = {https://doi.org/10.1016/j.cpc.2008.09.009}, doi = {10.1016/J.CPC.2008.09.009}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/DuanTHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/LengHFL09, author = {Jin{-}Song Leng and Ting{-}Zhu Huang and Ying{-}Ding Fu and Choi{-}Hong Lai}, title = {A method for constructing trivariate nonseparable compactly supported orthogonal wavelets}, journal = {Int. J. Comput. Math.}, volume = {86}, number = {7}, pages = {1264--1273}, year = {2009}, url = {https://doi.org/10.1080/00207160701821723}, doi = {10.1080/00207160701821723}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/LengHFL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/MakLTK09, author = {Brian Kan{-}Wing Mak and Tsz{-}Chung Lai and Ivor W. Tsang and James Tin{-}Yau Kwok}, title = {Maximum Penalized Likelihood Kernel Regression for Fast Adaptation}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {17}, number = {7}, pages = {1372--1381}, year = {2009}, url = {https://doi.org/10.1109/TASL.2009.2019920}, doi = {10.1109/TASL.2009.2019920}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/MakLTK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/TingLLL09, author = {Kuo{-}Chang Ting and Hung{-}Chang Lee and Hsiu{-}Hui Lee and Feipei Lai}, title = {An idle listening-aware energy efficient scheme for the {DCF} of 802.11n}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {2}, pages = {447--454}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5174406}, doi = {10.1109/TCE.2009.5174406}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/TingLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/NgPWTC09, author = {Ka{-}Ho Ng and Lai{-}Man Po and Ka{-}Man Wong and Chi{-}Wang Ting and Kwok{-}Wai Cheung}, title = {A Search Patterns Switching Algorithm for Block Motion Estimation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {19}, number = {5}, pages = {753--759}, year = {2009}, url = {https://doi.org/10.1109/TCSVT.2009.2017414}, doi = {10.1109/TCSVT.2009.2017414}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/NgPWTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/PoNCWUT09, author = {Lai{-}Man Po and Ka{-}Ho Ng and Kwok{-}Wai Cheung and Ka{-}Man Wong and Y. M. S. Uddin and Chi{-}Wang Ting}, title = {Novel Directional Gradient Descent Searches for Fast Block Motion Estimation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {19}, number = {8}, pages = {1189--1195}, year = {2009}, url = {https://doi.org/10.1109/TCSVT.2009.2020320}, doi = {10.1109/TCSVT.2009.2020320}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/PoNCWUT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HsuL09, author = {Chun{-}Lung Hsu and Yi{-}Ting Lai}, title = {Low-Cost {CP-PLL} {DFT} Structure Implementation for Digital Testing Application}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {58}, number = {6}, pages = {1897--1906}, year = {2009}, url = {https://doi.org/10.1109/TIM.2008.2005852}, doi = {10.1109/TIM.2008.2005852}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HsuL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChangHTWL09, author = {Pei{-}Chann Chang and Wei{-}Hsiu Huang and Ching{-}Jung Ting and Ling{-}Chun Wu and Chih{-}Ming Lai}, title = {A Hybrid Genetic-Immune Algorithm with Improved Offsprings and Elitist Antigen for Flow-Shop Scheduling Problems}, booktitle = {11th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2009, 25-27 June 2009, Seoul, Korea}, pages = {591--596}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/HPCC.2009.68}, doi = {10.1109/HPCC.2009.68}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/ChangHTWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdim/ShihPL09, author = {Chia Chun Shih and Ting{-}Chun Peng and Wei Shen Lai}, editor = {Bill Grosky and Fr{\'{e}}d{\'{e}}ric Andr{\`{e}}s and Pit Pichappan}, title = {Mining the blogosphere to generate local cuisine hotspots for mobile map service}, booktitle = {Fourth {IEEE} International Conference on Digital Information Management, {ICDIM} 2009, November 1-4, 2009, University of Michigan, Ann Arbor, Michigan, {USA}}, pages = {152--159}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICDIM.2009.5356785}, doi = {10.1109/ICDIM.2009.5356785}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icdim/ShihPL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiaoWLH09, author = {Chia{-}Te Liao and Yu{-}Lin Wang and Shang{-}Hong Lai and Chiou{-}Ting Hsu}, title = {A novel color-context descriptor and its applications}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {438--441}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202528}, doi = {10.1109/ICME.2009.5202528}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiaoWLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/WangLHW09, author = {Shyue{-}Liang Wang and Ting{-}Zheng Lai and Tzung{-}Pei Hong and Yu{-}Lung Wu}, editor = {Been{-}Chian Chien and Tzung{-}Pei Hong and Shyi{-}Ming Chen and Moonis Ali}, title = {Hiding Predictive Association Rules on Horizontally Distributed Data}, booktitle = {Next-Generation Applied Intelligence, 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2009, Tainan, Taiwan, June 24-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5579}, pages = {133--141}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02568-6\_14}, doi = {10.1007/978-3-642-02568-6\_14}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/WangLHW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/LaiBHDTH09, author = {Po{-}Ting Lai and Yue{-}Yang Bow and Chi{-}Hsin Huang and Hong{-}Jie Dai and Richard Tzong{-}Han Tsai and Wen{-}Lian Hsu}, title = {Using Contextual Information to Clarify Gene Normalization Ambiguity}, booktitle = {Proceedings of the {IEEE} International Conference on Information Reuse and Integration, {IRI} 2009, 10-12 August 2009, Las Vegas, Nevada, {USA}}, pages = {1--5}, publisher = {{IEEE} Systems, Man, and Cybernetics Society}, year = {2009}, url = {https://doi.org/10.1109/IRI.2009.5211619}, doi = {10.1109/IRI.2009.5211619}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iri/LaiBHDTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiCTH09, author = {Ren{-}Hao Lai and Cheng{-}Ming Chen and Pangan Ting and Yuan{-}Hao Huang}, title = {A Modified Sorted-QR Decomposition Algorithm for Parallel Processing in {MIMO} Detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1405--1408}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118028}, doi = {10.1109/ISCAS.2009.5118028}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiCTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Chih{-}Chieh Yang and Shih{-}Hung Lin and Kuo{-}Pin Lan and Chien{-}Hua Wu and Ting{-}Hsun Wei and Chi{-}Chin Lien and Jiun{-}Yuan Wu and Chih{-}Hao Hsiao and Te{-}Wei Chen and Yeh{-}Lin Chu and Guan{-}Yi Lin and Yung{-}Chang Chang and Kung{-}Sheng Lin and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Chien{-}Hung Lin and Yung{-}Teng Lin and Shang{-}Ming Lee and Ya{-}Ching Yang and Yu{-}Lun Cheng and Chen{-}Chia Lee and Ming{-}Shiang Lai and Wen{-}Hua Wu and Ted Hu and Chao{-}Wei Tseng and Chen{-}Yu Hsiao and Wei{-}Liang Lee and Bo{-}Jiun Chen and Pao{-}Cheng Chiu and Shang{-}Ping Chen and Kun{-}Hsien Li and Kuan{-}Hua Chao and Chien{-}Ming Chen and Chuan{-}Cheng Hsiao and Jeffrey Ju and Wei{-}Hung Huang and Chi{-}Hui Wang and Hung{-}Sung Li and Evan Su and Joe Chen}, title = {A multi-format Blu-ray player SoC in 90nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {152--153}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977353}, doi = {10.1109/ISSCC.2009.4977353}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WeiCCKOLLCYSHLWLCCLYGLTTYY09, author = {J.{-}M. Wei and C.{-}N. Chen and K.{-}T. Chen and C.{-}F. Kuo and B.{-}H. Ong and C.{-}H. Lu and C.{-}C. Liu and H.{-}C. Chiou and H.{-}C. Yeh and J.{-}H. Shieh and K.{-}S. Huang and K.{-}I. Li and M.{-}J. Wu and M.{-}H. Li and S.{-}H. Chou and Soong Lin Chew and W.{-}L. Lien and W.{-}G. Yau and W.{-}Z. Ge and W.{-}C. Lai and W.{-}H. Ting and Y.{-}J. Tsai and Y.{-}C. Yen and Y.{-}C. Yeh}, title = {A 110nm {RFCMOS} {GPS} SoC with 34mW -165dBm tracking sensitivity}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {254--255}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977404}, doi = {10.1109/ISSCC.2009.4977404}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WeiCCKOLLCYSHLWLCCLYGLTTYY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/JiangLHL09, author = {Yao{-}Chuan Jiang and Kuan{-}Ting Lai and Chaur{-}Heh Hsieh and Mao{-}Fu Lai}, editor = {Toshikazu Wada and Fay Huang and Stephen Lin}, title = {Player Detection and Tracking in Broadcast Tennis Video}, booktitle = {Advances in Image and Video Technology, Third Pacific Rim Symposium, {PSIVT} 2009, Tokyo, Japan, January 13-16, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5414}, pages = {759--770}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-92957-4\_66}, doi = {10.1007/978-3-540-92957-4\_66}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/psivt/JiangLHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/LoCCCFHKKLLWYLLL09, author = {Hung{-}Yi Lo and Kai{-}Wei Chang and Shang{-}Tse Chen and Tsung{-}Hsien Chiang and Chun{-}Sung Ferng and Cho{-}Jui Hsieh and Yi{-}Kuang Ko and Tsung{-}Ting Kuo and Hung{-}Che Lai and Ken{-}Yi Lin and Chia{-}Hsuan Wang and Hsiang{-}Fu Yu and Chih{-}Jen Lin and Hsuan{-}Tien Lin and Shou{-}De Lin}, editor = {Gideon Dror and Marc Boull{\'{e}} and Isabelle Guyon and Vincent Lemaire and David Vogel}, title = {An Ensemble of Three Classifiers for {KDD} Cup 2009: Expanded Linear Model, Heterogeneous Boosting, and Selective Naive Bayes}, booktitle = {Proceedings of KDD-Cup 2009 competition, Paris, France, June 28, 2009}, series = {{JMLR} Proceedings}, volume = {7}, pages = {57--64}, publisher = {JMLR.org}, year = {2009}, url = {http://proceedings.mlr.press/v7/lo09.html}, timestamp = {Wed, 02 Sep 2020 16:33:16 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/LoCCCFHKKLLWYLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0905-2028, author = {Weng{-}Long Chang and Ting{-}Ting Ren and Mang Feng and Jun Luo and Kawuu Weicheng Lin and Minyi Guo and Lai Chin Lu}, title = {Quantum Algorithms of Bio-molecular Solutions for the Clique Problem on a Quantum Computer}, journal = {CoRR}, volume = {abs/0905.2028}, year = {2009}, url = {http://arxiv.org/abs/0905.2028}, eprinttype = {arXiv}, eprint = {0905.2028}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0905-2028.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/KherlopianSDNPGL08, author = {Armen Kherlopian and Ting Song and Qi Duan and Matthew A. Neimark and Ming Jack Po and John K. Gohagan and Andrew F. Laine}, title = {A review of imaging techniques for systems biology}, journal = {{BMC} Syst. Biol.}, volume = {2}, pages = {74}, year = {2008}, url = {https://doi.org/10.1186/1752-0509-2-74}, doi = {10.1186/1752-0509-2-74}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/KherlopianSDNPGL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LaiH08, author = {Jiun{-}Tze Lai and Ting{-}Wei Hou}, title = {Pocket {EZPIN} device for healthcare {IC} cards to enhance the security and convenience of senior citizens}, journal = {Comput. Biol. Medicine}, volume = {38}, number = {4}, pages = {411--415}, year = {2008}, url = {https://doi.org/10.1016/j.compbiomed.2008.01.004}, doi = {10.1016/J.COMPBIOMED.2008.01.004}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LaiH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinWCLBLCCL08, author = {Chao{-}Cheng Lin and Ying{-}Chieh Wang and Jen{-}Yeu Chen and Ying{-}Jay Liou and Ya{-}Mei Bai and I{-}Ching Lai and Tzu{-}Ting Chen and Hung{-}Wen Chiu and Yu{-}Chuan Li}, title = {Artificial neural network prediction of clozapine response with combined pharmacogenetic and clinical data}, journal = {Comput. Methods Programs Biomed.}, volume = {91}, number = {2}, pages = {91--99}, year = {2008}, url = {https://doi.org/10.1016/j.cmpb.2008.02.004}, doi = {10.1016/J.CMPB.2008.02.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LinWCLBLCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/XiaoLL08, author = {Tingting Xiao and Ke Liu and Kin Keung Lai}, title = {Tax Evasion: Models with Self-Audit}, journal = {J. Syst. Sci. Complex.}, volume = {21}, number = {4}, pages = {487--518}, year = {2008}, url = {https://doi.org/10.1007/s11424-008-9131-9}, doi = {10.1007/S11424-008-9131-9}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossac/XiaoLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YuMASLWWTMB08, author = {Zhiyi Yu and Michael J. Meeuwsen and Ryan W. Apperson and Omar Sattari and Michael A. Lai and Jeremy W. Webb and Eric W. Work and Dean Truong and Tinoosh Mohsenin and Bevan M. Baas}, title = {AsAP: An Asynchronous Array of Simple Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {3}, pages = {695--705}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.916616}, doi = {10.1109/JSSC.2007.916616}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YuMASLWWTMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LuHXHW08, author = {Jian{-}Duo Lu and Yang{-}Lai Hou and Zu{-}Zhao Xiong and Ting{-}Ping Hou and Ran Wei}, title = {The conductance and magnetoresistance effect in a periodically magnetically modulated nanostructure}, journal = {Microelectron. J.}, volume = {39}, number = {12}, pages = {1576--1579}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2008.03.003}, doi = {10.1016/J.MEJO.2008.03.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LuHXHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigkdd/LoCCHHKLYYYL08, author = {Hung{-}Yi Lo and Chun{-}Min Chang and Tsung{-}Hsien Chiang and Cho{-}Yi Hsiao and Anta Huang and Tsung{-}Ting Kuo and Wei{-}Chi Lai and Ming{-}Han Yang and Jung{-}Jung Yeh and Chun{-}Chao Yen and Shou{-}De Lin}, title = {Learning to improve area-under-FROC for imbalanced medical data classification using an ensemble method}, journal = {{SIGKDD} Explor.}, volume = {10}, number = {2}, pages = {43--46}, year = {2008}, url = {https://doi.org/10.1145/1540276.1540290}, doi = {10.1145/1540276.1540290}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigkdd/LoCCHHKLYYYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChenHLW08, author = {Yen{-}Liang Chen and Ming{-}Feng Hsu and Jyh{-}Ting Lai and An{-}Yeu Wu}, title = {Cost-Effective Joint Echo-NEXT Canceller Designs for 10GBase-T Ethernet Systems Based on a Shortened Impulse Response Filter {(SIRF)} Scheme}, journal = {J. Signal Process. Syst.}, volume = {52}, number = {1}, pages = {59--73}, year = {2008}, url = {https://doi.org/10.1007/s11265-007-0099-9}, doi = {10.1007/S11265-007-0099-9}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChenHLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/YuMASLWWMB08, author = {Zhiyi Yu and Michael J. Meeuwsen and Ryan W. Apperson and Omar Sattari and Michael A. Lai and Jeremy W. Webb and Eric W. Work and Tinoosh Mohsenin and Bevan M. Baas}, title = {Architecture and Evaluation of an Asynchronous Array of Simple Processors}, journal = {J. Signal Process. Syst.}, volume = {53}, number = {3}, pages = {243--259}, year = {2008}, url = {https://doi.org/10.1007/s11265-008-0162-1}, doi = {10.1007/S11265-008-0162-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/YuMASLWWMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atc/ChuHL08, author = {Hung{-}Chi Chu and Yi{-}Ting Hsu and Yong{-}Hsun Lai}, editor = {Chunming Rong and Martin Gilje Jaatun and Frode Eika Sandnes and Laurence Tianruo Yang and Jianhua Ma}, title = {A Weighted Routing Protocol Using Grey Relational Analysis for Wireless Ad Hoc Networks}, booktitle = {Autonomic and Trusted Computing, 5th International Conference, {ATC} 2008, Oslo, Norway, June 23-25, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5060}, pages = {655--663}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69295-9\_52}, doi = {10.1007/978-3-540-69295-9\_52}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/atc/ChuHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/HungLT08, author = {Regant Y. S. Hung and Kwok Fai Lai and Hing{-}Fung Ting}, editor = {Xiaodong Hu and Jie Wang}, title = {Finding Frequent Items in a Turnstile Data Stream}, booktitle = {Computing and Combinatorics, 14th Annual International Conference, {COCOON} 2008, Dalian, China, June 27-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5092}, pages = {498--509}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69733-6\_49}, doi = {10.1007/978-3-540-69733-6\_49}, timestamp = {Tue, 10 Dec 2019 14:59:33 +0100}, biburl = {https://dblp.org/rec/conf/cocoon/HungLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dms/LaiLCSSC08, author = {Serina Lai and Yen{-}Shan Lin and Yen{-}Ting Chen and Yu{-}Huei Su and Mei{-}Ju Su and Heng{-}Shuen Chen}, title = {Digital Art and Quality Living - Study of the Feasibility of Computerizing Senior Citizen Art Therapy}, booktitle = {Proceedings of the 14th International Conference on Distributed Multimedia Systems, {DMS} 2008, September 4-6, 2008, Hyatt Harborside Hotel, Boston, Massachusetts, {USA}}, pages = {370--373}, publisher = {Knowledge Systems Institute}, year = {2008}, timestamp = {Sat, 06 Jun 2009 13:28:54 +0200}, biburl = {https://dblp.org/rec/conf/dms/LaiLCSSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/YuLL08, author = {Ting{-}Jung Yu and K. Robert Lai and Baw{-}Jhiune Liu}, title = {Beliefs learning in fuzzy constraint-directed agent negotiation}, booktitle = {{FUZZ-IEEE} 2008, {IEEE} International Conference on Fuzzy Systems, Hong Kong, China, 1-6 June, 2008, Proceedings}, pages = {2052--2057}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FUZZY.2008.4630652}, doi = {10.1109/FUZZY.2008.4630652}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/YuLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/WangLHW08, author = {Shyue{-}Liang Wang and Ting{-}Zheng Lai and Tzung{-}Pei Hong and Yu{-}Lung Wu}, editor = {M. Arif Wani and Xue{-}wen Chen and David P. Casasent and Lukasz A. Kurgan and Tony Hu and Khalid Hafeez}, title = {Efficient Hiding of Collaborative Recommendation Association Rules with Updates}, booktitle = {Seventh International Conference on Machine Learning and Applications, {ICMLA} 2008, San Diego, California, USA, 11-13 December 2008}, pages = {737--740}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICMLA.2008.33}, doi = {10.1109/ICMLA.2008.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmla/WangLHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/YangWCLWLG08, author = {Ya{-}Ting Carolyn Yang and Wan{-}Chi Wu and Pei{-}Yun Chung and Chi{-}Sung Laih and Jia{-}Rong Wen and Chi{-}San Lin and Jian{-}Bin Gao}, editor = {Frederick W. B. Li and Jianmin Zhao and Timothy K. Shih and Rynson W. H. Lau and Qing Li and Dennis McLeod}, title = {The Practice and Experience Sharing of Three-Year Peer Coaching Program in Taiwan}, booktitle = {Advances in Web Based Learning - {ICWL} 2008, 7th International Conference, Jinhua, China, August 20-22, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5145}, pages = {87--98}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85033-5\_10}, doi = {10.1007/978-3-540-85033-5\_10}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/YangWCLWLG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/SongLRCBL08, author = {Ting Song and Vivian S. Lee and Henry Rusinek and Qun Chen and Louisa Bokacheva and Andrew Laine}, title = {Segmentation of 4D {MR} renography images using temporal dynamics in a level set framework}, booktitle = {Proceedings of the 2008 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008}, pages = {37--40}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISBI.2008.4540926}, doi = {10.1109/ISBI.2008.4540926}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/SongLRCBL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/ChangLC08, author = {Chuan{-}Yu Chang and Cheng{-}Ting Lai and Shao{-}Jer Chen}, editor = {Jeng{-}Shyang Pan and Ajith Abraham and Chin{-}Chen Chang}, title = {Applying the Particle Swarm Optimization and Boltzmann Function for Feature Selection and Classification of Lymph Node in Ultrasound Images}, booktitle = {Eighth International Conference on Intelligent Systems Design and Applications, {ISDA} 2008, 26-28 November 2008, Kaohsiung, Taiwan, 3 Volumes}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISDA.2008.255}, doi = {10.1109/ISDA.2008.255}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/ChangLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/KalvinLS08, author = {Alan D. Kalvin and Andrew F. Laine and Ting Song}, editor = {Michael I. Miga and Kevin Robert Cleary}, title = {Faster, higher quality volume visualization for 3D medical imaging}, booktitle = {Medical Imaging 2008: Visualization, Image-Guided Procedures, and Modeling, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6918}, pages = {691830}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.770990}, doi = {10.1117/12.770990}, timestamp = {Wed, 25 Apr 2018 07:41:53 +0200}, biburl = {https://dblp.org/rec/conf/miigp/KalvinLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/HwangSK08, author = {Lain{-}Jing Hwang and Chien{-}Chou Shih and I{-}Ting Kuo}, title = {A Novel Technique for Real-Time Internet Radio Recorder on Non-DSP Embedded System}, booktitle = {2008 International Conference on Multimedia and Ubiquitous Engineering {(MUE} 2008), 24-26 April 2008, Busan, Korea}, pages = {96--101}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MUE.2008.36}, doi = {10.1109/MUE.2008.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mue/HwangSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/LaiY08, author = {Wei Kuang Lai and Kai{-}Ting Yang}, title = {Frame Size Adaptation in Mobile Wireless Networks with Cross-Layer Cooperative Model}, booktitle = {{IEEE} International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2008, Avignon, France, 12-14 October 2008, Proceedings}, pages = {278--283}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WiMob.2008.82}, doi = {10.1109/WIMOB.2008.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wimob/LaiY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HsuLLTLLYSHSLCLLSYCKH07, author = {Chun{-}Nan Hsu and Jin{-}Mei Lai and Chia{-}Hung Liu and Huei{-}Hun Tseng and Chih{-}Yun Lin and Kuan{-}Ting Lin and Hsu{-}Hua Yeh and Ting{-}Yi Sung and Wen{-}Lian Hsu and Li{-}Jen Su and Sheng{-}An Lee and Chang{-}Han Chen and Gen{-}Cher Lee and D. T. Lee and Yow{-}Ling Shiue and Chang{-}Wei Yeh and Chao{-}Hui Chang and Cheng{-}Yan Kao and Chi{-}Ying F. Huang}, title = {Detection of the inferred interaction network in hepatocellular carcinoma from {EHCO} (Encyclopedia of Hepatocellular Carcinoma genes Online)}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-66}, doi = {10.1186/1471-2105-8-66}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HsuLLTLLYSHSLCLLSYCKH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LaiHYC07, author = {Jiun{-}Tze Lai and Ting{-}Wei Hou and Chiun{-}Lin Yeh and Chien{-}Min Chao}, title = {Using Healthcare {IC} Cards to manage the drug doses of chronic disease patients}, journal = {Comput. Biol. Medicine}, volume = {37}, number = {2}, pages = {206--213}, year = {2007}, url = {https://doi.org/10.1016/j.compbiomed.2005.12.005}, doi = {10.1016/J.COMPBIOMED.2005.12.005}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LaiHYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/ChouDL07, author = {Charles Ling{-}yu Chou and Timon C. Du and Vincent S. Lai}, title = {Continuous auditing with a multi-agent system}, journal = {Decis. Support Syst.}, volume = {42}, number = {4}, pages = {2274--2292}, year = {2007}, url = {https://doi.org/10.1016/j.dss.2006.08.002}, doi = {10.1016/J.DSS.2006.08.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/ChouDL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/NgaiCAL07, author = {Eric W. T. Ngai and T. C. Edwin Cheng and S. Au and Kee{-}hung Lai}, title = {Mobile commerce integrated with {RFID} technology in a container depot}, journal = {Decis. Support Syst.}, volume = {43}, number = {1}, pages = {62--76}, year = {2007}, url = {https://doi.org/10.1016/j.dss.2005.05.006}, doi = {10.1016/J.DSS.2005.05.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/NgaiCAL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/AngeliniSML07, author = {Elsa D. Angelini and Ting Song and Brett D. Mensh and Andrew F. Laine}, title = {Brain {MRI} Segmentation with Multiphase Minimal Partitioning: {A} Comparative Study}, journal = {Int. J. Biomed. Imaging}, volume = {2007}, pages = {10526:1--10526:15}, year = {2007}, url = {https://doi.org/10.1155/2007/10526}, doi = {10.1155/2007/10526}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/AngeliniSML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmis/LiangLK07, author = {Ting{-}Ping Liang and Hung{-}Jen Lai and Yi{-}Cheng Ku}, title = {Personalized Content Recommendation and User Satisfaction: Theoretical Synthesis and Empirical Findings}, journal = {J. Manag. Inf. Syst.}, volume = {23}, number = {3}, pages = {45--70}, year = {2007}, url = {http://www.jmis-web.org/articles/955}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmis/LiangLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BaasYMSAWWLMTC07, author = {Bevan M. Baas and Zhiyi Yu and Michael J. Meeuwsen and Omar Sattari and Ryan W. Apperson and Eric W. Work and Jeremy W. Webb and Michael A. Lai and Tinoosh Mohsenin and Dean Truong and Jason Cheung}, title = {AsAP: {A} Fine-Grained Many-Core Platform for {DSP} Applications}, journal = {{IEEE} Micro}, volume = {27}, number = {2}, pages = {34--45}, year = {2007}, url = {https://doi.org/10.1109/MM.2007.29}, doi = {10.1109/MM.2007.29}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/BaasYMSAWWLMTC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LaiFLHY07, author = {Chieh{-}Ming Lai and Yean{-}Kuen Fang and Chien{-}Ting Lin and Chia{-}Wei Hsu and Wen{-}Kuan Yeh}, title = {The impacts of high tensile stress {CESL} and geometry design on device performance and reliability for 90 nm {SOI} nMOSFETs}, journal = {Microelectron. Reliab.}, volume = {47}, number = {6}, pages = {944--952}, year = {2007}, url = {https://doi.org/10.1016/j.microrel.2006.06.010}, doi = {10.1016/J.MICROREL.2006.06.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LaiFLHY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/PoTWN07, author = {Lai{-}Man Po and Chi{-}Wang Ting and Ka{-}Man Wong and Ka{-}Ho Ng}, title = {Novel Point-Oriented Inner Searches for Fast Block Motion Estimation}, journal = {{IEEE} Trans. Multim.}, volume = {9}, number = {1}, pages = {9--15}, year = {2007}, url = {https://doi.org/10.1109/TMM.2006.886330}, doi = {10.1109/TMM.2006.886330}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/PoTWN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LaiWL07, author = {Jyh{-}Ting Lai and An{-}Yeu Wu and Chien{-}Hsiung Lee}, title = {Joint AGC-Equalization Algorithm and {VLSI} Architecture for Wirelined Transceiver Designs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {2}, pages = {236--240}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.893593}, doi = {10.1109/TVLSI.2007.893593}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LaiWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LaiWC07, author = {Jyh{-}Ting Lai and An{-}Yeu Wu and Wen{-}Chiang Chen}, title = {A Systematic Design Approach to the Band-Tracking Packet Detector in OFDM-Based Ultrawideband Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {56}, number = {6}, pages = {3791--3806}, year = {2007}, url = {https://doi.org/10.1109/TVT.2007.901030}, doi = {10.1109/TVT.2007.901030}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LaiWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LuNWPHYLC07, author = {Meng{-}Ting Lu and Hung Nien and Jui{-}Chieh Wu and Kuan{-}Jen Peng and Polly Huang and Jason J. Yao and Chih{-}Chun Lai and Homer H. Chen}, title = {A Scalable Peer-to-Peer {IPTV} System}, booktitle = {4th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2007, Las Vegas, NV, USA, January 11-13, 2007}, pages = {313--317}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CCNC.2007.68}, doi = {10.1109/CCNC.2007.68}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LuNWPHYLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/LaiTK07, author = {Chih{-}Chung Lai and Chuan{-}Kang Ting and Ren{-}Song Ko}, title = {An effective genetic algorithm to improve wireless sensor network lifetime for large-scale surveillance applications}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2007, 25-28 September 2007, Singapore}, pages = {3531--3538}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CEC.2007.4424930}, doi = {10.1109/CEC.2007.4424930}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/LaiTK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LaiTK07, author = {Chih{-}Chung Lai and Chuan{-}Kang Ting and Ren{-}Song Ko}, editor = {Hod Lipson}, title = {An effective genetic algorithm for improving wireless sensor network lifetime}, booktitle = {Genetic and Evolutionary Computation Conference, {GECCO} 2007, Proceedings, London, England, UK, July 7-11, 2007}, pages = {2260}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1276958.1277395}, doi = {10.1145/1276958.1277395}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/LaiTK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/YuLLK07, author = {Ting{-}Jung Yu and K. Robert Lai and Menq{-}Wen Lin and Bo{-}Ruei Kao}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {Modeling Opponent's Beliefs Via Fuzzy Constraint-Directed Approach in Agent Negotiation}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4681}, pages = {167--178}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74171-8\_17}, doi = {10.1007/978-3-540-74171-8\_17}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/YuLLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiCWCL07, author = {Jian{-}Yun Lai and Yan{-}Ting Chen and Te{-}Heng Wang and Hong{-}Si Chang and Jui{-}Lin Lai}, title = {Biosensor Integrated with Transducer to Detect the Glucose}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {2015--2018}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378433}, doi = {10.1109/ISCAS.2007.378433}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiCWCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/TingLL07, author = {Kuo{-}Chang Ting and Hsiu{-}Hui Lee and Feipei Lai}, editor = {Matthias P{\"{a}}tzold and Yuming Jiang and Yan Zhang}, title = {Design and Analysis of Enhanced Grouping {DCF} Scheme for the {MAC} Layer Enhancement of 802.11n with Ultra-high Data Rate}, booktitle = {Proceedings of the 4th {IEEE} International Symposium on Wireless Communication Systems, {ISWCS} 2007, Trondheim, Norway, 16-19 October 2007}, pages = {252--256}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISWCS.2007.4392340}, doi = {10.1109/ISWCS.2007.4392340}, timestamp = {Tue, 15 Jun 2021 11:10:56 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/TingLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChuLW07, author = {Chun{-}Yuan Chu and Jyh{-}Ting Lai and An{-}Yeu Wu}, title = {Robust Packet Detector based Automatic Gain Control Algorithm for OFDM-based Ultra-WideBand systems}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2007, Proceedings, October 17-19, 2007, Eton Hotel, Shanghai, China}, pages = {403--406}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SIPS.2007.4387581}, doi = {10.1109/SIPS.2007.4387581}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChuLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChuLH07, author = {Hung{-}Chi Chu and Yong{-}Hsun Lai and Yi{-}Ting Hsu}, title = {Automatic routing mechanism for data aggregation in wireless sensor networks}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {2092--2096}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4413955}, doi = {10.1109/ICSMC.2007.4413955}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChuLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LaiFCX07, author = {Yongxuan Lai and Xiaobo Fan and Hong Chen and Ting{-}Ting Xie}, editor = {Wenying Feng and Feng Gao}, title = {Optimization Framework for Distributed Clustering Scheme in Wireless Sensor Networks}, booktitle = {Proceedings of the 8th {ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2007, July 30 - August 1, 2007, Qingdao, China}, pages = {26--31}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SNPD.2007.300}, doi = {10.1109/SNPD.2007.300}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/LaiFCX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/LengCHL06, author = {Jin{-}Song Leng and Zheng{-}xing Cheng and Ting{-}Zhu Huang and Choi{-}Hong Lai}, title = {Construction and properties of multiwavelet packets with arbitrary scale and the related algorithms of decomposition and reconstruction}, journal = {Comput. Math. Appl.}, volume = {51}, number = {11}, pages = {1663--1676}, year = {2006}, url = {https://doi.org/10.1016/j.camwa.2006.05.005}, doi = {10.1016/J.CAMWA.2006.05.005}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/LengCHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HsuLCZHAC06, author = {Jui{-}Ting Hsu and Kuo{-}An Lai and Qingshan Chen and Mark E. Zobitz and Heng{-}Li Huang and Kai{-}Nan An and Chih{-}Han Chang}, title = {The relation between micromotion and screw fixation in acetabular cup}, journal = {Comput. Methods Programs Biomed.}, volume = {84}, number = {1}, pages = {34--41}, year = {2006}, url = {https://doi.org/10.1016/j.cmpb.2006.08.002}, doi = {10.1016/J.CMPB.2006.08.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/HsuLCZHAC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/BigdeliBSL06, author = {Abbas Bigdeli and Morteza Biglari{-}Abhari and Zoran Salcic and Yat Tin Lai}, title = {A New Pipelined Systolic Array-Based Architecture for Matrix Inversion in FPGAs with Kalman Filter Case Study}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2006}, year = {2006}, url = {https://doi.org/10.1155/ASP/2006/89186}, doi = {10.1155/ASP/2006/89186}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/BigdeliBSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LiaoDLTQLFC06, author = {Feifei Liao and Yiqing Ding and Yinyin Lin and Tingao Tang and Baowei Qiao and Yunfeng Lai and Jie Feng and Bomy Chen}, title = {Characterization of Ge\({}_{\mbox{2}}\)Sb\({}_{\mbox{2}}\)Te\({}_{\mbox{5}}\) thin film transistor and its application in non-volatile memory}, journal = {Microelectron. J.}, volume = {37}, number = {8}, pages = {841--844}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2005.10.007}, doi = {10.1016/J.MEJO.2005.10.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LiaoDLTQLFC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LvZLTQLFCC06, author = {Hangbing Lv and Peng Zhou and Yinyin Lin and Tingao Tang and Baowei Qiao and Yunfeng Lai and Jie Feng and Bingchu Cai and Bomy Chen}, title = {Electronic properties of {GST} for non-volatile memory}, journal = {Microelectron. J.}, volume = {37}, number = {9}, pages = {982--984}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2006.01.005}, doi = {10.1016/J.MEJO.2006.01.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LvZLTQLFCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/WengLL06, author = {Jui{-}Ting Weng and Jiunn Ru Lai and Wanjiun Liao}, title = {Modeling node mobility for reliable packet delivery in mobile ip networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {5}, number = {7}, pages = {1676--1684}, year = {2006}, url = {https://doi.org/10.1109/TWC.2006.1673079}, doi = {10.1109/TWC.2006.1673079}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/WengLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LaiLH06, author = {Yen{-}Cheng Lai and Phone Lin and Yao{-}Ting Huang}, title = {Design and implementation of a wireless internet remote access platform}, journal = {Wirel. Commun. Mob. Comput.}, volume = {6}, pages = {413--429}, year = {2006}, url = {https://doi.org/10.1002/wcm.270}, doi = {10.1002/WCM.270}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LaiLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/WuLC06, author = {Tin Yu Wu and Cheng{-}Chia Lai and Han{-}Chieh Chao}, title = {Efficient {IEEE} 802.11 handoff based on a novel geographical fingerprint scheme}, journal = {Wirel. Commun. Mob. Comput.}, volume = {6}, pages = {127--135}, year = {2006}, url = {https://doi.org/10.1002/wcm.273}, doi = {10.1002/WCM.273}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/WuLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/YangCYLCLHCTS06, author = {Tzu{-}Hsiang Yang and Po{-}Hsun Cheng and C. H. Yang and Feipei Lai and C. L. Chen and Hsiu{-}Hui Lee and Kai{-}Ping Hsu and Chi{-}Huang Chen and Ching{-}Ting Tan and Yeali S. Sun}, title = {A Scalable Multi-tier Architecture for the National Taiwan University Hospital Information System based on {HL7} Standard}, booktitle = {19th {IEEE} International Symposium on Computer-Based Medical Systems {(CBMS} 2006), 22-23 June 2006, Salt Lake City, Utah, {USA}}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CBMS.2006.27}, doi = {10.1109/CBMS.2006.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/YangCYLCLHCTS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/LaiH06, author = {Jiun{-}Tze Lai and Ting{-}Wei Hou}, editor = {Ferng{-}Ching Lin and Der{-}Tsai Lee and Bao{-}Shuh Paul Lin and Shiuhpyng Shieh and Sushil Jajodia}, title = {An efficient secure communication between set-top box and smart card in {DTV} broadcasting}, booktitle = {Proceedings of the 2006 {ACM} Symposium on Information, Computer and Communications Security, {ASIACCS} 2006, Taipei, Taiwan, March 21-24, 2006}, pages = {360}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128817.1128876}, doi = {10.1145/1128817.1128876}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/LaiH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmin/TingFC06, author = {Jo Ting and Tak{-}Chung Fu and Fu{-}Lai Chung}, editor = {Sven F. Crone and Stefan Lessmann and Robert Stahlbock}, title = {Mining of Stock Data: Intra- and Inter-Stock Pattern Associative Classification}, booktitle = {Proceedings of the 2006 International Conference on Data Mining, {DMIN} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {30--36}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Tue, 19 Dec 2006 08:59:49 +0100}, biburl = {https://dblp.org/rec/conf/dmin/TingFC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SongLRWL06, author = {Ting Song and Vivian S. Lee and Henry Rusinek and Samson Wong and Andrew F. Laine}, title = {Four Dimensional {MR} Image Analysis of Dynamic Renography}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {3134--3137}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260178}, doi = {10.1109/IEMBS.2006.260178}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SongLRWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {{GDCF:} Grouping {DCF} for the {MAC} layer enhancement of 802.11}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.815}, doi = {10.1109/GLOCOM.2006.815}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BaasYMSAWWLGCCT06, author = {Bevan M. Baas and Zhiyi Yu and Michael J. Meeuwsen and Omar Sattari and Ryan W. Apperson and Eric W. Work and Jeremy W. Webb and Michael A. Lai and Daniel Gurman and Chi Chen and Jason Cheung and Dean Truong and Tinoosh Mohsenin}, title = {Hardware and applications of AsAP: An asynchronous array of simple processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--31}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477855}, doi = {10.1109/HOTCHIPS.2006.7477855}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BaasYMSAWWLGCCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/LaiGOM06, author = {Xuecheng Lai and Shuzhi Sam Ge and Phian Ting Ong and Abdullah Al Mamun}, title = {Incremental Path Planning Using Partial Map Information for Mobile Robots}, booktitle = {Ninth International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2006, Singapore, 5-8 December 2006, Proceedings}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICARCV.2006.345286}, doi = {10.1109/ICARCV.2006.345286}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/LaiGOM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PoTN06, author = {Lai{-}Man Po and Chi{-}Wang Ting and Ka{-}Ho Ng}, title = {Enhanced Diamond Search Using Four-Corner-Based Inner Search For Fast Block Motion Estimation}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {901--904}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1660489}, doi = {10.1109/ICASSP.2006.1660489}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/PoTN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/LaiWLSZ06, author = {Yi{-}Ting Lai and Ke Wang and Daymond Ling and Hua Shi and Jason Zhang}, title = {Direct Marketing When There Are Voluntary Buyers}, booktitle = {Proceedings of the 6th {IEEE} International Conference on Data Mining {(ICDM} 2006), 18-22 December 2006, Hong Kong, China}, pages = {922--927}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICDM.2006.54}, doi = {10.1109/ICDM.2006.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/LaiWLSZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/PoTN06, author = {Lai{-}Man Po and Chi{-}Wang Ting and Ka{-}Ho Ng}, title = {Point Oriented Hexagonal Inner Search For Fast Block Motion Estimation}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2006, October 8-11, Atlanta, Georgia, {USA}}, pages = {2349--2352}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICIP.2006.312897}, doi = {10.1109/ICIP.2006.312897}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/PoTN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/AngeliniSL06, author = {Elsa D. Angelini and Ting Song and Andrew Laine}, title = {Homogeneity measures for multiphase level set segmentation of brain {MRI}}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Arlington, VA, USA, 6-9 April 2006}, pages = {746--749}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISBI.2006.1625024}, doi = {10.1109/ISBI.2006.1625024}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/AngeliniSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuWLH06, author = {Chun{-}Lung Hsu and Yu{-}Kuan Wu and Yi{-}Ting Lai and Mean{-}Horn Ho}, title = {Design of current-mode resonator for wireless applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692889}, doi = {10.1109/ISCAS.2006.1692889}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuWLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuMASLWWMSB06, author = {Zhiyi Yu and Michael J. Meeuwsen and Ryan W. Apperson and Omar Sattari and Michael A. Lai and Jeremy W. Webb and Eric W. Work and Tinoosh Mohsenin and Mandeep Singh and Bevan M. Baas}, title = {An asynchronous array of simple processors for dsp applications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1696--1705}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696225}, doi = {10.1109/ISSCC.2006.1696225}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuMASLWWMSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/SongLRWL06, author = {Ting Song and Vivian S. Lee and Henry Rusinek and Samson Wong and Andrew F. Laine}, editor = {Rasmus Larsen and Mads Nielsen and Jon Sporring}, title = {Integrated Four Dimensional Registration and Segmentation of Dynamic Renal {MR} Images}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2006, 9th International Conference, Copenhagen, Denmark, October 1-6, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4191}, pages = {758--765}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11866763\_93}, doi = {10.1007/11866763\_93}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/SongLRWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobility/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11e}, booktitle = {Proceedings of the 3rd international conference on Mobile technology, applications {\&} systems, Mobility '06, Bangkok, Thailand, October 25-27, 2006}, pages = {7}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1292331.1292340}, doi = {10.1145/1292331.1292340}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobility/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, editor = {Enrique Alba and Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Renato Lo Cigno}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11n}, booktitle = {Proceedings of the 9th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2006, Terromolinos, Spain, October 2-6, 2006}, pages = {255--264}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1164717.1164762}, doi = {10.1145/1164717.1164762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LaiCWC06, author = {Jyh{-}Ting Lai and Chun{-}Yuan Chu and An{-}Yeu Wu and Wen{-}Chiang Chen}, title = {A Robust Band-Tracking Packet Detector {(BT-PD)} in OFDM-Based Ultra-Wideband Systems}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, pages = {165--170}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SIPS.2006.352575}, doi = {10.1109/SIPS.2006.352575}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/LaiCWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LaiCWC06a, author = {Jyh{-}Ting Lai and Chun{-}Yuan Chu and An{-}Yeu Wu and Wen{-}Chiang Chen}, title = {A Low Cost Packet Detector in OFDM-Based Ultra-Wideband Systems}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, pages = {171--176}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SIPS.2006.352576}, doi = {10.1109/SIPS.2006.352576}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/LaiCWC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/LaiTK05, author = {Yung{-}Ling Lai and Chang{-}Sin Tian and Ting{-}Chun Ko}, title = {Edge Addition Number of Cartesian Product of Paths and Cycles}, journal = {Electron. Notes Discret. Math.}, volume = {22}, pages = {439--444}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.06.062}, doi = {10.1016/J.ENDM.2005.06.062}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/LaiTK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/LinLY05, author = {Menq{-}Wen Lin and K. Robert Lai and Ting{-}Jung Yu}, title = {Fuzzy Constraint-Based Agent Negotiation}, journal = {J. Comput. Sci. Technol.}, volume = {20}, number = {3}, pages = {319--330}, year = {2005}, url = {https://doi.org/10.1007/s11390-005-0319-3}, doi = {10.1007/S11390-005-0319-3}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/LinLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HsuLW05, author = {Chun{-}Lung Hsu and Yi{-}Ting Lai and Shu{-}Wei Wang}, title = {Built-in self-test for phase-locked loops}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {54}, number = {3}, pages = {996--1002}, year = {2005}, url = {https://doi.org/10.1109/TIM.2005.847343}, doi = {10.1109/TIM.2005.847343}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HsuLW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaa-idea/LaiWH05, author = {Wei{-}Chu Lai and Kuo{-}Ching Wu and Ting{-}Chao Hou}, title = {Design and Evaluation of Diffserv Functionalities in the {MPLS} Edge Router Architecture}, booktitle = {First International Workshop on Advanced Architectures and Algorithms for Internet Delivery and Applications, {AAA-IDEA} 2005, Orlando, Florida, USA, June 15, 2005}, pages = {18--25}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AAA-IDEA.2005.5}, doi = {10.1109/AAA-IDEA.2005.5}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaa-idea/LaiWH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HsiehHLHH05, author = {Ching{-}Tang Hsieh and Guang{-}Lin Hsieh and Eugene Lai and Zong{-}Ting Hsieh and Guo{-}Ming Hong}, title = {A Holter of Low Complexity Design Using Mixed Signal Processor}, booktitle = {Fifth {IEEE} International Symposium on Bioinformatic and Bioengineering {(BIBE} 2005), 19-21 October 2005, Minneapolis, MN, {USA}}, pages = {316--319}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/BIBE.2005.2}, doi = {10.1109/BIBE.2005.2}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/HsiehHLHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HungHWCLH05, author = {Min{-}Hsiung Hung and Feng{-}Yi Hsu and Tsung{-}Li Wang and Fan{-}Tien Cheng and Robin Lai and Tina Huang}, title = {A secure collaborative e-diagnostics framework for semiconductor factories}, booktitle = {{IEEE} International Conference on Automation Science and Engineering, {CASE} 2005, Edmonton, Alberta, Canada, August 1-2, 2005}, pages = {185--190}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/COASE.2005.1506766}, doi = {10.1109/COASE.2005.1506766}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/HungHWCLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/TsangKL05, author = {Ivor W. Tsang and James T. Kwok and Kimo T. Lai}, editor = {Luc De Raedt and Stefan Wrobel}, title = {Core Vector Regression for very large regression problems}, booktitle = {Machine Learning, Proceedings of the Twenty-Second International Conference {(ICML} 2005), Bonn, Germany, August 7-11, 2005}, series = {{ACM} International Conference Proceeding Series}, volume = {119}, pages = {912--919}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1102351.1102466}, doi = {10.1145/1102351.1102466}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/TsangKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ChangWTLL05, author = {Pei{-}Chann Chang and Yen{-}Wen Wang and Ching{-}Jung Ting and Chien{-}Yuan Lai and Chen{-}Hao Liu}, editor = {Lipo Wang and Ke Chen and Yew{-}Soon Ong}, title = {Evolving Case-Based Reasoning with Genetic Algorithm in Wholesaler's Returning Book Forecasting}, booktitle = {Advances in Natural Computation, First International Conference, {ICNC} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3612}, pages = {205--214}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11539902\_24}, doi = {10.1007/11539902\_24}, timestamp = {Sun, 02 Jun 2019 21:14:27 +0200}, biburl = {https://dblp.org/rec/conf/icnc/ChangWTLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/SongLRKL05, author = {Ting Song and Vivian S. Lee and Henry Rusinek and Manmeen Kaur and Andrew F. Laine}, editor = {James S. Duncan and Guido Gerig}, title = {Automatic 4-D Registration in Dynamic {MR} Renography Based on Over-Complete Dyadic Wavelet and Fourier Transforms}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2005, 8th International Conference, Palm Springs, CA, USA, October 26-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3750}, pages = {205--213}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11566489\_26}, doi = {10.1007/11566489\_26}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/SongLRKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/GueorguievASLEH05, author = {Atanas Gueorguiev and Peter K. Allen and Ting Song and Andrew Laine and William Edstrom and John E. Hunt}, editor = {Sebastian Thrun and Gaurav S. Sukhatme and Stefan Schaal}, title = {Microrobotic Streak Seeding For Protein Crystal Growth}, booktitle = {Robotics: Science and Systems I, June 8-11, 2005, Massachusetts Institute of Technology, Cambridge, Massachusetts, {USA}}, pages = {137--144}, publisher = {The {MIT} Press}, year = {2005}, url = {http://www.roboticsproceedings.org/rss01/p19.html}, doi = {10.15607/RSS.2005.I.019}, timestamp = {Fri, 29 Jan 2021 22:08:13 +0100}, biburl = {https://dblp.org/rec/conf/rss/GueorguievASLEH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/YehLSWHL05, author = {Jen{-}Chieh Yeh and Yan{-}Ting Lai and Yuan{-}Yuan Shih and Cheng{-}Wen Wu and Chien{-}Hung Ho and Yen{-}Tai Lin}, title = {Flash Memory Built-In Self-Diagnosis with Test Mode Control}, booktitle = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm Springs, CA, {USA}}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/VTS.2005.45}, doi = {10.1109/VTS.2005.45}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/YehLSWHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeungYLJTWWH04, author = {Gabriel M. Leung and Raymond Y. T. Yeung and Timothy Y. Y. Lai and Janice M. Johnston and Keith Y. K. Tin and Irene O. L. Wong and Pauline P. S. Woo and Lai Ming Ho}, title = {Physicians' perceptions towards the impact of and willingness to pay for clinical computerization in Hong Kong}, journal = {Int. J. Medical Informatics}, volume = {73}, number = {5}, pages = {403--414}, year = {2004}, url = {https://doi.org/10.1016/j.ijmedinf.2004.03.003}, doi = {10.1016/J.IJMEDINF.2004.03.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LeungYLJTWWH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LaiCL04, author = {Wei Kuang Lai and Chien Ting Chen and Chilin Li}, title = {A simple timeout algorithm for point-to-multipoint {ABR} service}, journal = {J. Commun. Networks}, volume = {6}, number = {1}, pages = {38--45}, year = {2004}, url = {https://doi.org/10.1109/JCN.2004.6596986}, doi = {10.1109/JCN.2004.6596986}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LaiCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YangWL04, author = {Meng{-}Da Yang and An{-}Yeu Wu and Jyh{-}Ting Lai}, title = {Fast convergent pipelined adaptive {DFE} architecture using post-cursor processing filter technique}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {51-II}, number = {2}, pages = {57--60}, year = {2004}, url = {https://doi.org/10.1109/TCSII.2003.822421}, doi = {10.1109/TCSII.2003.822421}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YangWL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YangWL04, author = {Meng{-}Da Yang and An{-}Yeu Wu and Jyh{-}Ting Lai}, title = {High-performance {VLSI} architecture of adaptive decision feedback equalizer based on predictive parallel branch slicer {(PPBS)} scheme}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {12}, number = {2}, pages = {218--226}, year = {2004}, url = {https://doi.org/10.1109/TVLSI.2003.820521}, doi = {10.1109/TVLSI.2003.820521}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YangWL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangLCW04, author = {Rei{-}Fu Huang and Yan{-}Ting Lai and Yung{-}Fa Chou and Cheng{-}Wen Wu}, editor = {Masaharu Imai}, title = {{SRAM} delay fault modeling and test algorithm development}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {104--109}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.183}, doi = {10.1109/ASPDAC.2004.183}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HuangLCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LaiBB04, author = {Yat Tin Lai and Abbas Bigdeli and Morteza Biglari{-}Abhari}, title = {An optimised systolic array-based matrix inversion for rapid prototyping of Kalman filters in FPGA's}, booktitle = {2004 12th European Signal Processing Conference, Vienna, Austria, September 6-10, 2004}, pages = {2035--2038}, publisher = {{IEEE}}, year = {2004}, url = {https://ieeexplore.ieee.org/document/7079982/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LaiBB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/TingLP04, author = {Chi{-}Wang Ting and Hong Lam and Lai{-}Man Po}, title = {Fast block-matching motion estimation bv recent-biased search for multiple reference frames}, booktitle = {Proceedings of the 2004 International Conference on Image Processing, {ICIP} 2004, Singapore, October 24-27, 2004}, pages = {1445--1448}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/TingLP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCLW04, author = {Hsiu{-}Ping Lin and Nancy Fang{-}Yih Chen and Jyh{-}Ting Lai and An{-}Yeu Wu}, title = {1000BASE-T Gigabit Ethernet baseband {DSP} {IC} design}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {401--404}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/AngeliniSML04, author = {Elsa D. Angelini and Ting Song and Brett D. Mensh and Andrew Laine}, editor = {Christian Barillot and David R. Haynor and Pierre Hellier}, title = {Multi-phase Three-Dimensional Level Set Segmentation of Brain {MRI}}, booktitle = {Medical Image Computing and Computer-Assisted Intervention -- {MICCAI} 2004, 7th International Conference Saint-Malo, France, September 26-29, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3216}, pages = {318--326}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30135-6\_39}, doi = {10.1007/978-3-540-30135-6\_39}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/miccai/AngeliniSML04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/AngeliniSML04, author = {Elsa D. Angelini and Ting Song and Brett D. Mensh and Andrew Laine}, editor = {J. Michael Fitzpatrick and Milan Sonka}, title = {Segmentation and quantitative evaluation of brain {MRI} data with a multiphase 3D implicit deformable model}, booktitle = {Medical Imaging 2004: Image Processing, San Diego, California, United States, 14-19 February 2004}, series = {{SPIE} Proceedings}, volume = {5370}, publisher = {{SPIE}}, year = {2004}, url = {https://doi.org/10.1117/12.535860}, doi = {10.1117/12.535860}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/AngeliniSML04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LiLL03, author = {Jung{-}Shian Li and Hsing{-}Chien Lai and Yi{-}Ting Lin}, title = {Achieving proportional DiffServ per-hop behaviour with {PLQF}}, journal = {Int. J. Commun. Syst.}, volume = {16}, number = {8}, pages = {715--733}, year = {2003}, url = {https://doi.org/10.1002/dac.614}, doi = {10.1002/DAC.614}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LiLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMicec/LaiLK03, author = {Hung{-}Jen Lai and Ting{-}Peng Liang and Yi{-}Cheng Ku}, editor = {Norman M. Sadeh and Mary Jo Dively and Robert J. Kauffman and Yannis Labrou and Onn Shehory and Rahul Telang and Lorrie Faith Cranor}, title = {Customized Internet news services based on customer profiles}, booktitle = {Proceedings of the 5th International Conference on Electronic Commerce, {ICEC} 2003, Pittsburgh, Pennsylvania, USA, September 30 - October 03, 2003}, series = {{ACM} International Conference Proceeding Series}, volume = {50}, pages = {225--229}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/948005.948035}, doi = {10.1145/948005.948035}, timestamp = {Tue, 06 Apr 2021 12:12:56 +0200}, biburl = {https://dblp.org/rec/conf/ACMicec/LaiLK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/VenkataWTCHLTSL03, author = {Ranianand Venkata and Wilson Wong and Tina Tran and Vinson Chan and Tim Hoang and Henry Lui and Uinh Ton and Sergey Shomurryev and Chong Lee and Shoujun Waiig and Huy Ngo and Malik Kdhani and Victor Maruri and Tin Lai and Tam Kpuyeu and Arch Zaliziiyak and Mei Luo and Toan Nguyen and Kazi Asaduzzaman and Siniardeep Maangat and John Lam and Rakesh Patel}, title = {Architecture and methodology of a SoPC with 3.25Gbps {CDR} based {SERDES} and 1Gbps dynamic phase alignment}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {659--662}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249481}, doi = {10.1109/CICC.2003.1249481}, timestamp = {Mon, 15 Nov 2021 17:53:34 +0100}, biburl = {https://dblp.org/rec/conf/cicc/VenkataWTCHLTSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ike/LinLY03, author = {Menq{-}Wen Lin and K. Robert Lai and Ting{-}Jung Yu}, editor = {Hamid R. Arabnia}, title = {Fuzzy Constraint-Based Agents for Negotiation in E-Commerce}, booktitle = {Proceedings of the International Conference on Information and Knowledge Engineering. IKE'03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {452--459}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 01 Jun 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ike/LinLY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/YuLL03, author = {Ting{-}Jung Yu and K. Robert Lai and Menq{-}Wen Lin}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Multi-Agent System for Collaborative Design}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 3}, pages = {1012--1018}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 01 Jun 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/YuLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/ws/03/LaiCC03, author = {Wei{-}Cheng Lai and Edward Y. Chang and Kwang{-}Ting (Tim) Cheng}, editor = {Apostolos Antonacopoulos and Jianying Hu}, title = {An Anatomy of a Large-Scale Image Search Engine}, booktitle = {Web Document Analysis}, series = {Series in Machine Perception and Artificial Intelligence}, volume = {55}, pages = {235--254}, publisher = {World Scientific}, year = {2003}, url = {https://doi.org/10.1142/9789812775375\_0013}, doi = {10.1142/9789812775375\_0013}, timestamp = {Mon, 26 Aug 2019 18:32:49 +0200}, biburl = {https://dblp.org/rec/books/ws/03/LaiCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KrsticLCCD02, author = {Angela Krstic and Wei{-}Cheng Lai and Kwang{-}Ting Cheng and Li Chen and Sujit Dey}, title = {Embedded Software-Based Self-Test for Programmable Core-Based Designs}, journal = {{IEEE} Des. Test Comput.}, volume = {19}, number = {4}, pages = {18--27}, year = {2002}, url = {https://doi.org/10.1109/MDT.2002.1018130}, doi = {10.1109/MDT.2002.1018130}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KrsticLCCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/LiangL02, author = {Ting{-}Peng Liang and Hung{-}Jen Lai}, title = {Effect of store design on consumer purchases: an empirical study of on-line bookstores}, journal = {Inf. Manag.}, volume = {39}, number = {6}, pages = {431--444}, year = {2002}, url = {https://doi.org/10.1016/S0378-7206(01)00129-X}, doi = {10.1016/S0378-7206(01)00129-X}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/LiangL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangCLYY03, author = {Yen{-}Hua Huang and Yu{-}Tin Chen and Jiunn{-}Jei Lai and S.{-}T. Yang and Ueng{-}Cheng Yang}, title = {{PALS} db: Putative Alternative Splicing database}, journal = {Nucleic Acids Res.}, volume = {30}, number = {1}, pages = {186--190}, year = {2002}, url = {https://doi.org/10.1093/nar/30.1.186}, doi = {10.1093/NAR/30.1.186}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangCLYY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WuSCYCTCLLCPY02, author = {Ming{-}Ting Wu and Jer{-}Ming Sheen and Kai{-}Hsiang Chuang and Pinchen Yang and Shieuh{-}Lii Chin and Chin{-}Ying Tsai and Chung{-}Jen Chen and Jan{-}Ray Liao and Ping{-}Hong Lai and Kuo{-}An Chu and Huay{-}Ben Pan and Chien{-}Fang Yang}, title = {Neuronal Specificity of Acupuncture Response: {A} fMRI Study with Electroacupuncture}, journal = {NeuroImage}, volume = {16}, number = {4}, pages = {1028--1037}, year = {2002}, url = {https://doi.org/10.1006/nimg.2002.1145}, doi = {10.1006/NIMG.2002.1145}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/WuSCYCTCLLCPY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KrsticLCCD02, author = {Angela Krstic and Wei{-}Cheng Lai and Kwang{-}Ting Cheng and Li Chen and Sujit Dey}, title = {Embedded software-based self-testing for SoC design}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {355--360}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514010}, doi = {10.1145/513918.514010}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KrsticLCCD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LiangL02, author = {Ting{-}Peng Liang and Hung{-}Jen Lai}, title = {Discovering User Interests from Web Browsing Behavior: An Application to Internet News Services}, booktitle = {35th Hawaii International Conference on System Sciences {(HICSS-35} 2002), {CD-ROM} / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, {USA}}, pages = {203}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HICSS.2002.994214}, doi = {10.1109/HICSS.2002.994214}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LiangL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LaiCCCC02, author = {Wei{-}Cheng Lai and Chengwei Chang and Edward Y. Chang and Kwang{-}Ting Cheng and Michael Crandell}, editor = {Lawrence A. Rowe and Bernard M{\'{e}}rialdo and Max M{\"{u}}hlh{\"{a}}user and Keith W. Ross and Nevenka Dimitrova}, title = {{PBIR-MM:} multimodal image retrieval and annotation}, booktitle = {Proceedings of the 10th {ACM} International Conference on Multimedia 2002, Juan les Pins, France, December 1-6, 2002}, pages = {421--422}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/641007.641097}, doi = {10.1145/641007.641097}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/LaiCCCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LaiCC02, author = {Wei{-}Cheng Lai and Edward Y. Chang and Kwang{-}Ting Cheng}, editor = {Yung{-}Chang Chen and Long{-}Wen Chang and Chiou{-}Ting Hsu}, title = {Hybrid Learning Schemes for Multimedia Information Retrieval}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2002, Third {IEEE} Pacific Rim Conference on Multimedia, Hsinchu, Taiwan, December 16-18, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2532}, pages = {556--563}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36228-2\_69}, doi = {10.1007/3-540-36228-2\_69}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pcm/LaiCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/WuCCCHLW02, author = {Yi{-}Leh Wu and Edward Y. Chang and Kwang{-}Ting Cheng and Chengwei Chang and Chen{-}Cha Hsu and Wei{-}Cheng Lai and Ching{-}Tung Wu}, editor = {Yung{-}Chang Chen and Long{-}Wen Chang and Chiou{-}Ting Hsu}, title = {{MORF:} {A} Distributed Multimodal Information Filtering System}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2002, Third {IEEE} Pacific Rim Conference on Multimedia, Hsinchu, Taiwan, December 16-18, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2532}, pages = {279--286}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36228-2\_35}, doi = {10.1007/3-540-36228-2\_35}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pcm/WuCCCHLW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LaiLWW01, author = {Jianbang Lai and Ming{-}Shiun Lin and Ting{-}Chi Wang and Li{-}C. Wang}, editor = {Satoshi Goto}, title = {Module placement with boundary constraints using the sequence-pair representation}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {515--520}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370522}, doi = {10.1145/370155.370522}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LaiLWW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangLLW01, author = {Zhi{-}Hong Wang and En{-}Cheng Liu and Jianbang Lai and Ting{-}Chi Wang}, editor = {Satoshi Goto}, title = {Power minization in LUT-based {FPGA} technology mapping}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {635--640}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370569}, doi = {10.1145/370155.370569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangLLW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LaiC01, author = {Wei{-}Cheng Lai and Kwang{-}Ting Cheng}, title = {Instruction-Level {DFT} for Testing Processor and {IP} Cores in System-on-a-Chip}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {59--64}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378282}, doi = {10.1145/378239.378282}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LaiC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/LiLCC01, author = {Beitao Li and Wei{-}Cheng Lai and Edward Y. Chang and Kwang{-}Ting Cheng}, editor = {Nick Cercone and Tsau Young Lin and Xindong Wu}, title = {Mining Image Features for Efficient Query Processing}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Data Mining, 29 November - 2 December 2001, San Jose, California, {USA}}, pages = {353--360}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICDM.2001.989539}, doi = {10.1109/ICDM.2001.989539}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/LiLCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangLW01, author = {Yi{-}He Jiang and Jianbang Lai and Ting{-}Chi Wang}, title = {Module placement with pre-placed modules using the B*-tree representation}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {347--350}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922056}, doi = {10.1109/ISCAS.2001.922056}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangLW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LiuLLW01, author = {En{-}Cheng Liu and Ming{-}Shiun Lin and Jianbang Lai and Ting{-}Chi Wang}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Slicing floorplan design with boundary-constrained modules}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {124--129}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369751}, doi = {10.1145/369691.369751}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LiuLLW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChangCLWCW01, author = {Edward Y. Chang and Kwang{-}Ting Cheng and Wei{-}Cheng Lai and Ching{-}Tung Wu and Chengwei Chang and Yi{-}Leh Wu}, editor = {Nicolas D. Georganas and Radu Popescu{-}Zeletin}, title = {{PBIR:} perception-based image retrieval-a system that can quickly capture subjective image query concepts}, booktitle = {Proceedings of the 9th {ACM} International Conference on Multimedia 2001, Ottawa, Ontario, Canada, September 30 - October 5, 2001}, pages = {611--614}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/500141.500260}, doi = {10.1145/500141.500260}, timestamp = {Tue, 09 Mar 2021 16:21:56 +0100}, biburl = {https://dblp.org/rec/conf/mm/ChangCLWCW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nddl/TaitML01, author = {John Tait and Sharon McDonald and Ting{-}Sheng Lai}, editor = {Pedro T. Isa{\'{\i}}as}, title = {{CHROMA:} An Experimental Image Retrieval System}, booktitle = {New Developments in Digital Libraries, Proceedings of the 1st International Workshop on New Developments in Digital Libraries, {NDDL} 2001, In conjunction with {ICEIS} 2001, Set{\'{u}}bal, Portugal, July 6-7, 2001}, pages = {141--151}, publisher = {{ICEIS} Press}, year = {2001}, timestamp = {Wed, 21 Aug 2002 13:48:27 +0200}, biburl = {https://dblp.org/rec/conf/nddl/TaitML01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/McDonaldTL01, author = {Sharon McDonald and John Tait and Ting{-}Sheng Lai}, editor = {W. Bruce Croft and David J. Harper and Donald H. Kraft and Justin Zobel}, title = {Evaluating a Content Based Image Retrieval System}, booktitle = {{SIGIR} 2001: Proceedings of the 24th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, September 9-13, 2001, New Orleans, Louisiana, {USA}}, pages = {232--240}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/383952.383993}, doi = {10.1145/383952.383993}, timestamp = {Tue, 06 Nov 2018 11:07:24 +0100}, biburl = {https://dblp.org/rec/conf/sigir/McDonaldTL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/LaiHC01, author = {Wei{-}Cheng Lai and Jing{-}Reng Huang and Kwang{-}Ting (Tim) Cheng}, title = {Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses}, booktitle = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, {USA}}, pages = {204--209}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/VTS.2001.923440}, doi = {10.1109/VTS.2001.923440}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/LaiHC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LaiKC00, author = {Wei{-}Cheng Lai and Angela Krstic and Kwang{-}Ting (Tim) Cheng}, title = {Functionally Testable Path Delay Faults on a Microprocessor}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {4}, pages = {6--14}, year = {2000}, url = {https://doi.org/10.1109/54.895002}, doi = {10.1109/54.895002}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LaiKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LiangL00, author = {Ting{-}Peng Liang and Hung{-}Jeng Lai}, title = {Electronic Store Design and Consumer Choice: An Empirical Study}, booktitle = {33rd Annual Hawaii International Conference on System Sciences (HICSS-33), 4-7 January, 2000, Maui, Hawaii, {USA}}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/HICSS.2000.926863}, doi = {10.1109/HICSS.2000.926863}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LiangL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LaiKC00, author = {Wei{-}Cheng Lai and Angela Krstic and Kwang{-}Ting Cheng}, title = {Test program synthesis for path delay faults in microprocessor cores}, booktitle = {Proceedings {IEEE} International Test Conference 2000, Atlantic City, NJ, USA, October 2000}, pages = {1080--1089}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/TEST.2000.894321}, doi = {10.1109/TEST.2000.894321}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LaiKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/LaiKC00, author = {Wei{-}Cheng Lai and Angela Krstic and Kwang{-}Ting Cheng}, title = {On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set}, booktitle = {18th {IEEE} {VLSI} Test Symposium {(VTS} 2000), 30 April - 4 May 2000, Montreal, Canada}, pages = {15--22}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/VTEST.2000.843821}, doi = {10.1109/VTEST.2000.843821}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/LaiKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LaiSY99, author = {Wai Kuen Lai and Ting Wai Siu and Sze{-}Fong Yau}, title = {High quality signal reception in the presence of stationary interference-a blind signal separation approach}, booktitle = {Proceedings of the 1999 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '99, Phoenix, Arizona, USA, March 15-19, 1999}, pages = {889--892}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICASSP.1999.759814}, doi = {10.1109/ICASSP.1999.759814}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LaiSY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiuYL99, author = {Ting Wai Siu and Sze{-}Fong Yau and Wai Kuen Lai}, title = {An adaptive projection pursuit filter for separating stationary interferences from nonstationary signals}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {162--165}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.778810}, doi = {10.1109/ISCAS.1999.778810}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SiuYL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LaiT99, author = {Ting{-}Sheng Lai and John Tait}, editor = {Fredric C. Gey and Marti A. Hearst and Richard M. Tong}, title = {{CHROMA:} {A} Content-based Image Retrieval System (demonstration abstract)}, booktitle = {{SIGIR} '99: Proceedings of the 22nd Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, August 15-19, 1999, Berkeley, CA, {USA}}, pages = {324}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/312624.312756}, doi = {10.1145/312624.312756}, timestamp = {Tue, 06 Nov 2018 11:07:23 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LaiT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LaiT98, author = {Ting{-}Sheng Lai and John Tait}, editor = {W. Bruce Croft and Alistair Moffat and C. J. van Rijsbergen and Ross Wilkinson and Justin Zobel}, title = {Using Global Colour Features for General Photographic Image Indexing and Retrieval}, booktitle = {{SIGIR} '98: Proceedings of the 21st Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, August 24-28 1998, Melbourne, Australia}, pages = {349--350}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/290941.291038}, doi = {10.1145/290941.291038}, timestamp = {Tue, 06 Nov 2018 11:07:23 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LaiT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/WangLLC95, author = {Lih{-}Yang Wang and Yen{-}Tai Lai and Bin{-}Da Liu and Tin{-}Chung Chang}, title = {Performance-directed compaction for {VLSI} symbolic layouts}, journal = {Comput. Aided Des.}, volume = {27}, number = {1}, pages = {65--74}, year = {1995}, url = {https://doi.org/10.1016/0010-4485(95)90754-4}, doi = {10.1016/0010-4485(95)90754-4}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/WangLLC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/LiangLCWC94, author = {Ting{-}Peng Liang and Hsiangchu Lai and Nian{-}Shing Chen and Hungshiung Wei and Meng Chang Chen}, title = {When Client/Server Isn't Enough: Coordinating Multiple Distributed Tasks}, journal = {Computer}, volume = {27}, number = {5}, pages = {73--79}, year = {1994}, url = {https://doi.org/10.1109/2.291288}, doi = {10.1109/2.291288}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/LiangLCWC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/HwangLL93, author = {Ching{-}Lai Hwang and Young{-}Jou Lai and Ting{-}Yun Liu}, title = {A new approach for multiple objective decision making}, journal = {Comput. Oper. Res.}, volume = {20}, number = {8}, pages = {889--899}, year = {1993}, url = {https://doi.org/10.1016/0305-0548(93)90109-V}, doi = {10.1016/0305-0548(93)90109-V}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/HwangLL93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/annes/JabriLBFLPTC93, author = {Marwan A. Jabri and Philip Heng Wai Leong and Jim Burr and Barry Flower and Kin Keung Lai and Stephen Pickard and Edward Tinker and Richard Coggins}, title = {An analogue neural network using {MCM} technology}, booktitle = {First New Zealand International Two-Stream Conference on Artificial Neural Networks and Expert Systems, {ANNES} '93, Dunedin, New Zealand, November 24-26, 1993}, pages = {122--125}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/ANNES.1993.323066}, doi = {10.1109/ANNES.1993.323066}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/annes/JabriLBFLPTC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLLC93, author = {Lih{-}Yang Wang and Yen{-}Tai Lai and Bin{-}Da Liu and Ting{-}Chung Chang}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {A graph-based simplex algorithm for minimizing the layout size and the delay on timing critical paths}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {703--708}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580165}, doi = {10.1109/ICCAD.1993.580165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangLLC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLC93, author = {Lih{-}Yang Wang and Yen{-}Tai Lai and Bin{-}Da Liu and Tin{-}Chung Chang}, title = {Layout Compaction with Minimzed Delay Bound on Timing Critical Paths}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {1849--1852}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLLC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.