default search action
Search dblp for Publications
export results for "Steve Chang"
@article{DBLP:journals/compsec/MersinasBF25, author = {Konstantinos Mersinas and Maria Bada and Steven Furnell}, title = {Cybersecurity behavior change: {A} conceptualization of ethical principles for behavioral interventions}, journal = {Comput. Secur.}, volume = {148}, pages = {104025}, year = {2025}, url = {https://doi.org/10.1016/j.cose.2024.104025}, doi = {10.1016/J.COSE.2024.104025}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/MersinasBF25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangLJHJG24, author = {Lei Chang and Yaoyu Lei and Peng Jiang and Wei Hu and Wen Jiang and Steven Shichang Gao}, title = {An Ultrawideband Phased Array Based on Exponential Curve Modified Dipoles}, journal = {{IEEE} Access}, volume = {12}, pages = {26529--26535}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3362672}, doi = {10.1109/ACCESS.2024.3362672}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChangLJHJG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/EdwardsZHDSHSM24, author = {Harley Edwards and Joseph Zavorskas and Walker Huso and Alexander G. Doan and Caton Silbiger and Steven Harris and Ranjan Srivastava and Mark R. Marten}, title = {Using flux theory in dynamic omics data sets to identify differentially changing signals using DPoP}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {312}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05938-9}, doi = {10.1186/S12859-024-05938-9}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/EdwardsZHDSHSM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fbinf/SehlBSLZLHBDMWMRJ24, author = {Mary E. Sehl and Elizabeth Crabb Breen and Roger Shih and Fengxue Li and Joshua Zhang and Peter Langfelder and Steve Horvath and Jay H. Bream and Priya Duggal and Jeremy Martinson and Steven M. Wolinsky and Otoniel Martinez{-}Maza and Christina M. Ramirez and Beth D. Jamieson}, title = {Decreased but persistent epigenetic age acceleration is associated with changes in T-cell subsets after initiation of highly active antiretroviral therapy in persons living with {HIV}}, journal = {Frontiers Bioinform.}, volume = {4}, year = {2024}, url = {https://doi.org/10.3389/fbinf.2024.1356509}, doi = {10.3389/FBINF.2024.1356509}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fbinf/SehlBSLZLHBDMWMRJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/NiOGC24, author = {Pin Ni and Ramin Okhrati and Steven Guan and Victor Chang}, title = {Knowledge Graph and Deep Learning-based Text-to-GraphQL Model for Intelligent Medical Consultation Chatbot}, journal = {Inf. Syst. Frontiers}, volume = {26}, number = {1}, pages = {137--156}, year = {2024}, url = {https://doi.org/10.1007/s10796-022-10295-0}, doi = {10.1007/S10796-022-10295-0}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/NiOGC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/NiOGC24a, author = {Pin Ni and Ramin Okhrati and Steven Guan and Victor Chang}, title = {Correction to: Knowledge Graph and Deep Learning-based Text-to-GraphQL Model for Intelligent Medical Consultation Chatbot}, journal = {Inf. Syst. Frontiers}, volume = {26}, number = {1}, pages = {157}, year = {2024}, url = {https://doi.org/10.1007/s10796-022-10319-9}, doi = {10.1007/S10796-022-10319-9}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/NiOGC24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/LiWWLLFSZD24, author = {Shoujie Li and Zihan Wang and Changsheng Wu and Xiang Li and Shan Luo and Bin Fang and Fuchun Sun and Xiao{-}Ping Zhang and Wenbo Ding}, title = {When Vision Meets Touch: {A} Contemporary Review for Visuotactile Sensors From the Signal Processing Perspective}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {18}, number = {3}, pages = {267--287}, year = {2024}, url = {https://doi.org/10.1109/JSTSP.2024.3416841}, doi = {10.1109/JSTSP.2024.3416841}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/LiWWLLFSZD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KumarPSAAMFLSGVG24, author = {G. Pradeep Kumar and Rajanikant Panda and Kanishka Sharma and A. Adarsh and Jitka Annen and Charlotte Martial and Marie {\'{E}}lisabeth Faymonville and Steven Laureys and Corine Sombrun and Ramakrishnan Angarai Ganesan and Audrey Vanhaudenhuyse and Olivia Gosseries}, title = {Changes in high-order interaction measures of synergy and redundancy during non-ordinary states of consciousness induced by meditation, hypnosis, and auto-induced cognitive trance}, journal = {NeuroImage}, volume = {293}, pages = {120623}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120623}, doi = {10.1016/J.NEUROIMAGE.2024.120623}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/KumarPSAAMFLSGVG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaLLHLWJ24, author = {Wenjia Ma and Jianrong Li and Shaojin Liu and Yan Han and Xue Liu and Zhiqian Wang and Changhong Jiang}, title = {An Autocollimator Axial Measurement Method Based on the Strapdown Inertial Navigation System}, journal = {Sensors}, volume = {24}, number = {8}, pages = {2590}, year = {2024}, url = {https://doi.org/10.3390/s24082590}, doi = {10.3390/S24082590}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MaLLHLWJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/FengDHMSWWML24, author = {Tuo Feng and Laura Duncanson and Steven Hancock and Paul M. Montesano and Sergii Skakun and Michael A. Wulder and Joanne C. White and David Minor and Tatiana Loboda}, title = {Characterizing Fire-Induced Forest Structure and Aboveground Biomass Changes in Boreal Forests Using Multitemporal Lidar and Landsat}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {17}, pages = {10108--10125}, year = {2024}, url = {https://doi.org/10.1109/JSTARS.2024.3400218}, doi = {10.1109/JSTARS.2024.3400218}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/FengDHMSWWML24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/LiDLH24, author = {Yafeng Li and Steven X. Ding and Guopin Liu and Changchun Hua}, title = {Sampled-Data-Based Distributed Output Feedback Leader-Following Consensus for Time-Delay Multiagent Systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {69}, number = {1}, pages = {582--589}, year = {2024}, url = {https://doi.org/10.1109/TAC.2023.3270373}, doi = {10.1109/TAC.2023.3270373}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tac/LiDLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/LiDZH24, author = {Kuo Li and Steven X. Ding and Wei Xing Zheng and Changchun Hua}, title = {Global Distributed Fault-Tolerant Consensus Control of Nonlinear Delayed Multiagent Systems With Hybrid Faults}, journal = {{IEEE} Trans. Autom. Control.}, volume = {69}, number = {3}, pages = {1967--1974}, year = {2024}, url = {https://doi.org/10.1109/TAC.2023.3303098}, doi = {10.1109/TAC.2023.3303098}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tac/LiDZH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/CasasCBD24, author = {Jonathan Casas and Chen{-}Hao Chang and Steven W. Brose and Victor H. Duenas}, title = {Switched Adaptive Integral Concurrent Learning for Powered FES-Cycling}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {21}, number = {3}, pages = {4905--4916}, year = {2024}, url = {https://doi.org/10.1109/TASE.2023.3305185}, doi = {10.1109/TASE.2023.3305185}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/CasasCBD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/DavisLCCC24, author = {Steven Davis and Jianbo Liu and Boyang Cheng and Muya Chang and Ningyuan Cao}, title = {In-Situ Privacy via Mixed-Signal Perturbation and Hardware-Secure Data Reversibility}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {6}, pages = {2538--2549}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2024.3383337}, doi = {10.1109/TCSI.2024.3383337}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/DavisLCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdp/BaleFSWC24, author = {Cameron D. Bale and Jordan L. Fischer and Matthew J. Schneider and Steven Weber and Suzanne Chang}, title = {Legally Anonymizing Location Data Under the {GDPR}}, journal = {Trans. Data Priv.}, volume = {17}, number = {1}, pages = {1--30}, year = {2024}, url = {http://www.tdp.cat/issues21/abs.a472a22.php}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdp/BaleFSWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ZhangLTMSF24, author = {Qingyu Zhang and Reza Langari and H. Eric Tseng and Shankar Mohan and Steven Szwabowski and Dimitar P. Filev}, title = {Stackelberg Differential Lane Change Game Based on {MPC} and Inverse {MPC}}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {8}, pages = {8473--8485}, year = {2024}, url = {https://doi.org/10.1109/TITS.2024.3386790}, doi = {10.1109/TITS.2024.3386790}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ZhangLTMSF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/StalderVBLHS24, author = {Steven Stalder and Michele Volpi and Nicolas B{\"{u}}ttner and Stephen Law and Kenneth Harttgen and Esra Suel}, title = {Self-supervised learning unveils urban change from street-level images}, journal = {Comput. Environ. Urban Syst.}, volume = {112}, pages = {102156}, year = {2024}, url = {https://doi.org/10.1016/j.compenvurbsys.2024.102156}, doi = {10.1016/J.COMPENVURBSYS.2024.102156}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/StalderVBLHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/RenswouwBLWV24, author = {Loes van Renswouw and Sander Bogers and Carine Lallemand and Pieter Van Wesemael and Steven Vos}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {Changing Perspective on Data in Designing for Active Environments}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3661635}, doi = {10.1145/3643834.3661635}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/RenswouwBLWV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/digipro/StevensonB24, author = {Thomas Stevenson and Val{\'{e}}rie Bernard}, editor = {Kelsey Hurley and Eva Abramycheva and Per H. Christensen and Lee Kerley}, title = {Developing a Curve Rigging Toolset: a Case Study in Adapting to Production Changes}, booktitle = {Proceedings of the 2024 Digital Production Symposium, DigiPro 2024, Denver, CO, USA, 27 July 2024}, pages = {8}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3665320.3670990}, doi = {10.1145/3665320.3670990}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/digipro/StevensonB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/LiCCBLR24, author = {Steven Li and Josh Chang and Nishkarsh Chavda and Iryna Borshchova and Jeremy Lalibert{\'{e}} and Luis Rodrigues}, title = {Unmanned Aircraft Path Planning Using Air Traffic Density}, booktitle = {18th {IEEE} International Conference on Control {\&} Automation, {ICCA} 2024, Reykjav{\'{\i}}k, Iceland, June 18-21, 2024}, pages = {109--114}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCA62789.2024.10591824}, doi = {10.1109/ICCA62789.2024.10591824}, timestamp = {Fri, 16 Aug 2024 10:25:20 +0200}, biburl = {https://dblp.org/rec/conf/icca/LiCCBLR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/PaulCXCCSPKCRSB24, author = {Dipanjyoti Paul and Arpita Chowdhury and Xinqi Xiong and Feng{-}Ju Chang and David Edward Carlyn and Samuel Stevens and Kaiya Provost and Anuj Karpatne and Bryan Carstens and Daniel I. Rubenstein and Charles V. Stewart and Tanya Y. Berger{-}Wolf and Yu Su and Wei{-}Lun Chao}, title = {A Simple Interpretable Transformer for Fine-Grained Image Classification and Analysis}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=bkdWThqE6q}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/PaulCXCCSPKCRSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeW24, author = {Chang Won Lee and Steven L. Waslander}, title = {UncertaintyTrack: Exploiting Detection and Localization Uncertainty in Multi-Object Tracking}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {4946--4953}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610458}, doi = {10.1109/ICRA57147.2024.10610458}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SongCDSYJ0S24, author = {Haocong Song and Wenyuan Chen and Changsheng Dai and Guanqiao Shan and Steven Yang and Aojun Jiang and Zhuoran Zhang and Yu Sun}, title = {Automated Sperm Immobilization with a Clinically-Compatible and Compact {XYZ} Stage}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {17736--17742}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611426}, doi = {10.1109/ICRA57147.2024.10611426}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/SongCDSYJ0S24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/ChangJKPSE24, author = {Austin Chang and Thomas Jankovic and Lauren Khair and David Pinney and Matthew Stevenson and Fathalla Eldali}, title = {MicrogridUP: Prospecting High Value Microgrid Deployments Across Full Utility Systems With a Multi-Staged Optimization Approach}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2024, Washington, DC, USA, February 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISGT59692.2024.10454215}, doi = {10.1109/ISGT59692.2024.10454215}, timestamp = {Wed, 13 Mar 2024 18:04:53 +0100}, biburl = {https://dblp.org/rec/conf/isgt/ChangJKPSE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangTIFFASLHCRCRPWBRCDNWGGDYSJT24, author = {J. Q. Wang and Amber Tan and Amrutha Iyer and A. Fan and A. Farhoodfar and B. Alnabulsi and B. Smith and Chang{-}Feng Loi and Cheng{-}Ru Ho and D. Cartina and Jamal Riani and J. Casanova and Karthik Raviprakash and L. Patra and Luke Wang and M. Bachu and Sagar Ray and Sau Siong Chong and S. Dallaire and The Linh Nguyen and Tzu{-}Fan Wu and Vishal Giridharan and V. Gurumoorthy and X. Ding and Y. Yin and Zhuochao Sun and Steve Jantzi and Lawrence Tse}, title = {7.1 {A} 2.69pJ/b 212Gb/s DSP-Based {PAM-4} Transceiver for Optical Direct-Detect Application in 5nm FinFET}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {123--125}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454275}, doi = {10.1109/ISSCC49657.2024.10454275}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangTIFFASLHCRCRPWBRCDNWGGDYSJT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/SunCCD24, author = {Lu Sun and Aaron Chan and Yun Seo Chang and Steven P. Dow}, title = {ReviewFlow: Intelligent Scaffolding to Support Academic Peer Reviewing}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {120--137}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645159}, doi = {10.1145/3640543.3645159}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/SunCCD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LiXZXXGRFLD0Z24, author = {Jiarong Li and Qinghao Xu and Qingyang Zhu and Zixuan Xie and Zhancong Xu and Changshuo Ge and Liguang Ruan and H. Y. Fu and Xiaojun Liang and Wenbo Ding and Weihua Gui and Xiao{-}Ping Zhang}, editor = {Tadashi Okoshi and JeongGil Ko and Robert LiKamWa}, title = {Demo: SolarSense: {A} Self-powered Ubiquitous Gesture Recognition System for Industrial Human-Computer Interaction}, booktitle = {Proceedings of the 22nd Annual International Conference on Mobile Systems, Applications and Services, {MOBISYS} 2024, Minato-ku, Tokyo, Japan, June 3-7, 2024}, pages = {600--601}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643832.3661838}, doi = {10.1145/3643832.3661838}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LiXZXXGRFLD0Z24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qomex/AmiriMH24, author = {Mitra Amiri and Steven Le Moan and Christian Herglotz}, title = {Exploiting Change Blindness for Video Coding: Perspectives from a Less Promising User Study}, booktitle = {16th International Conference on Quality of Multimedia Experience, QoMEX 2024, Karlshamn, Sweden, June 18-20, 2024}, pages = {43--49}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/QoMEX61742.2024.10598281}, doi = {10.1109/QOMEX61742.2024.10598281}, timestamp = {Thu, 01 Aug 2024 14:16:16 +0200}, biburl = {https://dblp.org/rec/conf/qomex/AmiriMH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03530, author = {Lu Sun and Aaron Chan and Yun Seo Chang and Steven P. Dow}, title = {ReviewFlow: Intelligent Scaffolding to Support Academic Peer Reviewing}, journal = {CoRR}, volume = {abs/2402.03530}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03530}, doi = {10.48550/ARXIV.2402.03530}, eprinttype = {arXiv}, eprint = {2402.03530}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12303, author = {Chang Won Lee and Steven L. Waslander}, title = {UncertaintyTrack: Exploiting Detection and Localization Uncertainty in Multi-Object Tracking}, journal = {CoRR}, volume = {abs/2402.12303}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12303}, doi = {10.48550/ARXIV.2402.12303}, eprinttype = {arXiv}, eprint = {2402.12303}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12303.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08611, author = {Xin Tie and Muheon Shin and Changhee Lee and Scott B. Perlman and Zachary Huemann and Amy J. Weisman and Sharon M. Castellino and Kara M. Kelly and Kathleen M. McCarten and Adina L. Alazraki and Junjie Hu and Steve Y. Cho and Tyler J. Bradshaw}, title = {Automatic Quantification of Serial {PET/CT} Images for Pediatric Hodgkin Lymphoma Patients Using a Longitudinally-Aware Segmentation Network}, journal = {CoRR}, volume = {abs/2404.08611}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08611}, doi = {10.48550/ARXIV.2404.08611}, eprinttype = {arXiv}, eprint = {2404.08611}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08611.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-04441, author = {Paola Soto and Miguel Camelo and Danny De Vleeschauwer and Yorick De Bock and Nina Slamnik{-}Krijestorac and Chia{-}Yu Chang and Natalia Gaviria and Erik Mannens and Juan Felipe Botero and Steven Latr{\'{e}}}, title = {Designing, Developing, and Validating Network Intelligence for Scaling in Service-Based Architectures based on Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2405.04441}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.04441}, doi = {10.48550/ARXIV.2405.04441}, eprinttype = {arXiv}, eprint = {2405.04441}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-04441.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19595, author = {Jeffrey D. Rudie and Hui{-}Ming Lin and Robyn L. Ball and Sabeena Jalal and Luciano M. Prevedello and Savvas Nicolaou and Brett S. Marinelli and Adam E. Flanders and Kirti Magudia and George Shih and Melissa A. Davis and John Mongan and Peter D. Chang and Ferco H. Berger and Sebastiaan Hermans and Meng Law and Tyler Richards and Jan{-}Peter Grunz and Andreas Steven Kunz and Shobhit Mathur and Sandro Galea{-}Soler and Andrew D. Chung and Saif Afat and Chin{-}Chi Kuo and Layal Aweidah and Ana Villanueva Campos and Arjuna Somasundaram and Felipe Antonio Sanchez Tijmes and Attaporn Jantarangkoon and Leonardo Kayat Bittencourt and Michael Brassil and Ayoub El Hajjami and Hakan Dogan and Muris Becircic and Agrahara G. Bharatkumar and Eduardo Moreno J{\'{u}}dice de Mattos Farina and Dataset Curator Group and Dataset Contributor Group and Dataset Annotator Group and Errol Colak}, title = {The {RSNA} Abdominal Traumatic Injury {CT} {(RATIC)} Dataset}, journal = {CoRR}, volume = {abs/2405.19595}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19595}, doi = {10.48550/ARXIV.2405.19595}, eprinttype = {arXiv}, eprint = {2405.19595}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19595.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-00555, author = {Haowen Zhou and Steven Lin and Mark Watson and Cory T. Bernadt and Oumeng Zhang and Ramaswamy Govindan and Richard J. Cote and Changhuei Yang}, title = {Length-scale study in deep learning prediction for non-small cell lung cancer brain metastasis}, journal = {CoRR}, volume = {abs/2406.00555}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.00555}, doi = {10.48550/ARXIV.2406.00555}, eprinttype = {arXiv}, eprint = {2406.00555}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-00555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-01339, author = {Donghwi Kim and Hyungjun Yoon and Chang Min Park and Sujin Han and Youngjin Kwon and Steven Y. Ko and Sung{-}Ju Lee}, title = {Recover as It is Designed to Be: Recovering from Compatibility Mobile App Crashes by Reusing User Flows}, journal = {CoRR}, volume = {abs/2406.01339}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.01339}, doi = {10.48550/ARXIV.2406.01339}, eprinttype = {arXiv}, eprint = {2406.01339}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-01339.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-04152, author = {Steven Arzt and Linda Schreiber and Dominik Appelt}, title = {Position: How Regulation Will Change Software Security Research}, journal = {CoRR}, volume = {abs/2406.04152}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.04152}, doi = {10.48550/ARXIV.2406.04152}, eprinttype = {arXiv}, eprint = {2406.04152}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-04152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12226, author = {Shoujie Li and Zihan Wang and Changsheng Wu and Xiang Li and Shan Luo and Bin Fang and Fuchun Sun and Xiao{-}Ping Zhang and Wenbo Ding}, title = {When Vision Meets Touch: {A} Contemporary Review for Visuotactile Sensors from the Signal Processing Perspective}, journal = {CoRR}, volume = {abs/2406.12226}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12226}, doi = {10.48550/ARXIV.2406.12226}, eprinttype = {arXiv}, eprint = {2406.12226}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03836, author = {Julie Mordacq and L{\'{e}}o Milecki and Maria Vakalopoulou and Steve Oudot and Vicky Kalogeiton}, title = {{ADAPT:} Multimodal Learning for Detecting Physiological Changes under Missing Modalities}, journal = {CoRR}, volume = {abs/2407.03836}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03836}, doi = {10.48550/ARXIV.2407.03836}, eprinttype = {arXiv}, eprint = {2407.03836}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03836.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-06174, author = {Hannah Lee and Changyeon Lee and Kevin Farhat and Lin Qiu and Steve Geluso and Aerin Kim and Oren Etzioni}, title = {The Tug-of-War Between Deepfake Generation and Detection}, journal = {CoRR}, volume = {abs/2407.06174}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.06174}, doi = {10.48550/ARXIV.2407.06174}, eprinttype = {arXiv}, eprint = {2407.06174}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-06174.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-06998, author = {Emiliano Penaloza and Nathaniel T. Stevens}, title = {Changepoint Detection in Highly-Attributed Dynamic Graphs}, journal = {CoRR}, volume = {abs/2407.06998}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.06998}, doi = {10.48550/ARXIV.2407.06998}, eprinttype = {arXiv}, eprint = {2407.06998}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-06998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-16447, author = {Samuele Cornell and Taejin Park and Steve Huang and Christoph B{\"{o}}ddeker and Xuankai Chang and Matthew Maciejewski and Matthew Wiesner and Paola Garc{\'{\i}}a and Shinji Watanabe}, title = {The CHiME-8 {DASR} Challenge for Generalizable and Array Agnostic Distant Automatic Speech Recognition and Diarization}, journal = {CoRR}, volume = {abs/2407.16447}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.16447}, doi = {10.48550/ARXIV.2407.16447}, eprinttype = {arXiv}, eprint = {2407.16447}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-16447.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-07892, author = {Steven Adler and Zo{\"{e}} Hitzig and Shrey Jain and Catherine Brewer and Wayne Chang and Renee DiResta and Eddy Lazzarin and Sean McGregor and Wendy Seltzer and Divya Siddarth and Nouran Soliman and Tobin South and Connor Spelliscy and Manu Sporny and Varya Srivastava and John Bailey and Brian Christian and Andrew Critch and Ronnie Falcon and Heather Flanagan and Kim Hamilton Duffy and Eric Ho and Claire R. Leibowicz and Srikanth Nadhamuni and Alan Z. Rozenshtein and David Schnurr and Evan Shapiro and Lacey Strahm and Andrew Trask and Zoe Weinberg and Cedric Whitney and Tom Zick}, title = {Personhood credentials: Artificial intelligence and the value of privacy-preserving tools to distinguish who is real online}, journal = {CoRR}, volume = {abs/2408.07892}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.07892}, doi = {10.48550/ARXIV.2408.07892}, eprinttype = {arXiv}, eprint = {2408.07892}, timestamp = {Mon, 23 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-07892.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/HeHOLX23, author = {Chang He and Weiqing Huang and Haibin Ouyang and Steven Li and Jianhua Xiang}, title = {Virus control optimizer based on the prevention and control mechanism of {COVID-19} for engineering optimization problems}, journal = {Appl. Soft Comput.}, volume = {147}, pages = {110770}, year = {2023}, url = {https://doi.org/10.1016/j.asoc.2023.110770}, doi = {10.1016/J.ASOC.2023.110770}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/HeHOLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WangN23, author = {Junmin Wang and Steven Novick}, title = {{DOSE-L1000:} unveiling the intricate landscape of compound-induced transcriptional changes}, journal = {Bioinform.}, volume = {39}, number = {10}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad683}, doi = {10.1093/BIOINFORMATICS/BTAD683}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/WangN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ObermayerCNTTWCERGMTCS23, author = {Alyssa N. Obermayer and Darwin Chang and Gabrielle Nobles and Mingxiang Teng and Aik Choon Tan and Xuefeng Wang and Y. Ann Chen and Steven Eschrich and Paulo C. Rodriguez and G. Daniel Grass and Soheil Meshinchi and Ahmad Tarhini and Dung{-}tsa Chen and Timothy I. Shaw}, title = {{PATH-SURVEYOR:} pathway level survival enquiry for immuno-oncology and drug repurposing}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {266}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05393-y}, doi = {10.1186/S12859-023-05393-Y}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ObermayerCNTTWCERGMTCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SotoCVBCBL23, author = {Paola Soto and Miguel Camelo and Danny De Vleeschauwer and Yorick De Bock and Chia{-}Yu Chang and Juan Felipe Botero and Steven Latr{\'{e}}}, title = {Network Intelligence for {NFV} Scaling in Closed-Loop Architectures}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {6}, pages = {66--72}, year = {2023}, url = {https://doi.org/10.1109/MCOM.001.2200529}, doi = {10.1109/MCOM.001.2200529}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SotoCVBCBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncs/0003NESFBBCSCFF23, author = {Martin Becker and Huda Nassar and Camilo Espinosa and Ina A. Stelzer and Dorien Feyaerts and Elo{\"{\i}}se Berson and Neda Hajiakhoond Bidoki and Alan L. Chang and Geetha Saarunya and Anthony Culos and Davide De Francesco and Ramin Fallahzadeh and Qun Liu and Yeasul Kim and Ivana Maric and Samson Mataraso and Seyedeh Neelufar Payrovnaziri and Thanaphong Phongpreecha and Neal G. Ravindra and Natalie Stanley and Sayane Shome and Yuqi Tan and Melan Thuraiappah and Maria Xenochristou and Lei Xue and Gary M. Shaw and David K. Stevenson and Martin S. Angst and Brice Gaudilliere and Nima Aghaeepour}, title = {Large-scale correlation network construction for unraveling the coordination of complex biological systems}, journal = {Nat. Comput. Sci.}, volume = {3}, number = {4}, pages = {346--359}, year = {2023}, url = {https://doi.org/10.1038/s43588-023-00429-y}, doi = {10.1038/S43588-023-00429-Y}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncs/0003NESFBBCSCFF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/SlamnikKrijestoracCCSCVLM23, author = {Nina Slamnik{-}Krijestorac and Miguel Camelo and Chia{-}Yu Chang and Paola Soto and Luca Cominardi and Danny De Vleeschauwer and Steven Latr{\'{e}} and Johann M. M{\'{a}}rquez{-}Barja}, title = {AI-Empowered Management and Orchestration of Vehicular Systems in the Beyond 5G Era}, journal = {{IEEE} Netw.}, volume = {37}, number = {4}, pages = {305--313}, year = {2023}, url = {https://doi.org/10.1109/MNET.008.2300024}, doi = {10.1109/MNET.008.2300024}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/network/SlamnikKrijestoracCCSCVLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/RavindraEBPZBCSMFMSTXGASHSEA23, author = {Neal G. Ravindra and Camilo Espinosa and Elo{\"{\i}}se Berson and Thanaphong Phongpreecha and Peinan Zhao and Martin Becker and Alan L. Chang and Sayane Shome and Ivana Maric and Davide De Francesco and Samson Mataraso and Geetha Saarunya and Melan Thuraiappah and Lei Xue and Brice Gaudilliere and Martin S. Angst and Gary M. Shaw and Erik D. Herzog and David K. Stevenson and Sarah K. England and Nima Aghaeepour}, title = {Deep representation learning identifies associations between physical activity and sleep patterns during pregnancy and prematurity}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00911-x}, doi = {10.1038/S41746-023-00911-X}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/RavindraEBPZBCSMFMSTXGASHSEA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/BabuMCPCCEDS23, author = {Pradeep Raj Krishnappa Babu and J. Mat{\'{\i}}as Di Martino and Zhuoqing Chang and Sam Perochon and Kimberly L. H. Carpenter and Scott Compton and Steven Espinosa and Geraldine Dawson and Guillermo Sapiro}, title = {Exploring Complexity of Facial Dynamics in Autism Spectrum Disorder}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {14}, number = {2}, pages = {919--930}, year = {2023}, url = {https://doi.org/10.1109/TAFFC.2021.3113876}, doi = {10.1109/TAFFC.2021.3113876}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/BabuMCPCCEDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/GedikOCKH23, author = {Ekin Gedik and Jeffrey Olenick and Chu{-}Hsiang Chang and Steve W. J. Kozlowski and Hayley Hung}, title = {Capturing Interaction Quality in Long Duration (Simulated) Space Missions With Wearables}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {14}, number = {3}, pages = {2139--2152}, year = {2023}, url = {https://doi.org/10.1109/TAFFC.2022.3176967}, doi = {10.1109/TAFFC.2022.3176967}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/GedikOCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/LiDHL23, author = {Yafeng Li and Steven X. Ding and Changchun Hua and Guopin Liu}, title = {Distributed Adaptive Leader-Following Consensus for Nonlinear Multiagent Systems With Actuator Failures Under Directed Switching Graphs}, journal = {{IEEE} Trans. Cybern.}, volume = {53}, number = {1}, pages = {211--221}, year = {2023}, url = {https://doi.org/10.1109/TCYB.2021.3091392}, doi = {10.1109/TCYB.2021.3091392}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/LiDHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChenZLW23, author = {Yue Chen and Changhong Zhao and Steven H. Low and Adam Wierman}, title = {An Energy Sharing Mechanism Considering Network Constraints and Market Power Limitation}, journal = {{IEEE} Trans. Smart Grid}, volume = {14}, number = {2}, pages = {1027--1041}, year = {2023}, url = {https://doi.org/10.1109/TSG.2022.3198721}, doi = {10.1109/TSG.2022.3198721}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChenZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiDHC23, author = {Yafeng Li and Steven X. Ding and Changchun Hua and Jiannan Chen}, title = {Output-Constrained Consensus Tracking for High-Order Nonlinear Multiagent Systems Under Switching Networks}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {53}, number = {12}, pages = {7608--7617}, year = {2023}, url = {https://doi.org/10.1109/TSMC.2023.3298700}, doi = {10.1109/TSMC.2023.3298700}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/LiDHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiDHL23, author = {Yafeng Li and Steven X. Ding and Changchun Hua and Guopin Liu}, title = {Distributed Output-Feedback Bipartite Consensus for Stochastic Nonlinear Multiagent Systems Under Directed Switching Networks}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {53}, number = {5}, pages = {2748--2757}, year = {2023}, url = {https://doi.org/10.1109/TSMC.2022.3218654}, doi = {10.1109/TSMC.2022.3218654}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LiDHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/FangZL23, author = {Bohang Fang and Changhong Zhao and Steven H. Low}, title = {Convergence of Backward/Forward Sweep for Power Flow Solution in Radial Networks}, booktitle = {62nd {IEEE} Conference on Decision and Control, {CDC} 2023, Singapore, December 13-15, 2023}, pages = {4034--4039}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CDC49753.2023.10383981}, doi = {10.1109/CDC49753.2023.10383981}, timestamp = {Mon, 29 Jan 2024 17:30:32 +0100}, biburl = {https://dblp.org/rec/conf/cdc/FangZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsm/JimenezSVCBLC23, author = {Julian Jimenez and Paola Soto and Danny De Vleeschauwer and Chia{-}Yu Chang and Yorick De Bock and Steven Latr{\'{e}} and Miguel Camelo}, title = {Resource Allocation of Multi-User Workloads in Cloud and Edge Data-Centers Using Reinforcement Learning}, booktitle = {19th International Conference on Network and Service Management, {CNSM} 2023, Niagara Falls, ON, Canada, October 30 - Nov. 2, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/CNSM59352.2023.10327797}, doi = {10.23919/CNSM59352.2023.10327797}, timestamp = {Tue, 05 Dec 2023 20:47:36 +0100}, biburl = {https://dblp.org/rec/conf/cnsm/JimenezSVCBLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/DedhePC23, author = {Abhishek M. Dedhe and Steven T. Piantadosi and Jessica F. Cantlon}, editor = {Micah B. Goldwater and Florencia K. Anggoro and Brett K. Hayes and Desmond C. Ong}, title = {Conceptual Change During Recursive Pattern Learning in Children and Adults}, booktitle = {Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023}, publisher = {cognitivesciencesociety.org}, year = {2023}, url = {https://escholarship.org/uc/item/6356z7pp}, timestamp = {Thu, 02 May 2024 16:36:09 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/DedhePC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crv/DeeryLW23, author = {Jacob Deery and Chang Won Lee and Steven L. Waslander}, title = {ProPanDL: {A} Modular Architecture for Uncertainty-Aware Panoptic Segmentation}, booktitle = {20th Conference on Robots and Vision, {CRV} 2023, Montreal, QC, Canada, June 6-8, 2023}, pages = {137--144}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CRV60082.2023.00025}, doi = {10.1109/CRV60082.2023.00025}, timestamp = {Fri, 08 Sep 2023 15:28:18 +0200}, biburl = {https://dblp.org/rec/conf/crv/DeeryLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuCZDCC23, author = {Jianbo Liu and Boyang Cheng and Pengyu Zeng and Steven Davis and Muya Chang and Ningyuan Cao}, title = {Privacy-by-Sensing with Time-domain Differentially-Private Compressed Sensing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137205}, doi = {10.23919/DATE56975.2023.10137205}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuCZDCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AndrowisEARSKY23, author = {Ghaith J. Androwis and Amanda Engler and Salli AlRabadi and Sameer Rana and Brittany Snider and Steven Kirshblum and Guang H. Yue}, title = {Motor Control Changes after Utilizing Upper Extremity Myoelectric Powered Wearable Orthotics in Persons with Acute {SCI}}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340685}, doi = {10.1109/EMBC40787.2023.10340685}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/AndrowisEARSKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccma/SchreckRHDS23, author = {Steven Schreck and Hannes Reichert and Manuel Hetzel and Konrad Doll and Bernhard Sick}, title = {Height Change Feature Based Free Space Detection}, booktitle = {11th International Conference on Control, Mechatronics and Automation, {ICCMA} 2023, Grimstad, Norway, November 1-3, 2023}, pages = {171--176}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCMA59762.2023.10374705}, doi = {10.1109/ICCMA59762.2023.10374705}, timestamp = {Tue, 16 Jan 2024 21:01:22 +0100}, biburl = {https://dblp.org/rec/conf/iccma/SchreckRHDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/Chang0DPZLLZJLA23, author = {Shuaichen Chang and Jun Wang and Mingwen Dong and Lin Pan and Henghui Zhu and Alexander Hanbo Li and Wuwei Lan and Sheng Zhang and Jiarong Jiang and Joseph Lilien and Steve Ash and William Yang Wang and Zhiguo Wang and Vittorio Castelli and Patrick Ng and Bing Xiang}, title = {Dr.Spider: {A} Diagnostic Evaluation Benchmark towards Text-to-SQL Robustness}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=Wc5bmZZU9cy}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/Chang0DPZLLZJLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/Roh0WS23, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Improving Fair Training under Correlation Shifts}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {29179--29209}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/roh23a.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/Roh0WS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/SteeleRMCV23, author = {Steven Steele and Jorge Diaz Rodriguez and Amir{-}Ali Amiri Moghadam and Yusun Chang and Razvan Cristian Voicu}, title = {Temperature Regulating Autonomous Solar Shade {(TRASS)}}, booktitle = {International Wireless Communications and Mobile Computing, {IWCMC} 2023, Marrakesh, Morocco, June 19-23, 2023}, pages = {470--475}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWCMC58020.2023.10183164}, doi = {10.1109/IWCMC58020.2023.10183164}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/SteeleRMCV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZafranyBCCCDGGH23, author = {Arik Zafrany and Daniel Burgos and Li Cai and Sau Siong Chong and Colin Cramm and Sadegh Dadash and Vishal Giridharan and Vivek Gurumoorthy and Belal Helal and Cheng{-}Ru Ho and Amrutha Iyer and Steve Jantzi and Chang{-}Feng Loi and The Linh Nguyen and Kevin Parker and Ed Pillai and Karthik Raviprakash and Sagar Ray and Paolo Rossi and Zhuochao Sun and Amber Tan and Lawrence Tse and Brian Wall and Luke Wang and Jeffrey Wang and Tzu{-}Fan Wu}, title = {A 200Gb/s Low Power DSP-Based Optical Receiver and Transmitter with Integrated {TIA} and Laser Drivers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117191}, doi = {10.23919/OFC49934.2023.10117191}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZafranyBCCCDGGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/MoCCDLSSTWYZSS23, author = {Lingbo Mo and Shijie Chen and Ziru Chen and Xiang Deng and Ashley Lewis and Sunit Singh and Samuel Stevens and Chang{-}You Tai and Zhen Wang and Xiang Yue and Tianshu Zhang and Yu Su and Huan Sun}, editor = {David Schlangen and Svetlana Stoyanchev and Shafiq Joty and Ondrej Dusek and Casey Kennington and Malihe Alikhani}, title = {Roll Up Your Sleeves: Working with a Collaborative and Engaging Task-Oriented Dialogue System}, booktitle = {Proceedings of the 24th Meeting of the Special Interest Group on Discourse and Dialogue, {SIGDIAL} 2023, Prague, Czechia, September 11 - 15, 2023}, pages = {197--201}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.sigdial-1.19}, doi = {10.18653/V1/2023.SIGDIAL-1.19}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/MoCCDLSSTWYZSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xpu/EcksteinH23, author = {Jutta Eckstein and Steve Holyer}, editor = {Philippe Kruchten and Peggy Gregory}, title = {Connecting Agile with Theory of Change}, booktitle = {Agile Processes in Software Engineering and Extreme Programming - Workshops - {XP} 2022 Workshops, Copenhagen, Denmark, June 13-17, 2022, and {XP} 2023 Workshops, Amsterdam, The Netherlands, June 13-16, 2023, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {489}, pages = {3--11}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-48550-3\_1}, doi = {10.1007/978-3-031-48550-3\_1}, timestamp = {Sat, 08 Jun 2024 13:13:56 +0200}, biburl = {https://dblp.org/rec/conf/xpu/EcksteinH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-08881, author = {Shuaichen Chang and Jun Wang and Mingwen Dong and Lin Pan and Henghui Zhu and Alexander Hanbo Li and Wuwei Lan and Sheng Zhang and Jiarong Jiang and Joseph Lilien and Steve Ash and William Yang Wang and Zhiguo Wang and Vittorio Castelli and Patrick Ng and Bing Xiang}, title = {Dr.Spider: {A} Diagnostic Evaluation Benchmark towards Text-to-SQL Robustness}, journal = {CoRR}, volume = {abs/2301.08881}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.08881}, doi = {10.48550/ARXIV.2301.08881}, eprinttype = {arXiv}, eprint = {2301.08881}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-08881.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12230, author = {Qiao Yuan and Sheng{-}Uei Guan and Pin Ni and Tianlun Luo and Ka Lok Man and Prudence W. H. Wong and Victor Chang}, title = {Continual Graph Learning: {A} Survey}, journal = {CoRR}, volume = {abs/2301.12230}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12230}, doi = {10.48550/ARXIV.2301.12230}, eprinttype = {arXiv}, eprint = {2301.12230}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02323, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, title = {Improving Fair Training under Correlation Shifts}, journal = {CoRR}, volume = {abs/2302.02323}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02323}, doi = {10.48550/ARXIV.2302.02323}, eprinttype = {arXiv}, eprint = {2302.02323}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-01258, author = {Zachary Huemann and Changhee Lee and Junjie Hu and Steve Y. Cho and Tyler J. Bradshaw}, title = {Domain-adapted large language models for classifying nuclear medicine reports}, journal = {CoRR}, volume = {abs/2303.01258}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.01258}, doi = {10.48550/ARXIV.2303.01258}, eprinttype = {arXiv}, eprint = {2303.01258}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-01258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-08645, author = {Jacob Deery and Chang Won Lee and Steven L. Waslander}, title = {ProPanDL: {A} Modular Architecture for Uncertainty-Aware Panoptic Segmentation}, journal = {CoRR}, volume = {abs/2304.08645}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.08645}, doi = {10.48550/ARXIV.2304.08645}, eprinttype = {arXiv}, eprint = {2304.08645}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-08645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-16081, author = {Lingbo Mo and Shijie Chen and Ziru Chen and Xiang Deng and Ashley Lewis and Sunit Singh and Samuel Stevens and Chang{-}You Tai and Zhen Wang and Xiang Yue and Tianshu Zhang and Yu Su and Huan Sun}, title = {Roll Up Your Sleeves: Working with a Collaborative and Engaging Task-Oriented Dialogue System}, journal = {CoRR}, volume = {abs/2307.16081}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.16081}, doi = {10.48550/ARXIV.2307.16081}, eprinttype = {arXiv}, eprint = {2307.16081}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-16081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00971, author = {Steven Schreck and Hannes Reichert and Manuel Hetzel and Konrad Doll and Bernhard Sick}, title = {Height Change Feature Based Free Space Detection}, journal = {CoRR}, volume = {abs/2308.00971}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00971}, doi = {10.48550/ARXIV.2308.00971}, eprinttype = {arXiv}, eprint = {2308.00971}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00971.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-02342, author = {Ruslan Shaydulin and Changhao Li and Shouvanik Chakrabarti and Matthew DeCross and Dylan Herman and Niraj Kumar and Jeffrey Larson and Danylo Lykov and Pierre Minssen and Yue Sun and Yuri Alexeev and Joan M. Dreiling and John P. Gaebler and Thomas M. Gatterman and Justin A. Gerber and Kevin Gilmore and Dan Gresh and Nathan Hewitt and Chandler V. Horst and Shaohan Hu and Jacob Johansen and Mitchell Matheny and Tanner Mengle and Michael Mills and Steven A. Moses and Brian Neyenhuis and Peter Siegfried and Romina Yalovetzky and Marco Pistoia}, title = {Evidence of Scaling Advantage for the Quantum Approximate Optimization Algorithm on a Classically Intractable Problem}, journal = {CoRR}, volume = {abs/2308.02342}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.02342}, doi = {10.48550/ARXIV.2308.02342}, eprinttype = {arXiv}, eprint = {2308.02342}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-02342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-03532, author = {Esteve Almirall and Steven Willmott and Ulises Cort{\'{e}}s}, title = {A few misfits can Change the World}, journal = {CoRR}, volume = {abs/2309.03532}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.03532}, doi = {10.48550/ARXIV.2309.03532}, eprinttype = {arXiv}, eprint = {2309.03532}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-03532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11354, author = {Steven Stalder and Michele Volpi and Nicolas B{\"{u}}ttner and Stephen Law and Kenneth Harttgen and Esra Suel}, title = {Self-supervised learning unveils change in urban housing from street-level images}, journal = {CoRR}, volume = {abs/2309.11354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11354}, doi = {10.48550/ARXIV.2309.11354}, eprinttype = {arXiv}, eprint = {2309.11354}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04157, author = {Dipanjyoti Paul and Arpita Chowdhury and Xinqi Xiong and Feng{-}Ju Chang and David Carlyn and Samuel Stevens and Kaiya Provost and Anuj Karpatne and Bryan Carstens and Daniel I. Rubenstein and Charles V. Stewart and Tanya Y. Berger{-}Wolf and Yu Su and Wei{-}Lun Chao}, title = {A Simple Interpretable Transformer for Fine-Grained Image Classification and Analysis}, journal = {CoRR}, volume = {abs/2311.04157}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04157}, doi = {10.48550/ARXIV.2311.04157}, eprinttype = {arXiv}, eprint = {2311.04157}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04157.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AzamiCASG22, author = {Hamed Azami and Zhuoqing Chang and Steven E. Arnold and Guillermo Sapiro and Anoopum S. Gupta}, title = {Detection of Oculomotor Dysmetria From Mobile Phone Video of the Horizontal Saccades Task Using Signal Processing and Machine Learning Approaches}, journal = {{IEEE} Access}, volume = {10}, pages = {34022--34031}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3156964}, doi = {10.1109/ACCESS.2022.3156964}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AzamiCASG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenDHZ22, author = {Khoa Nguyen and Steve Drew and Changcheng Huang and Jiayu Zhou}, title = {Parked Vehicles Task Offloading in Edge Computing}, journal = {{IEEE} Access}, volume = {10}, pages = {41592--41606}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3167641}, doi = {10.1109/ACCESS.2022.3167641}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NguyenDHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/QuarisaB22, author = {Matthew W. Quarisa and Steven D. Blostein}, title = {Change Detection for Large Distributed Sensor Networks With Multitriggered Local Sensors}, journal = {{IEEE} Access}, volume = {10}, pages = {44789--44803}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3170463}, doi = {10.1109/ACCESS.2022.3170463}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/QuarisaB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/CoquetZHHAOCH22, author = {Jean Coquet and Alban Zammit and Oualid El Hajouji and Keith Humphreys and Steven M. Asch and Thomas F. Osborne and Catherine M. Curtin and Tina Hernandez{-}Boussard}, title = {Changes in postoperative opioid prescribing across three diverse healthcare systems, 2010-2020}, journal = {Frontiers Digit. Health}, volume = {4}, year = {2022}, url = {https://doi.org/10.3389/fdgth.2022.995497}, doi = {10.3389/FDGTH.2022.995497}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/CoquetZHHAOCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiFMFGC22, author = {Tengyue Li and Simon Fong and Sabah Mohammed and Jinan Fiaidhi and Steven Guan and Victor Chang}, title = {Empowering multi-class medical data classification by Group-of-Single-Class-predictors and transfer optimization: Cases of structured dataset by machine learning and radiological images by deep learning}, journal = {Future Gener. Comput. Syst.}, volume = {133}, pages = {10--22}, year = {2022}, url = {https://doi.org/10.1016/j.future.2022.02.022}, doi = {10.1016/J.FUTURE.2022.02.022}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LiFMFGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/ChangKXG22, author = {Victor Chang and Keerthi Kandadai and Qianwen Ariel Xu and Steven Guan}, title = {Development of a Diabetes Diagnosis System Using Machine Learning Algorithms}, journal = {Int. J. Distributed Syst. Technol.}, volume = {13}, number = {1}, pages = {1--22}, year = {2022}, url = {https://doi.org/10.4018/IJDST.296246}, doi = {10.4018/IJDST.296246}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/ChangKXG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/MorganWLSM22, author = {Grayson R. Morgan and Cuizhen Wang and Zhenlong Li and Steven R. Schill and Daniel R. Morgan}, title = {Deep Learning of High-Resolution Aerial Imagery for Coastal Marsh Change Detection: {A} Comparative Study}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {11}, number = {2}, pages = {100}, year = {2022}, url = {https://doi.org/10.3390/ijgi11020100}, doi = {10.3390/IJGI11020100}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/MorganWLSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/MelnykSSPCF22, author = {Steven A. Melnyk and Tobias Schoenherr and Cheri Speier{-}Pero and Chris Peters and Jeff F. Chang and Derek Friday}, title = {New challenges in supply chain management: cybersecurity across the supply chain}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {1}, pages = {162--183}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.1984606}, doi = {10.1080/00207543.2021.1984606}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/MelnykSSPCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/PfaffGGKMPLABBG22, author = {Emily R. Pfaff and Andrew T. Girvin and Davera Gabriel and Kristin Kostka and Michele Morris and Matvey B. Palchuk and Harold P. Lehmann and Benjamin R. C. Amor and Mark Bissell and Katie R. Bradwell and Sigfried Gold and Stephanie S. Hong and Johanna Loomba and Amin Manna and Julie A. McMurry and Emily Niehaus and Nabeel Qureshi and Anita Walden and Xiaohan Tanner Zhang and Richard L. Zhu and Richard A. Moffitt and Christopher G. Chute and William G. Adams and Shaymaa Al{-}Shukri and Alfred Anzalone and Ahmad Baghal and Tellen D. Bennett and Elmer V. Bernstam and Mark M. Bissell and Brian Bush and Thomas R. Campion Jr. and Victor Castro and Jack Chang and Deepa D. Chaudhari and Wenjin Chen and San Chu and James J. Cimino and Keith A. Crandall and Mark Crooks and Sara J. Deakyne Davies and John Dipalazzo and David A. Dorr and Dan Eckrich and Sarah E. Eltinge and Daniel G. Fort and George Golovko and Snehil Gupta and Melissa A. Haendel and Janos G. Hajagos and David A. Hanauer and Brett M. Harnett and Ronald Horswell and Nancy Huang and Steven G. Johnson and Michael Kahn and Kamil Khanipov and Curtis Kieler and Katherine Ruiz De Luzuriaga and Sarah E. Maidlow and Ashley Martinez and Jomol Mathew and James C. McClay and Gabriel McMahan and Brian Melancon and St{\'{e}}phane M. Meystre and Lucio Miele and Hiroki Morizono and Ray Pablo and Lav P. Patel and Jimmy Phuong and Daniel J. Popham and Claudia P. Pulgarin and Carlos Santos and Indra Neil Sarkar and Nancy Sazo and Soko Setoguchi and Selvin Soby and Sirisha Surampalli and Christine Suver and Uma Maheswara Reddy Vangala and Shyam Visweswaran and James von Oehsen and Kellie M. Walters and Laura K. Wiley and David A. Williams and Adrian H. Zai}, title = {Synergies between centralized and federated approaches to data quality: a report from the national {COVID} cohort collaborative}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {4}, pages = {609--618}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocab217}, doi = {10.1093/JAMIA/OCAB217}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/PfaffGGKMPLABBG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/XuSLRWCA22, author = {Wanwan Xu and Chang Su and Yan Li and Steven Rogers and Fei Wang and Kun Chen and Robert H. Aseltine}, title = {Improving suicide risk prediction via targeted data fusion: proof of concept using medical claims data}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {3}, pages = {500--511}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocab209}, doi = {10.1093/JAMIA/OCAB209}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/XuSLRWCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WangHZPCS22, author = {Li Wang and Wei Huang and Miao Zhang and Shirui Pan and Xiaojun Chang and Steven Weidong Su}, title = {Pruning graph neural networks by evaluating edge properties}, journal = {Knowl. Based Syst.}, volume = {256}, pages = {109847}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2022.109847}, doi = {10.1016/J.KNOSYS.2022.109847}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WangHZPCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ChangSEDKDRMSCH22, author = {Rachel S. Chang and Jaimie Z. Shing and Jennifer C. Erves and Liping Du and Tatsuki Koyama and Stephen Deppen and Alyssa B. Rentuza and Caree McAfee and Christine Stroebel and Janet Cates and Lora Harnack and David Andrews and Robert Bramblett and Pamela C. Hull}, title = {Measurement of provider fidelity to immunization guidelines: a mixed-methods study on the feasibility of documenting patient refusals of the human papillomavirus vaccine}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {339}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-02083-2}, doi = {10.1186/S12911-022-02083-2}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/ChangSEDKDRMSCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChandoniaGLYFB22, author = {John{-}Marc Chandonia and Lindsey Guan and Shiangyi Lin and Changhua Yu and Naomi K. Fox and Steven E. Brenner}, title = {SCOPe: improvements to the structural classification of proteins - extended database to facilitate variant interpretation and machine learning}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {553--559}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1054}, doi = {10.1093/NAR/GKAB1054}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChandoniaGLYFB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncs/ZhaoWMLWAAAAAAA22, author = {Jia Zhao and Gefei Wang and Jingsi Ming and Zhixiang Lin and Yang Wang and Snigdha Agarwal and Aditi Agrawal and Ahmad Al{-}Moujahed and Alina Alam and Megan A. Albertelli and Paul Allegakoen and Thomas Ambrosi and Jane Antony and Steven Artandi and Fabienne Aujard and Kyle Awayan and Ankit Baghel and Isaac Bakerman and Trygve E. Bakken and Jalal Baruni and Philip Beachy and Biter Bilen and Olga B. Botvinnik and Scott D. Boyd and Deviana Burhan and Kerriann M. Casey and Charles Chan and Charles A. Chang and Stephen Chang and Ming Chen and Michael F. Clarke and Sheela Crasta and Rebecca Culver and Jessica D'Addabbo and Spyros Darmanis and Roozbeh Dehghannasiri and Song{-}Lin Ding and Connor V. Duffy and Jacques Epelbaum and F. Hern{\'{a}}n Espinoza and Camille Ezran and Jean Farup and James E. Ferrell Jr and Hannah K. Frank and Margaret Fuller and Astrid Gillich and Elias Godoy and Dita Gratzinger and Lisbeth A. Guethlein and Yan Hang and Kazuteru Hasegawa and Rebecca D. Hodge and Malachia Hoover and Franklin W. Huang and Kerwyn Casey Huang and Shelly Huynh and Taichi Isobe and Carly Israel and Sori Jang and Qiuyu Jing and Robert C. Jones and Jengmin Kang and Caitlin J. Karanewsky and Jim Karkanias and Justus Kebschull and Aaron Kershner and Lily Kim and Seung K. Kim and E. Christopher Kirk and Winston Koh and Silvana Konermann and William Kong and Mark A. Krasnow and Christin Kuo and Corinne Lautier and Song Eun Lee and Ed S. Lein and Rebecca Lewis and Peng Li and Shengda Lin and Shixuan Liu and Yin Liu and Gabriel Loeb and Jonathan Z. Long and Wan{-}Jin Lu and Katherine Lucot and Liqun Luo and Aaron McGeever and Ross Metzger and Jingsi Ming and Thomas J. Montine and Antoine de Morree and Maurizio Morri and Karim Mrouj and Shravani Mukherjee and Ahmad Nabhan and Saba Nafees and Norma Neff and Patrick Neuh{\"{o}}fer and Patricia Nguyen and Jennifer Okamoto and Julia Eve Olivieri and Youcef Ouadah and Honor Paine and Peter Parham and Jozeph L. Pendleton and Lolita Penland and Martine Perret and Angela Oliveira Pisco and Zhen Qi and Stephen R. Quake and Ute Radespiel and Thomas A. Rando and Hajanirina No{\"{e}}line Ravelonjanahary and Andriamahery Razafindrakoto and Julia Salzman and Nicholas Schaum and Robert Schopler and Bronwyn Scott and Liza Shapiro and Hosu Sin and Rahul Sinha and Rene Sit and Geoff Stanley and Lubert Stryer and Varun Ramanan Subramaniam and Aditi Swarup and Weilun Tan and Alexander Tarashansky and Aris Taychameekiatchai and J{\'{e}}r{\'{e}}my Terrien and Kyle J. Travaglini and Andoni Urtasun and Sivakamasundari and Avin Veerakumar and Venkata Naga Pranathi Vemuri and Jean{-}Michel Verdier and Iwijn De Vlaminck and Douglas Vollrath and Bo Wang and Bruce Wang and Gefei Wang and Michael F. Z. Wang and Sheng Wang and James Webber and Hannah Weinstein and Irving L. Weissman and Amanda L. Wiggenhorn and Cathy V. Williams and Patricia Wright and Albert Y. Wu and Angela Ruohao Wu and Tony Wyss{-}Coray and Bao Xiang and Jia Yan and Can Yang and Jinxurong Yang and Anne D. Yoder and Brian Yu and Andrea R. Yung and Yue Zhang and Jia Zhao and Zicheng Zhao}, title = {Adversarial domain translation networks for integrating large-scale atlas-level single-cell datasets}, journal = {Nat. Comput. Sci.}, volume = {2}, number = {5}, pages = {317--330}, year = {2022}, url = {https://doi.org/10.1038/s43588-022-00251-y}, doi = {10.1038/S43588-022-00251-Y}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncs/ZhaoWMLWAAAAAAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/IrajiFFKABFMMPP22, author = {Armin Iraji and Ashkan Faghiri and Zening Fu and Peter V. Kochunov and Bhim M. Adhikari and Aysenil Belger and Judith M. Ford and Sarah C. McEwen and Daniel H. Mathalon and Godfrey D. Pearlson and Steven G. Potkin and Adrian Preda and Jessica A. Turner and Theo G. M. van Erp and Catie Chang and Vincent D. Calhoun}, title = {Moving beyond the 'CAP' of the Iceberg: Intrinsic connectivity networks in fMRI are continuously engaging and overlapping}, journal = {NeuroImage}, volume = {251}, pages = {119013}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119013}, doi = {10.1016/J.NEUROIMAGE.2022.119013}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/IrajiFFKABFMMPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/MaricCMSFHTSWTE22, author = {Ivana Maric and K{\'{e}}vin Contrepois and Mira N. Moufarrej and Ina A. Stelzer and Dorien Feyaerts and Xiaoyuan Han and Andy Tang and Natalie Stanley and Ronald J. Wong and Gavin M. Traber and Mathew Ellenberger and Alan L. Chang and Ramin Fallahzadeh and Huda Nassar and Martin Becker and Maria Xenochristou and Camilo Espinosa and Davide De Francesco and Mohammad S. Ghaemi and Elizabeth K. Costello and Anthony Culos and Xuefeng Bruce Ling and Karl G. Sylvester and Gary L. Darmstadt and Virginia D. Winn and Gary M. Shaw and David A. Relman and Stephen R. Quake and Martin S. Angst and Michael P. Snyder and David K. Stevenson and Brice Gaudilliere and Nima Aghaeepour}, title = {Early prediction and longitudinal modeling of preeclampsia from multiomics}, journal = {Patterns}, volume = {3}, number = {12}, pages = {100655}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2022.100655}, doi = {10.1016/J.PATTER.2022.100655}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/MaricCMSFHTSWTE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DecciaWN22, author = {Carlos M. A. Deccia and David N. Wiese and Robert Steven Nerem}, title = {Using a Multiobjective Genetic Algorithm to Design Satellite Constellations for Recovering Earth System Mass Change}, journal = {Remote. Sens.}, volume = {14}, number = {14}, pages = {3340}, year = {2022}, url = {https://doi.org/10.3390/rs14143340}, doi = {10.3390/RS14143340}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/DecciaWN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangKCSYKY22, author = {Jianwei Huang and Mei{-}Po Kwan and Jiannan Cai and Wanying Song and Changda Yu and Zihan Kan and Steve Hung{-}Lam Yim}, title = {Field Evaluation and Calibration of Low-Cost Air Pollution Sensors for Environmental Exposure Research}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2381}, year = {2022}, url = {https://doi.org/10.3390/s22062381}, doi = {10.3390/S22062381}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangKCSYKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZitounDKH22, author = {Akram Zitoun and Steven Dixon and Mihalis Kazilas and David Hutchins}, title = {The Effect of Changes in Magnetic Field and Frequency on the Vibration of a Thin Magnetostrictive Patch as a Tool for Generating Guided Ultrasonic Waves}, journal = {Sensors}, volume = {22}, number = {3}, pages = {766}, year = {2022}, url = {https://doi.org/10.3390/s22030766}, doi = {10.3390/S22030766}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZitounDKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/HellerHBCCDDDFG22, author = {William T. Heller and John Hetrick and Jean Bilheux and Jose M. Borreguero Calvo and Wei{-}Ren Chen and Lisa DeBeer{-}Schmitt and Changwoo Do and Mathieu Doucet and Michael R. Fitzsimmons and William F. Godoy and Garrett E. Granroth and Steven Hahn and Lilin He and Fahima Islam and Jiao Lin and Kenneth C. Littrell and Marshall T. McDonnell and Jesse McGaha and Peter F. Peterson and Sai Venkatesh Pingali and Shuo Qian and Andrei T. Savici and Yingrui Shang and Christopher B. Stanley and Volker S. Urban and Ross E. Whitfield and Chen Zhang and Wenduo Zhou and Jay Jay Billings and Matthew J. Cuneo and Ricardo M. Ferraz Leal and Tianhao Wang and Bin Wu}, title = {drtsans: The data reduction toolkit for small-angle neutron scattering at Oak Ridge National Laboratory}, journal = {SoftwareX}, volume = {19}, pages = {101101}, year = {2022}, url = {https://doi.org/10.1016/j.softx.2022.101101}, doi = {10.1016/J.SOFTX.2022.101101}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/HellerHBCCDDDFG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/HuaLD22, author = {Changsheng Hua and Linlin Li and Steven X. Ding}, title = {Reinforcement Learning-Aided Performance-Driven Fault-Tolerant Control of Feedback Control Systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {67}, number = {6}, pages = {3013--3020}, year = {2022}, url = {https://doi.org/10.1109/TAC.2021.3088397}, doi = {10.1109/TAC.2021.3088397}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/HuaLD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/WangWTCKL22, author = {Tse{-}Yuan Wang and Chun{-}Feng Wu and Che{-}Wei Tsao and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Xue (Steve) Liu}, title = {Rethinking the Interactivity of {OS} and Device Layers in Memory Management}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {4}, pages = {42:1--42:21}, year = {2022}, url = {https://doi.org/10.1145/3530876}, doi = {10.1145/3530876}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/WangWTCKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JiangLZH22, author = {Xiao Jiang and Gang Li and Xiao{-}Ping Zhang and You He}, title = {A Semisupervised Siamese Network for Efficient Change Detection in Heterogeneous Remote Sensing Images}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--18}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3061686}, doi = {10.1109/TGRS.2021.3061686}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/JiangLZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LuoPHDF22, author = {Shannan Luo and Kaixiang Peng and Changbin Hu and Steven X. Ding and Hui Fan}, title = {A Residual-Generator-Based Plug-and-Play Control Scheme Toward Enhancing Power Quality in {AC} Microgrids}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {8}, pages = {8146--8156}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3104602}, doi = {10.1109/TIE.2021.3104602}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LuoPHDF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChangLSFZ22, author = {Shuning Chang and Yanchao Li and Shengmei Shen and Jiashi Feng and Steven Zhiying Zhou}, title = {Contrastive Attention for Video Anomaly Detection}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {4067--4076}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3112814}, doi = {10.1109/TMM.2021.3112814}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/ChangLSFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LayneGDWBC22, author = {Steven Layne and Sebastian Gehrmann and Franck Dernoncourt and Lidan Wang and Trung Bui and Walter Chang}, editor = {Amir Pouran Ben Veyseh and Franck Dernoncourt and Thien Huu Nguyen and Walter Chang and Viet Dac Lai}, title = {A Framework for Automated Text Generation Benchmarking}, booktitle = {Proceedings of the Workshop on Scientific Document Understanding co-located with 36th {AAAI} Conference on Artificial Inteligence, SDU@AAAI 2022, Virtual Event, March 1, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3164}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3164/paper30.pdf}, timestamp = {Wed, 24 Jul 2024 21:43:14 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LayneGDWBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/CameloCGFGFVSSB22, author = {Miguel Camelo and Luca Cominardi and Marco Gramaglia and Marco Fiore and Andres Garcia{-}Saavedra and Lidia Fuentes and Danny De Vleeschauwer and Paola Soto{-}Arenas and Nina Slamnik{-}Krijestorac and Joaqu{\'{\i}}n Ballesteros and Chia{-}Yu Chang and Gabriele Baldoni and Johann M. M{\'{a}}rquez{-}Barja and Peter Hellinckx and Steven Latr{\'{e}}}, title = {Requirements and Specifications for the Orchestration of Network Intelligence in 6G}, booktitle = {19th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2022, Las Vegas, NV, USA, January 8-11, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCNC49033.2022.9700729}, doi = {10.1109/CCNC49033.2022.9700729}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/CameloCGFGFVSSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiSZGL0SLGNL22, author = {Tianye Li and Mira Slavcheva and Michael Zollh{\"{o}}fer and Simon Green and Christoph Lassner and Changil Kim and Tanner Schmidt and Steven Lovegrove and Michael Goesele and Richard A. Newcombe and Zhaoyang Lv}, title = {Neural 3D Video Synthesis from Multi-view Video}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {5511--5521}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00544}, doi = {10.1109/CVPR52688.2022.00544}, timestamp = {Tue, 04 Oct 2022 15:11:56 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiSZGL0SLGNL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangPCSHH022, author = {Miao Zhang and Shirui Pan and Xiaojun Chang and Steven Su and Jilin Hu and Gholamreza Haffari and Bin Yang}, title = {BaLeNAS: Differentiable Architecture Search via the Bayesian Learning Rule}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {11861--11870}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01157}, doi = {10.1109/CVPR52688.2022.01157}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangPCSHH022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etra/ArefinSHT22, author = {Mohammed Safayet Arefin and J. Edward Swan II and Russell A. Cohen Hoffing and Steven M. Thurman}, editor = {Frederick Shic and Enkelejda Kasneci and Mohamed Khamis and Hans Gellersen and Krzysztof Krejtz and Daniel Weiskopf and Tanja Blascheck and Jessica Bradshaw and Hana Vrzakova and Kamran Binaee and Michael Burch and Peter Kiefer and Roman Bednarik and Diako Mardanbegi and Christopher Clarke and Rakshit Sunil Kothari and Vijay Rajanna and Sampath Jayarathna and Arantxa Villanueva and Adham Atyabi and Shahram Eivazi}, title = {Estimating Perceptual Depth Changes with Eye Vergence and Interpupillary Distance using an Eye Tracker in Virtual Reality}, booktitle = {{ETRA} 2022: Symposium on Eye Tracking Research and Applications, Seattle, WA, USA, June 8 - 11, 2022}, pages = {3:1--3:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3517031.3529632}, doi = {10.1145/3517031.3529632}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etra/ArefinSHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fc/AbadiDMT22, author = {Aydin Abadi and Changyu Dong and Steven J. Murdoch and Sotirios Terzis}, editor = {Ittay Eyal and Juan A. Garay}, title = {Multi-party Updatable Delegated Private Set Intersection}, booktitle = {Financial Cryptography and Data Security - 26th International Conference, {FC} 2022, Grenada, May 2-6, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13411}, pages = {100--119}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-18283-9\_6}, doi = {10.1007/978-3-031-18283-9\_6}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fc/AbadiDMT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangLLCLCCLWHC22, author = {Shao{-}Chang Huang and Jian{-}Hsing Lee and Ching{-}Ho Li and Sue{-}Yi Chen and Chih{-}Hsuan Lin and Chun{-}Chih Chen and Li{-}Fan Chen and Gong{-}Kai Lin and Chien{-}Wei Wang and Kai{-}Chieh Hsu and Szu{-}Chi Chen and Shang{-}Chuan Pai and Fu{-}Wei Pai and Yin{-}Wei Peng and Chih{-}Cherng Liao and Ke{-}Horng Chen}, title = {{EOS} Endurance Power Circuits without Depletion Mode Devices}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {79--80}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869061}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869061}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangLLCLCCLWHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/ShannonZLB22, author = {Rebecca C. Shannon and Jingzhou Zhao and Zhaojun Li and Jingru Benner}, title = {Sensitivity Study of Mini-Batch Size on a Long Short-Term Memory Network for Predicting Shell-tocore Ratio of Microencapsulated Phase Change Materials}, booktitle = {2022 {IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2022, Detroit (Romulus), MI, USA, June 6-8, 2022}, pages = {77--81}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPHM53196.2022.9815640}, doi = {10.1109/ICPHM53196.2022.9815640}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphm/ShannonZLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChenSCY22, author = {Yi{-}Chang Chen and Yu{-}Chuan Steven and Yen{-}Cheng Chang and Yi{-}Ren Yeh}, editor = {Hanseok Ko and John H. L. Hansen}, title = {g2pW: {A} Conditional Weighted Softmax {BERT} for Polyphone Disambiguation in Mandarin}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {1926--1930}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-216}, doi = {10.21437/INTERSPEECH.2022-216}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChenSCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/WarnerFDKJBMP22, author = {William R. Warner and Xiaoyao Fan and Ryan B. Duke and Tahsin M. Khan and Songbai Ji and Steven P. Baltic and Sohail K. Mirza and Keith D. Paulsen}, editor = {Cristian A. Linte and Jeffrey H. Siewerdsen}, title = {Preoperative-to-interoperative shift in spine pose measured as change in lordosis Cobb angle and its effect on navigational accuracy}, booktitle = {Medical Imaging 2022: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12034}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2612600}, doi = {10.1117/12.2612600}, timestamp = {Mon, 18 Mar 2024 16:25:04 +0100}, biburl = {https://dblp.org/rec/conf/miigp/WarnerFDKJBMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/QianCYSSW22, author = {Jingxing Qian and Veronica Chatrath and Jun Yang and James Servos and Angela P. Schoellig and Steven L. Waslander}, editor = {Kris Hauser and Dylan A. Shell and Shoudong Huang}, title = {{POCD:} Probabilistic Object-Level Change Detection and Volumetric Mapping in Semi-Static Scenes}, booktitle = {Robotics: Science and Systems XVIII, New York City, NY, USA, June 27 - July 1, 2022}, year = {2022}, url = {https://doi.org/10.15607/RSS.2022.XVIII.013}, doi = {10.15607/RSS.2022.XVIII.013}, timestamp = {Thu, 20 Jul 2023 14:50:03 +0200}, biburl = {https://dblp.org/rec/conf/rss/QianCYSSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdoc/Stevens22, author = {Amanda B. Stevens}, editor = {Michael Trice and Donnie Johnson Sackey and Candice A. Welhausen}, title = {Including Citizen's Voices in Economic Growth: An Exploration into the Rhetoric of Socio-economic Change in Ukraine}, booktitle = {{SIGDOC} '22: The 40th {ACM} International Conference on Design of Communication, Boston, MA, USA, October 6 - 8, 2022}, pages = {183--185}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3513130.3559007}, doi = {10.1145/3513130.3559007}, timestamp = {Mon, 10 Oct 2022 16:57:15 +0200}, biburl = {https://dblp.org/rec/conf/sigdoc/Stevens22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HsiehCTLLLHSGC22, author = {E. R. Hsieh and J. K. Chang and T. Y. Tang and Y. J. Li and C. W. Liang and M. Y. Lin and S. Y. Huang and C. J. Su and J. C. Guo and Steve S. Chung}, title = {NVDimm-FE: {A} High-density 3D Architecture of 3-bit/c 2TnCFE to Break Great Memory Wall with 10 ns of PGM-pulse, 10\({}^{\mbox{10}}\) Cycles of Endurance, and Decade Lifetime at 103 {\textdegree}C}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {359--360}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830515}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830515}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HsiehCTLLLHSGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/GuoKNS22, author = {Xingzhi Guo and Brian Kondracki and Nick Nikiforakis and Steven Skiena}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Verba Volant, Scripta Volant: Understanding Post-publication Title Changes in News Outlets}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {588--598}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3512219}, doi = {10.1145/3485447.3512219}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/GuoKNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/BoubinBYQFCSRA22, author = {Jayson Boubin and Avishek Banerjee and Jihoon Yun and Haiyang Qi and Yuting Fang and Steve Chang and Kannan Srinivasan and Rajiv Ramnath and Anish Arora}, editor = {Julie Wernert and Alan Chalker and Shava Smallen and Tabitha K. Samuel and John{-}Paul Navarro}, title = {{PROWESS:} An Open Testbed for Programmable Wireless Edge Systems}, booktitle = {{PEARC} '22: Practice and Experience in Advanced Research Computing, Boston, MA, USA, July 10 - 14, 2022}, pages = {11:1--11:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491418.3530759}, doi = {10.1145/3491418.3530759}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xsede/BoubinBYQFCSRA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-02933, author = {Haonan Chen and Bo Yuan Chang and Mohamed A. Naiel and Georges Younes and Steven Wardell and Stan Kleinikkink and John S. Zelek}, title = {Causal Discovery from Sparse Time-Series Data Using Echo State Network}, journal = {CoRR}, volume = {abs/2201.02933}, year = {2022}, url = {https://arxiv.org/abs/2201.02933}, eprinttype = {arXiv}, eprint = {2201.02933}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-02933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-08239, author = {Romal Thoppilan and Daniel De Freitas and Jamie Hall and Noam Shazeer and Apoorv Kulshreshtha and Heng{-}Tze Cheng and Alicia Jin and Taylor Bos and Leslie Baker and Yu Du and YaGuang Li and Hongrae Lee and Huaixiu Steven Zheng and Amin Ghafouri and Marcelo Menegali and Yanping Huang and Maxim Krikun and Dmitry Lepikhin and James Qin and Dehao Chen and Yuanzhong Xu and Zhifeng Chen and Adam Roberts and Maarten Bosma and Yanqi Zhou and Chung{-}Ching Chang and Igor Krivokon and Will Rusch and Marc Pickett and Kathleen S. Meier{-}Hellstern and Meredith Ringel Morris and Tulsee Doshi and Renelito Delos Santos and Toju Duke and Johnny Soraker and Ben Zevenbergen and Vinodkumar Prabhakaran and Mark Diaz and Ben Hutchinson and Kristen Olson and Alejandra Molina and Erin Hoffman{-}John and Josh Lee and Lora Aroyo and Ravi Rajakumar and Alena Butryna and Matthew Lamm and Viktoriya Kuzmina and Joe Fenton and Aaron Cohen and Rachel Bernstein and Ray Kurzweil and Blaise Ag{\"{u}}era y Arcas and Claire Cui and Marian Croak and Ed H. Chi and Quoc Le}, title = {LaMDA: Language Models for Dialog Applications}, journal = {CoRR}, volume = {abs/2201.08239}, year = {2022}, url = {https://arxiv.org/abs/2201.08239}, eprinttype = {arXiv}, eprint = {2201.08239}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-08239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07458, author = {Zolt{\'{a}}n Nagy and Juliana Felkner and Ariane L. Beck and D. Cale Reeves and Steven Richter and Vivek Shastry and Eli Ramthun and Edward Mbata and Stephen Zigmund and Benjamin Marshall and Linnea Marks and Vianey Rueda and Jasmine Triplett and Sarah Domedead and Jos{\'{e}} R. V{\'{a}}zquez{-}Canteli and Varun Rai}, title = {{IMPACT:} Integrated Multi-Domain Emission Pathways For Cities Under Land-Use Policy, Technology Adoption, Climate Change And Grid Decarbonization}, journal = {CoRR}, volume = {abs/2202.07458}, year = {2022}, url = {https://arxiv.org/abs/2202.07458}, eprinttype = {arXiv}, eprint = {2202.07458}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04503, author = {Yue Chen and Changhong Zhao and Steven H. Low and Adam Wierman}, title = {An Energy Sharing Mechanism Considering Network Constraints and Market Power Limitation}, journal = {CoRR}, volume = {abs/2203.04503}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04503}, doi = {10.48550/ARXIV.2203.04503}, eprinttype = {arXiv}, eprint = {2203.04503}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01202, author = {Jingxing Qian and Veronica Chatrath and Jun Yang and James Servos and Angela P. Schoellig and Steven L. Waslander}, title = {{POCD:} Probabilistic Object-Level Change Detection and Volumetric Mapping in Semi-Static Scenes}, journal = {CoRR}, volume = {abs/2205.01202}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01202}, doi = {10.48550/ARXIV.2205.01202}, eprinttype = {arXiv}, eprint = {2205.01202}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01202.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15003, author = {Su Yeon Chang and Edwin Agnew and El{\'{\i}}as F. Combarro and Michele Grossi and Steven Herbert and Sofia Vallecorsa}, title = {Running the Dual-PQC {GAN} on noisy simulators and real quantum hardware}, journal = {CoRR}, volume = {abs/2205.15003}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15003}, doi = {10.48550/ARXIV.2205.15003}, eprinttype = {arXiv}, eprint = {2205.15003}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09102, author = {Hilda Azimi and Steven Chang and Jonathan Gold and Koray Karabina}, title = {Improving Accuracy and Explainability of Online Handwriting Recognition}, journal = {CoRR}, volume = {abs/2209.09102}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09102}, doi = {10.48550/ARXIV.2209.09102}, eprinttype = {arXiv}, eprint = {2209.09102}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07859, author = {Kun He and Chang Liu and Stephen Lin and John E. Hopcroft}, title = {Local Magnification for Data and Feature Augmentation}, journal = {CoRR}, volume = {abs/2211.07859}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07859}, doi = {10.48550/ARXIV.2211.07859}, eprinttype = {arXiv}, eprint = {2211.07859}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/StevensPH21, author = {Lindsay A. Stevens and Natalie M. Pageler and Jin S. Hahn}, title = {Improved Medical Student Engagement with {EHR} Documentation following the 2018 Centers for Medicare and Medicaid Billing Changes}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {03}, pages = {582--588}, year = {2021}, url = {https://doi.org/10.1055/s-0041-1731342}, doi = {10.1055/S-0041-1731342}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/StevensPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/ChangCBD21, author = {Chen{-}Hao Chang and Jonathan Casas and Steven W. Brose and Victor H. Duenas}, title = {Closed-Loop Torque and Kinematic Control of a Hybrid Lower-Limb Exoskeleton for Treadmill Walking}, journal = {Frontiers Robotics {AI}}, volume = {8}, pages = {702860}, year = {2021}, url = {https://doi.org/10.3389/frobt.2021.702860}, doi = {10.3389/FROBT.2021.702860}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/firai/ChangCBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/Kennedy-MetzDRR21, author = {Lauren R. Kennedy{-}Metz and Roger D. Dias and Srey Rithy and Geoffrey Rance and Heather M. Conboy and Miguel E. Haime and Jacquelyn A. Quin and Steven J. Yule and Marco A. Zenati}, title = {Analysis of Dynamic Changes in Cognitive Workload During Cardiac Surgery Perfusionists{\({'}\)} Interactions With the Cardiopulmonary Bypass Pump}, journal = {Hum. Factors}, volume = {63}, number = {5}, year = {2021}, url = {https://doi.org/10.1177/0018720820976297}, doi = {10.1177/0018720820976297}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/Kennedy-MetzDRR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/MniszewskiBFNSA21, author = {Susan M. Mniszewski and James F. Belak and Jean{-}Luc Fattebert and Christian F. A. Negre and Stuart R. Slattery and Adetokunbo A. Adedoyin and Robert F. Bird and Choong{-}Seock Chang and Guangye Chen and St{\'{e}}phane Ethier and Shane Fogerty and Salman Habib and Christoph Junghans and Damien Lebrun{-}Grandi{\'{e}} and Jamaludin Mohd{-}Yusof and Stan G. Moore and Daniel Osei{-}Kuffuor and Steven J. Plimpton and Adrian Pope and Samuel Temple Reeve and Lee F. Ricketson and Aaron Scheinberg and Amil Y. Sharma and Michael E. Wall}, title = {Enabling particle applications for exascale computing platforms}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {35}, number = {6}, pages = {572--597}, year = {2021}, url = {https://doi.org/10.1177/10943420211022829}, doi = {10.1177/10943420211022829}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/MniszewskiBFNSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/GensheimerABCHW21, author = {Michael Francis Gensheimer and Sonya Aggarwal and Kathryn R. K. Benson and Justin N. Carter and Solomon Henry and Douglas J. Wood and Scott G. Soltys and Steven Hancock and Erqi Pollom and Nigam H. Shah and Daniel T. Chang}, title = {Automated model versus treating physician for predicting survival time of patients with metastatic cancer}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {6}, pages = {1108--1116}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa290}, doi = {10.1093/JAMIA/OCAA290}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/GensheimerABCHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/MukadumNAACDCGL21, author = {Fatemah Mukadum and Quan Nguyen and Daniel M. Adrion and Gabriel Appleby and Rui Chen and Haley Dang and Remco Chang and Roman Garnett and Steven A. Lopez}, title = {Efficient Discovery of Visible Light-Activated Azoarene Photoswitches with Long Half-Lives Using Active Search}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {11}, pages = {5524--5534}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.1c00954}, doi = {10.1021/ACS.JCIM.1C00954}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/MukadumNAACDCGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisys/ChangKKR21, author = {Hyun Woong (Daniel) Chang and Steven Kaszak and Peter C. Kipp and Jesse C. Robertson}, title = {The Effect of iXBRL Formatted Financial Statements on the Effectiveness of Managers' Decisions When Making Inter-Firm Comparisons}, journal = {J. Inf. Syst.}, volume = {35}, number = {2}, pages = {149--177}, year = {2021}, url = {https://doi.org/10.2308/isys-2020-011}, doi = {10.2308/ISYS-2020-011}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisys/ChangKKR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lalc/SmailDGRS21, author = {Robert Smail and Christopher Donaldson and Rafa{\"{e}}l Govaerts and Paul Rayson and Carly Stevens}, title = {Uncovering Environmental Change in the English Lake District: Using Computational Techniques to Trace the Presence and Documentation of Historical Flora}, journal = {Digit. Scholarsh. Humanit.}, volume = {36}, number = {3}, pages = {736--756}, year = {2021}, url = {https://doi.org/10.1093/llc/fqaa047}, doi = {10.1093/LLC/FQAA047}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lalc/SmailDGRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/JhaAHTBSLPWHTMH21, author = {Debesh Jha and Sharib Ali and Steven Alexander Hicks and Vajira Thambawita and Hanna Borgli and Pia H. Smedsrud and Thomas de Lange and Konstantin Pogorelov and Xiaowei Wang and Philipp Harzig and Minh{-}Triet Tran and Wenhua Meng and Trung{-}Hieu Hoang and Danielle Dias and Tobey H. Ko and Taruna Agrawal and Olga Ostroukhova and Zeshan Khan and Muhammad Atif Tahir and Yang Liu and Yuan Chang and Mathias Kirker{\o}d and Dag Johansen and Mathias Lux and H{\aa}vard D. Johansen and Michael A. Riegler and P{\aa}l Halvorsen}, title = {A comprehensive analysis of classification methods in gastrointestinal endoscopy imaging}, journal = {Medical Image Anal.}, volume = {70}, pages = {102007}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.102007}, doi = {10.1016/J.MEDIA.2021.102007}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/JhaAHTBSLPWHTMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimKRGSWSDLX21, author = {Daeseung Kim and Tianshu Kuang and Yriu L. Rodrigues and Jaime Gateno and Steve Guo{-}Fang Shen and Xudong Wang and Kirhyn Stein and Hannah H. Deng and Michael A. K. Liebschner and James J. Xia}, title = {A novel incremental simulation of facial changes following orthognathic surgery using {FEM} with realistic lip sliding effect}, journal = {Medical Image Anal.}, volume = {72}, pages = {102095}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.102095}, doi = {10.1016/J.MEDIA.2021.102095}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimKRGSWSDLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LaiCPKBSNDSGNCF21, author = {Alvina Grace Lai and Wai Hoong Chang and Constantinos A. Parisinos and Michail Katsoulis and Ruth M. Blackburn and Anoop D. Shah and Vincent Nguyen and Spiros C. Denaxas and George Davey Smith and Tom R. Gaunt and Krishnarajah Nirantharakumar and Murray P. Cox and Donall Forde and Folkert W. Asselbergs and Steve K. Harris and Sylvia Richardson and Reecha Sofat and Richard J. B. Dobson and Aroon D. Hingorani and Riyaz Patel and Jonathan Sterne and Amitava Banerjee and Alastair K. Denniston and Simon Ball and Neil J. Sebire and Nigam H. Shah and Graham R. Foster and Bryan Williams and Harry Hemingway}, title = {An informatics consult approach for generating clinical evidence for treatment decisions}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {281}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01638-z}, doi = {10.1186/S12911-021-01638-Z}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LaiCPKBSNDSGNCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CarbonDGUHMBCDH21, author = {Seth Carbon and Eric Douglass and Benjamin M. Good and Deepak R. Unni and Nomi L. Harris and Christopher J. Mungall and Siddartha Basu and Rex L. Chisholm and Robert J. Dodson and Eric Hartline and Petra Fey and Paul D. Thomas and Laurent{-}Philippe Albou and Dustin Ebert and Michael J. Kesling and Huaiyu Mi and Anushya Muruganujan and Xiaosong Huang and Tremayne Mushayahama and Sandra A. LaBonte and Deborah A. Siegele and Giulia Antonazzo and Helen Attrill and Nick H. Brown and Phani V. Garapati and Steven J. Marygold and Vitor Trovisco and Gilberto dos Santos and Kathleen Falls and Christopher J. Tabone and Pinglei Zhou and Joshua L. Goodman and Victor B. Strelets and Jim Thurmond and Penelope Garmiri and Rizwan Ishtiaq and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Marcio Luis Acencio and Martin Kuiper and Astrid L{\ae}greid and Colin Logie and Ruth C. Lovering and Barbara Kramarz and Shirin C. C. Saverimuttu and Sandra M. Pinheiro and Heather Gunn and Renzhi Su and Katherine E. Thurlow and Marcus C. Chibucos and Michelle G. Giglio and Suvarna Nadendla and James B. Munro and Rebecca C. Jackson and Margaret J. Duesbury and Noemi del{-}Toro and Birgit H. M. Meldal and Kalpana Paneerselvam and Livia Perfetto and Pablo Porras and Sandra E. Orchard and Anjali Shrivastava and Hsin{-}Yu Chang and Robert D. Finn and Alex L. Mitchell and Neil D. Rawlings and Lorna J. Richardson and Amaia Sangrador{-}Vegas and Judith A. Blake and Karen R. Christie and Mary E. Dolan and Harold J. Drabkin and David P. Hill and Li Ni and Dmitry M. Sitnikov and Midori A. Harris and Stephen G. Oliver and Kim Rutherford and Valerie Wood and Jaqueline Hayles and J{\"{u}}rg B{\"{a}}hler and Elizabeth R. Bolton and Jeffrey DePons and Melinda R. Dwinell and G. Thomas Hayman and Mary L. Kaldunski and Anne E. Kwitek and Stanley J. F. Laulederkind and Cody Plasterer and Marek Tutaj and Mahima Vedi and Shur{-}Jen Wang and Peter D'Eustachio and Lisa Matthews and James P. Balhoff and Suzi A. Aleksander and Michael J. Alexander and J. Michael Cherry and Stacia R. Engel and Felix Gondwe and Kalpana Karra and Stuart R. Miyasato and Robert S. Nash and Matt Simison and Marek S. Skrzypek and Shuai Weng and Edith D. Wong and Marc Feuermann and Pascale Gaudet and Anne Morgat and Erica Bakker and Tanya Z. Berardini and Leonore Reiser and Shabari Subramaniam and Eva Huala and Cecilia N. Arighi and Andrea H. Auchincloss and Kristian B. Axelsen and Ghislaine Argoud{-}Puy and Alex Bateman and Marie{-}Claude Blatter and Emmanuel Boutet and Emily Bowler and Lionel Breuza and Alan J. Bridge and Ramona Britto and Hema Bye{-}A{-}Jee and Cristina Casals{-}Casas and Elisabeth Coudert and Paul Denny and Anne Estreicher and Maria Livia Famiglietti and George E. Georghiou and Arnaud Gos and Nadine Gruaz{-}Gumowski and Emma Hatton{-}Ellis and Chantal Hulo and Alexandr Ignatchenko and Florence Jungo and Kati Laiho and Philippe Le Mercier and Damien Lieberherr and Antonia Lock and Yvonne Lussi and Alistair MacDougall and Michele Magrane and Maria Jesus Martin and Patrick Masson and Darren A. Natale and Nevila Hyka{-}Nouspikel and Ivo Pedruzzi and Lucille Pourcel and Sylvain Poux and Sangya Pundir and Catherine Rivoire and Elena Speretta and Shyamala Sundaram and Nidhi Tyagi and Kate Warner and Rossana Zaru and Cathy H. Wu and Alexander D. Diehl and Juancarlos Chan and Christian A. Grove and Raymond Y. N. Lee and Hans{-}Michael M{\"{u}}ller and Daniela Raciti and Kimberly Van Auken and Paul W. Sternberg and Matthew Berriman and Michael Paulini and Kevin L. Howe and Sibyl Gao and Adam Wright and Lincoln Stein and Douglas G. Howe and Sabrina Toro and Monte Westerfield and Pankaj Jaiswal and Laurel Cooper and Justin Elser}, title = {The Gene Ontology resource: enriching a GOld mine}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D325--D334}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1113}, doi = {10.1093/NAR/GKAA1113}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/CarbonDGUHMBCDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/WeiS21, author = {Ganchao Wei and Ian H. Stevenson}, title = {Tracking Fast and Slow Changes in Synaptic Weights From Simultaneously Observed Pre- and Postsynaptic Spiking}, journal = {Neural Comput.}, volume = {33}, number = {10}, pages = {2682--2709}, year = {2021}, url = {https://doi.org/10.1162/neco\_a\_01426}, doi = {10.1162/NECO\_A\_01426}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/WeiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nms/AitamurtoWZ21, author = {Tanja Aitamurto and Andrea Stevenson Won and Shuo Zhou}, title = {Examining virtual reality for pro-social attitude change}, journal = {New Media Soc.}, volume = {23}, number = {8}, year = {2021}, url = {https://doi.org/10.1177/1461444821993129}, doi = {10.1177/1461444821993129}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nms/AitamurtoWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhangLPCZGS21, author = {Miao Zhang and Huiqi Li and Shirui Pan and Xiaojun Chang and Chuan Zhou and Zongyuan Ge and Steven Weidong Su}, title = {One-Shot Neural Architecture Search: Maximising Diversity to Overcome Catastrophic Forgetting}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {43}, number = {9}, pages = {2921--2935}, year = {2021}, url = {https://doi.org/10.1109/TPAMI.2020.3035351}, doi = {10.1109/TPAMI.2020.3035351}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhangLPCZGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JungLJFLJC21, author = {Nathalie W. Jung and Guan{-}Hong Lee and Yoonho Jung and Steven M. Figueroa and Kenneth D. Lagamayo and Tae{-}Chang Jo and Jongwi Chang}, title = {MorphEst: An Automated Toolbox for Measuring Estuarine Planform Geometry from Remotely Sensed Imagery and Its Application to the South Korean Coast}, journal = {Remote. Sens.}, volume = {13}, number = {2}, pages = {330}, year = {2021}, url = {https://doi.org/10.3390/rs13020330}, doi = {10.3390/RS13020330}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JungLJFLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpa/TaylorPP21, author = {Stephen Taylor and Pavel Prib{\'{a}}n and Ondrej Praz{\'{a}}k}, title = {CompareWords: Measuring semantic change in word usage in different corpora}, journal = {Softw. Impacts}, volume = {8}, pages = {100067}, year = {2021}, url = {https://doi.org/10.1016/j.simpa.2021.100067}, doi = {10.1016/J.SIMPA.2021.100067}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpa/TaylorPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AndrianovKS21, author = {Igor V. Andrianov and Steve Koblik and Galina Starushenko}, title = {Transition from Discrete to Continuous Media: The Impact of Symmetry Changes on Asymptotic Behavior of Waves}, journal = {Symmetry}, volume = {13}, number = {6}, pages = {1008}, year = {2021}, url = {https://doi.org/10.3390/sym13061008}, doi = {10.3390/SYM13061008}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/AndrianovKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/PescudRAIFRIRF21, author = {Melanie Pescud and Lucie Rychetnik and Steven Allender and Michelle J. Irving and Diane T. Finegood and Therese Riley and Ray Ison and Harry Rutter and Sharon Friel}, title = {From Understanding to Impactful Action: Systems Thinking for Systems Change in Chronic Disease Prevention Research}, journal = {Syst.}, volume = {9}, number = {3}, pages = {61}, year = {2021}, url = {https://doi.org/10.3390/systems9030061}, doi = {10.3390/SYSTEMS9030061}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/PescudRAIFRIRF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangMKKE21, author = {Brian Y. Chang and Christian Moyer and Ahmad El Katerji and Steven P. Keller and Elazer R. Edelman}, title = {A Scalable Approach to Determine Intracardiac Pressure From Mechanical Circulatory Support Device Signals}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {3}, pages = {905--913}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3016220}, doi = {10.1109/TBME.2020.3016220}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangMKKE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/WangZELICK21, author = {Xiaoyuan Wang and Pengfei Zhou and Jason Kamran Eshraghian and Chih{-}Yang Lin and Herbert Ho{-}Ching Iu and Ting{-}Chang Chang and Sung{-}Mo Steve Kang}, title = {High-Density Memristor-CMOS Ternary Logic Family}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {1}, pages = {264--274}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2020.3027693}, doi = {10.1109/TCSI.2020.3027693}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/WangZELICK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/MackMCFCSM21, author = {Elizabeth A. Mack and Steven R. Miller and Chu{-}Hsiang Chang and Jenna A. Van Fossen and Shelia R. Cotten and Peter T. Savolainen and John Mann}, title = {The politics of new driving technologies: Political ideology and autonomous vehicle adoption}, journal = {Telematics Informatics}, volume = {61}, pages = {101604}, year = {2021}, url = {https://doi.org/10.1016/j.tele.2021.101604}, doi = {10.1016/J.TELE.2021.101604}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/MackMCFCSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenLDPYGS21, author = {Zhiwen Chen and Chang Liu and Steven X. Ding and Tao Peng and Chunhua Yang and Weihua Gui and Yuri A. W. Shardt}, title = {A Just-In-Time-Learning-Aided Canonical Correlation Analysis Method for Multimode Process Monitoring and Fault Detection}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {6}, pages = {5259--5270}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2989708}, doi = {10.1109/TIE.2020.2989708}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChenLDPYGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/CakmakPPRMNHBAS21, author = {Ayse S. Cakmak and Erick Andres Perez{-}Alday and Giulia Da Poian and Ali Bahrami Rad and Thomas J. Metzler and Thomas Neylan and Stacey L. House and Francesca L. Beaudoin and Xinming An and Jennifer S. Stevens and Donglin Zeng and Sarah D. Linnstaedt and Tanja Jovanovic and Laura T. Germine and Kenneth A. Bollen and Scott L. Rauch and Christopher A. Lewandowski and Phyllis L. Hendry and Sophia Sheikh and Alan B. Storrow and Paul I. Musey and John P. Haran and Christopher W. Jones and Brittany E. Punches and Robert A. Swor and Nina T. Gentile and Meghan McGrath and Mark J. Seamon and Kamran Mohiuddin and Anna M. Chang and Claire Pearson and Robert M. Domeier and Steven E. Bruce and Brian J. O'Neil and Niels K. Rathlev and Leon D. Sanchez and Robert H. Pietrzak and Jutta Joormann and Deanna M. Barch and Diego A. Pizzagalli and Steven E. Harte and James M. Elliott and Ronald C. Kessler and Karestan C. Koenen and Kerry J. Ressler and Samuel A. McLean and Qiao Li and Gari D. Clifford}, title = {Classification and Prediction of Post-Trauma Outcomes Related to {PTSD} Using Circadian Rhythm Changes Measured via Wrist-Worn Research Watch in a Large Longitudinal Cohort}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {8}, pages = {2866--2876}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2021.3053909}, doi = {10.1109/JBHI.2021.3053909}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/CakmakPPRMNHBAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChenZLM21, author = {Yue Chen and Changhong Zhao and Steven H. Low and Shengwei Mei}, title = {Approaching Prosumer Social Optimum via Energy Sharing With Proof of Convergence}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {3}, pages = {2484--2495}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3048402}, doi = {10.1109/TSG.2020.3048402}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChenZLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/LeeLLJLLCOL21, author = {Zachary J. Lee and George Lee and Ted Lee and Cheng Jin and Rand Lee and Zhi Low and Daniel Chang and Christine Ortega and Steven H. Low}, title = {Adaptive Charging Networks: {A} Framework for Smart Electric Vehicle Charging}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {5}, pages = {4339--4350}, year = {2021}, url = {https://doi.org/10.1109/TSG.2021.3074437}, doi = {10.1109/TSG.2021.3074437}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/LeeLLJLLCOL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canopie-hpc/ChangHHJ21, author = {Yan{-}Tyng Sherry Chang and Steve Heistand and Robert Hood and Henry Jin}, title = {Feasibility of Running Singularity Containers with Hybrid {MPI} on {NASA} High-End Computing Resources}, booktitle = {3rd International Workshop on Containers and New Orchestration Paradigms for Isolated Environments in HPC, CANOPIE-HPC@SC 2021, St. Louis, MO, USA, November 14, 2021}, pages = {17--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CANOPIEHPC54579.2021.00007}, doi = {10.1109/CANOPIEHPC54579.2021.00007}, timestamp = {Thu, 06 Jan 2022 14:34:28 +0100}, biburl = {https://dblp.org/rec/conf/canopie-hpc/ChangHHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicai/YaoTHWGLZ21, author = {Chang Yao and Jingyu Tang and Menghan Hu and Yue Wu and Wenyi Guo and Qingli Li and Xiao{-}Ping Zhang}, editor = {Lu Fang and Yiran Chen and Guangtao Zhai and Z. Jane Wang and Ruiping Wang and Weisheng Dong}, title = {Claw U-Net: {A} UNet Variant Network with Deep Feature Concatenation for Scleral Blood Vessel Segmentation}, booktitle = {Artificial Intelligence - First {CAAI} International Conference, {CICAI} 2021, Hangzhou, China, June 5-6, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13070}, pages = {67--78}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93049-3\_6}, doi = {10.1007/978-3-030-93049-3\_6}, timestamp = {Mon, 12 Feb 2024 16:07:12 +0100}, biburl = {https://dblp.org/rec/conf/cicai/YaoTHWGLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/ChenZLM21, author = {Yue Chen and Changhong Zhao and Steven H. Low and Shengwei Mei}, title = {Approaching Prosumer Social Optimum via Energy Sharing with Proof of Convergence}, booktitle = {55th Annual Conference on Information Sciences and Systems, {CISS} 2021, Baltimore, MD, USA, March 24-26, 2021}, pages = {1}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CISS50987.2021.9400276}, doi = {10.1109/CISS50987.2021.9400276}, timestamp = {Fri, 23 Apr 2021 12:00:12 +0200}, biburl = {https://dblp.org/rec/conf/ciss/ChenZLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/Ben-HaimMFDHCS21, author = {Moshe Shay Ben{-}Haim and Olga Dal Monte and Nicholas A. Fagan and Yarrow Dunham and Ran Hassin and Steve W. C. Chang and Laurie Santos}, editor = {W. Tecumseh Fitch and Claus Lamm and Helmut Leder and Kristin Te{\ss}mar{-}Raible}, title = {Unfolding Conscious Awareness from Non-Conscious Perception in Non-Human Animals}, booktitle = {Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021}, publisher = {cognitivesciencesociety.org}, year = {2021}, url = {https://escholarship.org/uc/item/1m14d908}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/Ben-HaimMFDHCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecce/DamenHBV21, author = {Ida Damen and Roy van den Heuvel and Rens Brankaert and Steven Vos}, editor = {Patrizia Marti and Oronzo Parlangeli and Annamaria Recupero}, title = {Advancing Digital Behavior Change Interventions by Exploring a Calendar-Based Suggestion System}, booktitle = {{ECCE} 2021: European Conference on Cognitive Ergonomics 2021, Virtual Event / Siena, Italy, 26-29 April, 2021}, pages = {2:1--2:7}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452853.3452866}, doi = {10.1145/3452853.3452866}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecce/DamenHBV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LiCL21, author = {Xuan Li and Liqiong Chang and Xue Liu}, title = {QHash: An efficient hashing algorithm for low-variance image deduplication}, booktitle = {2021 {IEEE} 23rd Int Conf on High Performance Computing {\&} Communications; 7th Int Conf on Data Science {\&} Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application (HPCC/DSS/SmartCity/DependSys), Haikou, Hainan, China, December 20-22, 2021}, pages = {9--15}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys53884.2021.00029}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS53884.2021.00029}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/LiCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NguyenDHZ21, author = {Khoa Nguyen and Steve Drew and Changcheng Huang and Jiayu Zhou}, title = {EdgePV: Collaborative Edge Computing Framework for Task Offloading}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500400}, doi = {10.1109/ICC42927.2021.9500400}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/NguyenDHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/Roh0WS21, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, title = {FairBatch: Batch Selection for Model Fairness}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=YNnpaAKeCfx}, timestamp = {Wed, 23 Jun 2021 17:36:39 +0200}, biburl = {https://dblp.org/rec/conf/iclr/Roh0WS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ZhangSPCAH21, author = {Miao Zhang and Steven W. Su and Shirui Pan and Xiaojun Chang and M. Ehsan Abbasnejad and Reza Haffari}, editor = {Marina Meila and Tong Zhang}, title = {iDARTS: Differentiable Architecture Search with Stochastic Implicit Gradients}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {12557--12566}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/zhang21s.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ZhangSPCAH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LiCL21, author = {Xuan Li and Liqiong Chang and Xue Liu}, title = {CE-Dedup: Cost-Effective Convolutional Neural Nets Training based on Image Deduplication}, booktitle = {2021 {IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30 - Oct. 3, 2021}, pages = {11--18}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom52081.2021.00017}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM52081.2021.00017}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/LiCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhakifiroozBFGH21, author = {Ali Khakifirooz and Sriram Balasubrahmanyam and Richard Fastow and Kristopher H. Gaewsky and Chang{-}Wan Ha and Rezaul Haque and Owen Jungroth and Steven Law and Aliasgar S. Madraswala and Binh Ngo and Naveen Prabhu V and Shantanu Rajwade and Karthikeyan Ramamurthi and Rohit S. Shenoy and Jacqueline Snyder and Cindy Sun and Deepak Thimmegowda and Bharat Pathak and Pranav Kalavade}, title = {A 1Tb 4b/Cell 144-Tier Floating-Gate 3D-NAND Flash Memory with 40MB/s Program Throughput and 13.8Gb/mm\({}^{\mbox{2}}\) Bit Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {424--426}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365777}, doi = {10.1109/ISSCC42613.2021.9365777}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhakifiroozBFGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/ChangBM21, author = {Yuan Chang and Donald G. Bailey and Steven Le Moan}, editor = {Michael J. Cree}, title = {A new coefficient estimation method when using {PCA} for spectral super-resolution}, booktitle = {36th International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2021, Tauranga, New Zealand, December 9-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IVCNZ54163.2021.9653296}, doi = {10.1109/IVCNZ54163.2021.9653296}, timestamp = {Thu, 13 Jan 2022 08:43:46 +0100}, biburl = {https://dblp.org/rec/conf/ivcnz/ChangBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkKSFPLDK21, author = {Chang Min Park and Donghwi Kim and Deepesh Veersen Sidhwani and Andrew Fuchs and Arnob Paul and Sung{-}Ju Lee and Karthik Dantu and Steven Y. Ko}, editor = {Suman Banerjee and Luca Mottola and Xia Zhou}, title = {Rushmore: securely displaying static and animated images using TrustZone}, booktitle = {MobiSys '21: The 19th Annual International Conference on Mobile Systems, Applications, and Services, Virtual Event, Wisconsin, USA, 24 June - 2 July, 2021}, pages = {122--135}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458864.3467887}, doi = {10.1145/3458864.3467887}, timestamp = {Thu, 27 Apr 2023 08:25:09 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ParkKSFPLDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/RohLWS21, author = {Yuji Roh and Kangwook Lee and Steven Whang and Changho Suh}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Sample Selection for Fair and Robust Training}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {815--827}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/07563a3fe3bbe7e3ba84431ad9d055af-Abstract.html}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/RohLWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sds/SotoVCBSCHBL21, author = {Paola Soto and Danny De Vleeschauwer and Miguel Camelo and Yorick De Bock and Koen De Schepper and Chia{-}Yu Chang and Peter Hellinckx and Juan Felipe Botero and Steven Latr{\'{e}}}, title = {Towards Autonomous {VNF} Auto-scaling using Deep Reinforcement Learning}, booktitle = {Eighth International Conference on Software Defined Systems, {SDS} 2021, Gandia, Spain, December 6-9, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SDS54264.2021.9731854}, doi = {10.1109/SDS54264.2021.9731854}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sds/SotoVCBSCHBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wecwis/LeegoB21, author = {Steven Leego and Ilia Bider}, editor = {Jo{\~{a}}o Paulo A. Almeida and Dominik Bork and Giancarlo Guizzardi and Marco Montali and Henderik A. Proper and Tiago Prince Sales}, title = {Using Fractal Enterprise Model in Technology-Driven Organisational Change Projects: {A} Case of a Water Utility Company}, booktitle = {23rd Conference on Business Informatics, {CBI} 2021, Bolzano, Italy, September 1-3, 2021. Volume 2}, pages = {107--116}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CBI52690.2021.10061}, doi = {10.1109/CBI52690.2021.10061}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wecwis/LeegoB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-02597, author = {Tianye Li and Mira Slavcheva and Michael Zollh{\"{o}}fer and Simon Green and Christoph Lassner and Changil Kim and Tanner Schmidt and Steven Lovegrove and Michael Goesele and Zhaoyang Lv}, title = {Neural 3D Video Synthesis}, journal = {CoRR}, volume = {abs/2103.02597}, year = {2021}, url = {https://arxiv.org/abs/2103.02597}, eprinttype = {arXiv}, eprint = {2103.02597}, timestamp = {Mon, 08 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-02597.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-15470, author = {Su Yeon Chang and Steven Herbert and Sofia Vallecorsa and El{\'{\i}}as F. Combarro and Ross Duncan}, title = {Dual-Parameterized Quantum Circuit {GAN} Model in High Energy Physics}, journal = {CoRR}, volume = {abs/2103.15470}, year = {2021}, url = {https://arxiv.org/abs/2103.15470}, eprinttype = {arXiv}, eprint = {2103.15470}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-15470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-10784, author = {Miao Zhang and Steven W. Su and Shirui Pan and Xiaojun Chang and Ehsan Abbasnejad and Reza Haffari}, title = {iDARTS: Differentiable Architecture Search with Stochastic Implicit Gradients}, journal = {CoRR}, volume = {abs/2106.10784}, year = {2021}, url = {https://arxiv.org/abs/2106.10784}, eprinttype = {arXiv}, eprint = {2106.10784}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-10784.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11542, author = {Miao Zhang and Steven W. Su and Shirui Pan and Xiaojun Chang and Wei Huang and Gholamreza Haffari}, title = {Differentiable Architecture Search Without Training Nor Labels: {A} Pruning Perspective}, journal = {CoRR}, volume = {abs/2106.11542}, year = {2021}, url = {https://arxiv.org/abs/2106.11542}, eprinttype = {arXiv}, eprint = {2106.11542}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00507, author = {Han{-}Chih Chang and Jianwei Li and Ching{-}Seh Wu and Mark Stamp}, title = {Machine Learning and Deep Learning for Fixed-Text Keystroke Dynamics}, journal = {CoRR}, volume = {abs/2107.00507}, year = {2021}, url = {https://arxiv.org/abs/2107.00507}, eprinttype = {arXiv}, eprint = {2107.00507}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-05188, author = {Yao Chang and Menghan Hu and Guangtao Zhai and Xiao{-}Ping Zhang}, title = {TransClaw U-Net: Claw U-Net with Transformers for Medical Image Segmentation}, journal = {CoRR}, volume = {abs/2107.05188}, year = {2021}, url = {https://arxiv.org/abs/2107.05188}, eprinttype = {arXiv}, eprint = {2107.05188}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-05188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-07009, author = {Jianwei Li and Han{-}Chih Chang and Mark Stamp}, title = {Free-Text Keystroke Dynamics for User Authentication}, journal = {CoRR}, volume = {abs/2107.07009}, year = {2021}, url = {https://arxiv.org/abs/2107.07009}, eprinttype = {arXiv}, eprint = {2107.07009}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-07009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-07409, author = {Han{-}Chih Chang and Jianwei Li and Mark Stamp}, title = {Machine Learning-Based Analysis of Free-Text Keystroke Dynamics}, journal = {CoRR}, volume = {abs/2107.07409}, year = {2021}, url = {https://arxiv.org/abs/2107.07409}, eprinttype = {arXiv}, eprint = {2107.07409}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-07409.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-10855, author = {Manmeet Singh and Chirag Dhara and Adarsh Kumar and Sukhpal Singh Gill and Steve Uhlig}, title = {Quantum Artificial Intelligence for the Science of Climate Change}, journal = {CoRR}, volume = {abs/2108.10855}, year = {2021}, url = {https://arxiv.org/abs/2108.10855}, eprinttype = {arXiv}, eprint = {2108.10855}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-10855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-00899, author = {Xuan Li and Liqiong Chang and Xue Liu}, title = {CE-Dedup: Cost-Effective Convolutional Neural Nets Training based on Image Deduplication}, journal = {CoRR}, volume = {abs/2109.00899}, year = {2021}, url = {https://arxiv.org/abs/2109.00899}, eprinttype = {arXiv}, eprint = {2109.00899}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-00899.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-09056, author = {Susan M. Mniszewski and James F. Belak and Jean{-}Luc Fattebert and Christian F. A. Negre and Stuart R. Slattery and Adetokunbo Adedoyin and Robert F. Bird and Choong{-}Seock Chang and Guangye Chen and St{\'{e}}phane Ethier and Shane Fogerty and Salman Habib and Christoph Junghans and Damien Lebrun{-}Grandi{\'{e}} and Jamaludin Mohd{-}Yusof and Stan G. Moore and Daniel Osei{-}Kuffuor and Steven J. Plimpton and Adrian Pope and Samuel Temple Reeve and Lee F. Ricketson and Aaron Scheinberg and Amil Y. Sharma and Michael E. Wall}, title = {Enabling particle applications for exascale computing platforms}, journal = {CoRR}, volume = {abs/2109.09056}, year = {2021}, url = {https://arxiv.org/abs/2109.09056}, eprinttype = {arXiv}, eprint = {2109.09056}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-09056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14222, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, title = {Sample Selection for Fair and Robust Training}, journal = {CoRR}, volume = {abs/2110.14222}, year = {2021}, url = {https://arxiv.org/abs/2110.14222}, eprinttype = {arXiv}, eprint = {2110.14222}, timestamp = {Fri, 29 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-13204, author = {Miao Zhang and Jilin Hu and Steven Su and Shirui Pan and Xiaojun Chang and Bin Yang and Gholamreza Haffari}, title = {BaLeNAS: Differentiable Architecture Search via the Bayesian Learning Rule}, journal = {CoRR}, volume = {abs/2111.13204}, year = {2021}, url = {https://arxiv.org/abs/2111.13204}, eprinttype = {arXiv}, eprint = {2111.13204}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-13204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/BoukhelifaBCCDE20, author = {Nadia Boukhelifa and Anastasia Bezerianos and Remco Chang and Christopher Collins and Steven Mark Drucker and Alexander Endert and Jessica Hullman and Christopher L. North and Michael Sedlmair and Theresa{-}Marie Rhyne}, title = {Challenges in Evaluating Interactive Visual Machine Learning Systems}, journal = {{IEEE} Computer Graphics and Applications}, volume = {40}, number = {6}, pages = {88--96}, year = {2020}, url = {https://doi.org/10.1109/MCG.2020.3017064}, doi = {10.1109/MCG.2020.3017064}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cga/BoukhelifaBCCDE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datascience/KershawHLBDIJPP20, author = {Philip Kershaw and Kevin Halsall and Bryan N. Lawrence and Victoria L. Bennett and Steve Donegan and Alan Iwi and Martin Juckes and Eduardo Pechorro and Ruth Petrie and Joe Singleton and Ag Stephens and Alison Waterfall and Antony Wilson and Alexander Wood}, title = {Developing an Open Data Portal for the {ESA} Climate Change Initiative}, journal = {Data Sci. J.}, volume = {19}, pages = {16}, year = {2020}, url = {https://doi.org/10.5334/dsj-2020-016}, doi = {10.5334/DSJ-2020-016}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datascience/KershawHLBDIJPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/FrankB20, author = {Steven A. Frank and Frank J. Bruggeman}, title = {The Fundamental Equations of Change in Statistical Ensembles and Biological Populations}, journal = {Entropy}, volume = {22}, number = {12}, pages = {1395}, year = {2020}, url = {https://doi.org/10.3390/e22121395}, doi = {10.3390/E22121395}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/FrankB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijissc/LeonM20, author = {Steven Leon and B. Dawn Medlin}, title = {A Conceptual Framework for Collaboration in Business Schools}, journal = {Int. J. Inf. Syst. Soc. Chang.}, volume = {11}, number = {3}, pages = {32--45}, year = {2020}, url = {https://doi.org/10.4018/IJISSC.2020070103}, doi = {10.4018/IJISSC.2020070103}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijissc/LeonM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/BaileyCM20, author = {Donald G. Bailey and Yuan Chang and Steven Le Moan}, title = {Analysing Arbitrary Curves from the Line Hough Transform}, journal = {J. Imaging}, volume = {6}, number = {4}, pages = {26}, year = {2020}, url = {https://doi.org/10.3390/jimaging6040026}, doi = {10.3390/JIMAGING6040026}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jimaging/BaileyCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/LiZMYSCH20, author = {Guangxia Li and Peilin Zhao and Tao Mei and Peng Yang and Yulong Shen and Kuiyu Chang and Steven C. H. Hoi}, title = {Collaborative online ranking algorithms for multitask learning}, journal = {Knowl. Inf. Syst.}, volume = {62}, number = {6}, pages = {2327--2348}, year = {2020}, url = {https://doi.org/10.1007/s10115-019-01406-6}, doi = {10.1007/S10115-019-01406-6}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/LiZMYSCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/SunKBK20, author = {Chang Sun and Eurika Kaiser and Steven L. Brunton and J. Nathan Kutz}, title = {Deep reinforcement learning for optical systems: {A} case study of mode-locked lasers}, journal = {Mach. Learn. Sci. Technol.}, volume = {1}, number = {4}, pages = {45013}, year = {2020}, url = {https://doi.org/10.1088/2632-2153/abb6d6}, doi = {10.1088/2632-2153/ABB6D6}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/SunKBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/CulosTSBGMFTNEX20, author = {Anthony Culos and Amy Tsai and Natalie Stanley and Martin Becker and Mohammad Sajjad Ghaemi and David Mcilwain and Ramin Fallahzadeh and Athena Tanada and Huda Nassar and Camilo Espinosa and Maria Xenochristou and Edward Ganio and Laura Peterson and Xiaoyuan Han and Ina A. Stelzer and Kazuo Ando and Dyani Gaudilliere and Thanaphong Phongpreecha and Ivana Maric and Alan L. Chang and Gary M. Shaw and David K. Stevenson and Sean Bendall and Kara L. Davis and Wendy J. Fantl and Garry P. Nolan and Trevor Hastie and Robert Tibshirani and Martin S. Angst and Brice Gaudilliere and Nima Aghaeepour}, title = {Integration of mechanistic immunological knowledge into a machine learning pipeline improves predictions}, journal = {Nat. Mach. Intell.}, volume = {2}, number = {10}, pages = {619--628}, year = {2020}, url = {https://doi.org/10.1038/s42256-020-00232-8}, doi = {10.1038/S42256-020-00232-8}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/CulosTSBGMFTNEX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShangHCMCN20, author = {Yingying Shang and Leighton B. N. Hinkley and Chang Cai and Danielle Mizuiri and Steven W. Cheung and Srikantan S. Nagarajan}, title = {Cross-modal plasticity in adult single-sided deafness revealed by alpha band resting-state functional connectivity}, journal = {NeuroImage}, volume = {207}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2019.116376}, doi = {10.1016/J.NEUROIMAGE.2019.116376}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ShangHCMCN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/plq/Stevenson20, author = {Siobhan Stevenson}, title = {Dueling Discourses: The Managerial Change-Agent and the Ghost in the Stacks}, journal = {Public Libr. Q.}, volume = {39}, number = {6}, pages = {558--576}, year = {2020}, url = {https://doi.org/10.1080/01616846.2019.1619388}, doi = {10.1080/01616846.2019.1619388}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/plq/Stevenson20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BoucherHODATKCP20, author = {Peter Brehm Boucher and Steven Hancock and David A. Orwig and Laura Duncanson and John Armston and Hao Tang and Keith Krause and Bruce D. Cook and Ian Paynter and Zhan Li and Arthur Elmes and Crystal Schaaf}, title = {Detecting Change in Forest Structure with Simulated {GEDI} Lidar Waveforms: {A} Case Study of the Hemlock Woolly Adelgid (HWA; Adelges tsugae) Infestation}, journal = {Remote. Sens.}, volume = {12}, number = {8}, pages = {1304}, year = {2020}, url = {https://doi.org/10.3390/rs12081304}, doi = {10.3390/RS12081304}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/BoucherHODATKCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NievesBSSKCSGT20, author = {Jeremiah J. Nieves and Maksym Bondarenko and Alessandro Sorichetta and Jessica E. Steele and David Kerr and Alessandra Carioli and Forrest R. Stevens and Andrea E. Gaughan and Andrew J. Tatem}, title = {Predicting Near-Future Built-Settlement Expansion Using Relative Changes in Small Area Populations}, journal = {Remote. Sens.}, volume = {12}, number = {10}, pages = {1545}, year = {2020}, url = {https://doi.org/10.3390/rs12101545}, doi = {10.3390/RS12101545}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/NievesBSSKCSGT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhVJGCTGNSCMLAI20, author = {Minseok Oh and Sergey Velichko and Scott Johnson and Michael Guidash and Hung{-}Chih Chang and Daniel Tekleab and Bob Gravelle and Steve Nicholes and Maheedhar Suryadevara and Dave Collins and Rick Mauritzson and Lin Lin and Shaheen Amanullah and Manuel Innocent}, title = {Automotive 3.0 {\(\mathrm{\mu}\)}m Pixel High Dynamic Range Sensor with {LED} Flicker Mitigation}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1390}, year = {2020}, url = {https://doi.org/10.3390/s20051390}, doi = {10.3390/S20051390}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/OhVJGCTGNSCMLAI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XuCPL20, author = {Lang Xu and Steven Chatterton and Paolo Pennacchi and Chang Liu}, title = {A Tacholess Order Tracking Method Based on Inverse Short Time Fourier Transform and Singular Value Decomposition for Bearing Fault Diagnosis}, journal = {Sensors}, volume = {20}, number = {23}, pages = {6924}, year = {2020}, url = {https://doi.org/10.3390/s20236924}, doi = {10.3390/S20236924}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XuCPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/JiangLLZH20, author = {Xiao Jiang and Gang Li and Yu Liu and Xiao{-}Ping (Steven) Zhang and You He}, title = {Change Detection in Heterogeneous Optical and {SAR} Remote Sensing Images Via Deep Homogeneous Feature Fusion}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {1551--1566}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2020.2983993}, doi = {10.1109/JSTARS.2020.2983993}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/JiangLLZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LuSWRL20, author = {Wei Lu and Michael C. Stevens and Changhong Wang and Stephen J. Redmond and Nigel H. Lovell}, title = {Smart Triggering of the Barometer in a Fall Detector Using a Semi-Permeable Membrane}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {1}, pages = {146--157}, year = {2020}, url = {https://doi.org/10.1109/TBME.2019.2909907}, doi = {10.1109/TBME.2019.2909907}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LuSWRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/WangDMT20, author = {Kan Wang and Changxing Ding and Stephen J. Maybank and Dacheng Tao}, title = {{CDPM:} Convolutional Deformable Part Models for Semantically Aligned Person Re-Identification}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {3416--3428}, year = {2020}, url = {https://doi.org/10.1109/TIP.2019.2959923}, doi = {10.1109/TIP.2019.2959923}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/WangDMT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiv/ZhangLTFSC20, author = {Qingyu Zhang and Reza Langari and H. Eric Tseng and Dimitar P. Filev and Steven Szwabowski and Serdar Coskun}, title = {A Game Theoretic Model Predictive Controller With Aggressiveness Estimation for Mandatory Lane Change}, journal = {{IEEE} Trans. Intell. Veh.}, volume = {5}, number = {1}, pages = {75--89}, year = {2020}, url = {https://doi.org/10.1109/TIV.2019.2955367}, doi = {10.1109/TIV.2019.2955367}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tiv/ZhangLTFSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/XuHHG20, author = {Chang Xu and Hankun He and Steven Conrad Hauser and Gregory J. Gerling}, title = {Tactile Exploration Strategies With Natural Compliant Objects Elicit Virtual Stiffness Cues}, journal = {{IEEE} Trans. Haptics}, volume = {13}, number = {1}, pages = {4--10}, year = {2020}, url = {https://doi.org/10.1109/TOH.2019.2959767}, doi = {10.1109/TOH.2019.2959767}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toh/XuHHG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/NievesSLBSSGCCE20, author = {Jeremiah J. Nieves and Alessandro Sorichetta and Catherine Linard and Maksym Bondarenko and Jessica E. Steele and Forrest R. Stevens and Andrea E. Gaughan and Alessandra Carioli and Donna J. Clarke and Thomas Esch and Andrew J. Tatem}, title = {Annually modelling built-settlements between remotely-sensed observations using relative changes in subnational populations and lights at night}, journal = {Comput. Environ. Urban Syst.}, volume = {80}, pages = {101444}, year = {2020}, url = {https://doi.org/10.1016/j.compenvurbsys.2019.101444}, doi = {10.1016/J.COMPENVURBSYS.2019.101444}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/urban/NievesSLBSSGCCE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhaoB20, author = {Yiyun Zhao and Steven Bethard}, editor = {Dan Jurafsky and Joyce Chai and Natalie Schluter and Joel R. Tetreault}, title = {How does BERT's attention change when you fine-tune? An analysis methodology and a case study in negation scope}, booktitle = {Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics, {ACL} 2020, Online, July 5-10, 2020}, pages = {4729--4747}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.acl-main.429}, doi = {10.18653/V1/2020.ACL-MAIN.429}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhaoB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChangLLKEBDSOHF20, author = {Young Hwan Chang and Jeremy Linsley and Josh Lamstein and Jaslin Kalra and Irina Epstein and Mariya Barch and Kenneth Daily and Phil Snyder and Larsson Omberg and Laura Heiser and Steven Finkbeiner}, title = {Single cell tracking based on Voronoi partition via stable matching}, booktitle = {59th {IEEE} Conference on Decision and Control, {CDC} 2020, Jeju Island, South Korea, December 14-18, 2020}, pages = {5086--5091}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CDC42340.2020.9304436}, doi = {10.1109/CDC42340.2020.9304436}, timestamp = {Fri, 04 Mar 2022 13:31:02 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChangLLKEBDSOHF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SauvePAH20, author = {Kim Sauv{\'{e}} and Dominic Potts and Jason Alexander and Steven Houben}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {A Change of Perspective: How User Orientation Influences the Perception of Physicalizations}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376312}, doi = {10.1145/3313831.3376312}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/SauvePAH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudnet/NguyenDHZ20, author = {Khoa Nguyen and Steve Drew and Changcheng Huang and Jiayu Zhou}, editor = {Oscar M. Caicedo}, title = {Collaborative Container-based Parked Vehicle Edge Computing Framework for Online Task Offloading}, booktitle = {9th {IEEE} International Conference on Cloud Networking, CloudNet 2020, Piscataway, NJ, USA, November 9-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CloudNet51028.2020.9335809}, doi = {10.1109/CLOUDNET51028.2020.9335809}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloudnet/NguyenDHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangLPCS20, author = {Miao Zhang and Huiqi Li and Shirui Pan and Xiaojun Chang and Steven W. Su}, title = {Overcoming Multi-Model Forgetting in One-Shot {NAS} With Diversity Maximization}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {7806--7815}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Zhang\_Overcoming\_Multi-Model\_Forgetting\_in\_One-Shot\_NAS\_With\_Diversity\_Maximization\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00783}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangLPCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiFTPHC20, author = {Changmao Li and Elaine Fisher and Rebecca Thomas and Steve Pittard and Vicki Hertzberg and Jinho D. Choi}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Competence-Level Prediction and Resume {\&} Job Description Matching Using Context-Aware Transformer Models}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {8456--8466}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.679}, doi = {10.18653/V1/2020.EMNLP-MAIN.679}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiFTPHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/evalita/PrazakP020, author = {Ondrej Praz{\'{a}}k and Pavel Prib{\'{a}}n and Stephen Taylor}, editor = {Valerio Basile and Danilo Croce and Maria Di Maro and Lucia C. Passaro}, title = {{UWB} @ DIACR-Ita: Lexical Semantic Change Detection with {CCA} and Orthogonal Transformation}, booktitle = {Proceedings of the Seventh Evaluation Campaign of Natural Language Processing and Speech Tools for Italian. Final Workshop {(EVALITA} 2020), Online event, December 17th, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2765}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2765/paper110.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:20 +0100}, biburl = {https://dblp.org/rec/conf/evalita/PrazakP020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/MillerH20, author = {Sharon Miller and Steven Higbee}, title = {Getting Started: Initiating Systemic Engineering Design Changes in an Undergraduate Curriculum (Special Session)}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2020, Uppsala, Sweden, October 21-24, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FIE44824.2020.9274092}, doi = {10.1109/FIE44824.2020.9274092}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/MillerH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BelandCCMPSVWY20, author = {Steven Beland and Isaac Chang and Alexander Chen and Matthew Moser and James L. Paunicka and Douglas Stuart and John Vian and Christina Westover and Huafeng Yu}, title = {Towards Assurance Evaluation of Autonomous Systems}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {84:1--84:6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415785}, doi = {10.1145/3400302.3415785}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BelandCCMPSVWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/RohLWS20, author = {Yuji Roh and Kangwook Lee and Steven Whang and Changho Suh}, title = {FR-Train: {A} Mutual Information-Based Approach to Fair and Robust Training}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {8147--8157}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/roh20a.html}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/RohLWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LeeMDSL20, author = {Wei{-}Han Lee and Steve Millman and Nirmit Desai and Mudhakar Srivatsa and Changchang Liu}, title = {NeuralFP: Out-of-distribution Detection using Fingerprints of Neural Networks}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {9561--9568}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412489}, doi = {10.1109/ICPR48806.2021.9412489}, timestamp = {Fri, 07 May 2021 08:42:33 +0200}, biburl = {https://dblp.org/rec/conf/icpr/LeeMDSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ZhangLPCGS20, author = {Miao Zhang and Huiqi Li and Shirui Pan and Xiaojun Chang and Zongyuan Ge and Steven W. Su}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Differentiable Neural Architecture Search in Equivalent Space with Exploration Enhancement}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/9a96a2c73c0d477ff2a6da3bf538f4f4-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ZhangLPCGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LinWTCCLK20, author = {Yu{-}Chen Lin and Tse{-}Yuan Wang and Che{-}Wei Tsao and Yuan{-}Hao Chang and Jian{-}Jia Chen and Xue Liu and Tei{-}Wei Kuo}, editor = {Tom{\'{a}}s Cern{\'{y}} and Juw Won Park}, title = {Overheating-Avoidance Remapping Scheme for Reliability Enhancement of 3D {PCM} Storage Systems}, booktitle = {{RACS} '20: International Conference on Research in Adaptive and Convergent Systems, Gwangju, Korea, October 13-16, 2020}, pages = {239--246}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3400286.3418248}, doi = {10.1145/3400286.3418248}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/LinWTCCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/PrazakPTS20, author = {Ondrej Praz{\'{a}}k and Pavel Prib{\'{a}}n and Stephen Taylor and Jakub Sido}, editor = {Aur{\'{e}}lie Herbelot and Xiaodan Zhu and Alexis Palmer and Nathan Schneider and Jonathan May and Ekaterina Shutova}, title = {{UWB} at SemEval-2020 Task 1: Lexical Semantic Change Detection}, booktitle = {Proceedings of the Fourteenth Workshop on Semantic Evaluation, SemEval@COLING 2020, Barcelona (online), December 12-13, 2020}, pages = {246--254}, publisher = {International Committee for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.semeval-1.30}, doi = {10.18653/V1/2020.SEMEVAL-1.30}, timestamp = {Mon, 18 Dec 2023 11:22:01 +0100}, biburl = {https://dblp.org/rec/conf/semeval/PrazakPTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/McGeeDGRRY20, author = {Steven McGee and Lucia Dettori and Ronald I. Greenberg and Andrew M. Rasmussen and Dale F. Reed and Don Yanek}, editor = {Jian Zhang and Mark Sherriff and Sarah Heckman and Pamela A. Cutter and Alvaro E. Monge}, title = {The Changing Profile of {ECS} Teachers}, booktitle = {Proceedings of the 51st {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2020, Portland, OR, USA, March 11-14, 2020}, pages = {1382}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3328778.3372679}, doi = {10.1145/3328778.3372679}, timestamp = {Tue, 23 Mar 2021 10:54:19 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/McGeeDGRRY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-10234, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, title = {FR-Train: {A} mutual information-based approach to fair and robust training}, journal = {CoRR}, volume = {abs/2002.10234}, year = {2020}, url = {https://arxiv.org/abs/2002.10234}, eprinttype = {arXiv}, eprint = {2002.10234}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-10234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-03830, author = {Xiao Jiang and Gang Li and Yu Liu and Xiao{-}Ping Zhang and You He}, title = {Change Detection in Heterogeneous Optical and {SAR} Remote Sensing Images via Deep Homogeneous Feature Fusion}, journal = {CoRR}, volume = {abs/2004.03830}, year = {2020}, url = {https://arxiv.org/abs/2004.03830}, eprinttype = {arXiv}, eprint = {2004.03830}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-03830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-10645, author = {Jie Guo and Hao Yan and Chen Zhang and Steven C. H. Hoi}, title = {Partially Observable Online Change Detection via Smooth-Sparse Decomposition}, journal = {CoRR}, volume = {abs/2009.10645}, year = {2020}, url = {https://arxiv.org/abs/2009.10645}, eprinttype = {arXiv}, eprint = {2009.10645}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-10645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10163, author = {Chang Yao and Jingyu Tang and Menghan Hu and Yue Wu and Wenyi Guo and Qingli Li and Xiao{-}Ping Zhang}, title = {Claw U-Net: {A} Unet-based Network with Deep Feature Concatenation for Scleral Blood Vessel Segmentation}, journal = {CoRR}, volume = {abs/2010.10163}, year = {2020}, url = {https://arxiv.org/abs/2010.10163}, eprinttype = {arXiv}, eprint = {2010.10163}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10163.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-14544, author = {Steven A. Frank and Frank J. Bruggeman}, title = {The fundamental equations of change in statistical ensembles and biological populations}, journal = {CoRR}, volume = {abs/2010.14544}, year = {2020}, url = {https://arxiv.org/abs/2010.14544}, eprinttype = {arXiv}, eprint = {2010.14544}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-14544.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-02998, author = {Changmao Li and Elaine Fisher and Rebecca Thomas and Steve Pittard and Vicki Hertzberg and Jinho D. Choi}, title = {Competence-Level Prediction and Resume {\&} Job Description Matching Using Context-Aware Transformer Models}, journal = {CoRR}, volume = {abs/2011.02998}, year = {2020}, url = {https://arxiv.org/abs/2011.02998}, eprinttype = {arXiv}, eprint = {2011.02998}, timestamp = {Mon, 09 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-02998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14678, author = {Ondrej Praz{\'{a}}k and Pavel Prib{\'{a}}n and Stephen Taylor}, title = {{UWB} @ DIACR-Ita: Lexical Semantic Change Detection with {CCA} and Orthogonal Transformation}, journal = {CoRR}, volume = {abs/2011.14678}, year = {2020}, url = {https://arxiv.org/abs/2011.14678}, eprinttype = {arXiv}, eprint = {2011.14678}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-00004, author = {Ondrej Praz{\'{a}}k and Pavel Prib{\'{a}}n and Stephen Taylor and Jakub Sido}, title = {{UWB} at SemEval-2020 Task 1: Lexical Semantic Change Detection}, journal = {CoRR}, volume = {abs/2012.00004}, year = {2020}, url = {https://arxiv.org/abs/2012.00004}, eprinttype = {arXiv}, eprint = {2012.00004}, timestamp = {Fri, 04 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-00004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-01696, author = {Yuji Roh and Kangwook Lee and Steven Euijong Whang and Changho Suh}, title = {FairBatch: Batch Selection for Model Fairness}, journal = {CoRR}, volume = {abs/2012.01696}, year = {2020}, url = {https://arxiv.org/abs/2012.01696}, eprinttype = {arXiv}, eprint = {2012.01696}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-01696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02636, author = {Zachary J. Lee and George Lee and Ted Lee and Cheng Jin and Rand Lee and Zhi Low and Daniel Chang and Christine Ortega and Steven H. Low}, title = {Adaptive Charging Networks: {A} Framework for Smart Electric Vehicle Charging}, journal = {CoRR}, volume = {abs/2012.02636}, year = {2020}, url = {https://arxiv.org/abs/2012.02636}, eprinttype = {arXiv}, eprint = {2012.02636}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AzamiASCSEG19, author = {Hamed Azami and Steven E. Arnold and Saeid Sanei and Zhuoqing Chang and Guillermo Sapiro and Javier Escudero and Anoopum S. Gupta}, title = {Multiscale Fluctuation-Based Dispersion Entropy and Its Applications to Neurological Diseases}, journal = {{IEEE} Access}, volume = {7}, pages = {68718--68733}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2918560}, doi = {10.1109/ACCESS.2019.2918560}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AzamiASCSEG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/SohlDWR19, author = {Terry L. Sohl and Jordan Dornbierer and Steve Wika and Charles Robison}, title = {Remote sensing as the foundation for high-resolution United States landscape projections - The Land Change Monitoring, assessment, and projection {(LCMAP)} initiative}, journal = {Environ. Model. Softw.}, volume = {120}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2019.104495}, doi = {10.1016/J.ENVSOFT.2019.104495}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/SohlDWR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/StevensMR19, author = {Reinout Stevens and Tim Molderez and Coen De Roover}, title = {Querying distilled code changes to extract executable transformations}, journal = {Empir. Softw. Eng.}, volume = {24}, number = {1}, pages = {491--535}, year = {2019}, url = {https://doi.org/10.1007/s10664-018-9644-3}, doi = {10.1007/S10664-018-9644-3}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ese/StevensMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WuZBZYH19, author = {Di Wu and Si{-}Jia Zheng and Wenzheng Bao and Xiao{-}Ping (Steven) Zhang and Chang{-}An Yuan and De{-}Shuang Huang}, title = {A novel deep model with multi-loss and efficient training for person \emph{re}-identification}, journal = {Neurocomputing}, volume = {324}, pages = {69--75}, year = {2019}, url = {https://doi.org/10.1016/j.neucom.2018.03.073}, doi = {10.1016/J.NEUCOM.2018.03.073}, timestamp = {Thu, 12 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/WuZBZYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WuZZYCZLZJH19, author = {Di Wu and Si{-}Jia Zheng and Xiao{-}Ping (Steven) Zhang and Chang{-}An Yuan and Fei Cheng and Yang Zhao and Yong{-}Jun Lin and Zhong{-}Qiu Zhao and Yong{-}Li Jiang and De{-}Shuang Huang}, title = {Deep learning-based methods for person re-identification: {A} comprehensive review}, journal = {Neurocomputing}, volume = {337}, pages = {354--371}, year = {2019}, url = {https://doi.org/10.1016/j.neucom.2019.01.079}, doi = {10.1016/J.NEUCOM.2019.01.079}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WuZZYCZLZJH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/ChangLS19, author = {Kao{-}Pu Chang and Jessica M. Libertini and Steven Seay}, title = {Comparing Gradient Descent with Automatic Differentiation and Particle Swarm Optimization Techniques for Estimating Tumor Blood Flow Parameters in Contrast-Enhanced Imaging}, journal = {J. Sci. Comput.}, volume = {81}, number = {3}, pages = {1567--1576}, year = {2019}, url = {https://doi.org/10.1007/s10915-019-01099-7}, doi = {10.1007/S10915-019-01099-7}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jscic/ChangLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaileyRHLCMWMIW19, author = {Steven Bailey and Paul Rigge and Jaeduk Han and Richard Lin and Eric Chang and Howard Mao and Zhongkai Wang and Chick Markley and Adam M. Izraelevitz and Angie Wang and Nathan Narevsky and Woo{-}Rham Bae and Steve Shauck and Sergio Montano and Justin Norsworthy and Munir Razzaque and Wen Hau Ma and Akalu Lentiro and Matthew Doerflein and Darin Heckendorn and Jim McGrath and Franco DeSeta and Ronen Shoham and Mike Stellfox and Mark Snowden and Joseph Cole and Dan Fuhrman and Brian C. Richards and Jonathan Bachrach and Elad Alon and Borivoje Nikolic}, title = {A Mixed-Signal {RISC-V} Signal Analysis SoC Generator With a 16-nm FinFET Instance}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {10}, pages = {2786--2801}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2924090}, doi = {10.1109/JSSC.2019.2924090}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaileyRHLCMWMIW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GilmoreNLGBGGNO19, author = {Adrian W. Gilmore and Steven M. Nelson and Timothy O. Laumann and Evan M. Gordon and Jeffrey J. Berg and Deanna J. Greene and Caterina Gratton and Annie L. Nguyen and Mario Ortega and Catherine R. Hoyt and Rebecca S. Coalson and Bradley L. Schlaggar and Steven E. Petersen and Nico U. F. Dosenbach and Kathleen B. McDermott}, title = {High-fidelity mapping of repetition-related changes in the parietal memory network}, journal = {NeuroImage}, volume = {199}, pages = {427--439}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.06.011}, doi = {10.1016/J.NEUROIMAGE.2019.06.011}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GilmoreNLGBGGNO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/YapleSA19, author = {Zachary A. Yaple and W. Dale Stevens and Marie Arsalidou}, title = {Meta-analyses of the n-back working memory task: fMRI evidence of age-related changes in prefrontal cortex involvement across the adult lifespan}, journal = {NeuroImage}, volume = {196}, pages = {16--31}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.03.074}, doi = {10.1016/J.NEUROIMAGE.2019.03.074}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/YapleSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ParkKAPDKZ19, author = {Chang Min Park and Taeyeon Ki and Ali J. Ben Ali and Nikhil Sunil Pawar and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, title = {Gesto: Mapping {UI} Events to Gestures and Voice Commands}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {3}, number = {{EICS}}, pages = {5:1--5:22}, year = {2019}, url = {https://doi.org/10.1145/3300964}, doi = {10.1145/3300964}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/ParkKAPDKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/WilsonSW19, author = {James D. Wilson and Nathaniel T. Stevens and William H. Woodall}, title = {Modeling and detecting change in temporal networks via the degree corrected stochastic block model}, journal = {Qual. Reliab. Eng. Int.}, volume = {35}, number = {5}, pages = {1363--1378}, year = {2019}, url = {https://doi.org/10.1002/qre.2520}, doi = {10.1002/QRE.2520}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qre/WilsonSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DewitteCC19, author = {Steven Dewitte and Nicolas Clerbaux and Jan Cornelis}, title = {Decadal Changes of the Reflected Solar Radiation and the Earth Energy Imbalance}, journal = {Remote. Sens.}, volume = {11}, number = {6}, pages = {663}, year = {2019}, url = {https://doi.org/10.3390/rs11060663}, doi = {10.3390/RS11060663}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DewitteCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HerrmannVTC19, author = {Ittai Herrmann and Steven K. Vosberg and Philip A. Townsend and Shawn P. Conley}, title = {Spectral Data Collection by Dual Field-of-View System under Changing Atmospheric Conditions - {A} Case Study of Estimating Early Season Soybean Populations}, journal = {Sensors}, volume = {19}, number = {3}, pages = {457}, year = {2019}, url = {https://doi.org/10.3390/s19030457}, doi = {10.3390/S19030457}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HerrmannVTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SathyendranathB19, author = {Shubha Sathyendranath and Robert J. W. Brewin and Carsten Brockmann and Vanda Brotas and Ben Calton and Andrei Chuprin and Paolo Cipollini and Andr{\'{e}} B. Couto and James Dingle and Roland Doerffer and Craig Donlon and Mark Dowell and Alex Farman and Mike Grant and Steve B. Groom and Andrew Horseman and Thomas Jackson and Hajo Krasemann and Samantha J. Lavender and Victor Martinez{-}Vicente and Constant Mazeran and Fr{\'{e}}d{\'{e}}ric M{\'{e}}lin and Timothy Moore and Dagmar M{\"{u}}ller and Peter Regner and Shovonlal Roy and Chris Steele and Fran{\c{c}}ois Steinmetz and John Swinton and Malcolm Taberner and Adam Thompson and Andr{\'{e}} Valente and Marco Z{\"{u}}hlke and Vittorio Ernesto Brando and Hui Feng and Gene C. Feldman and Bryan A. Franz and Robert Frouin and Richard W. Gould Jr. and Stanford B. Hooker and Mati Kahru and Susanne Kratzer and B. Mitchell and Frank E. M{\"{u}}ller{-}Karger and Heidi M. Sosik and Kenneth Voss and Jeremy Werdell and Trevor Platt}, title = {An Ocean-Colour Time Series for Use in Climate Studies: The Experience of the Ocean-Colour Climate Change Initiative {(OC-CCI)}}, journal = {Sensors}, volume = {19}, number = {19}, pages = {4285}, year = {2019}, url = {https://doi.org/10.3390/s19194285}, doi = {10.3390/S19194285}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SathyendranathB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangKE19, author = {Brian Y. Chang and Steven P. Keller and Elazer R. Edelman}, title = {Leveraging Device-Arterial Coupling to Determine Cardiac and Vascular State}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {10}, pages = {2800--2808}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2895752}, doi = {10.1109/TBME.2019.2895752}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/ChangKE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/ZhuJBKSH19, author = {Junxi Zhu and Xin Jin and Ramin Bighamian and Chang{-}Sei Kim and Steven T. Shipley and Jin{-}Oh Hahn}, title = {Semiadaptive Infusion Control of Medications With Excitatory Dose-Dependent Effects}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {27}, number = {4}, pages = {1735--1743}, year = {2019}, url = {https://doi.org/10.1109/TCST.2018.2815551}, doi = {10.1109/TCST.2018.2815551}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/ZhuJBKSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/ParkCP19, author = {Byungho Park and Hyeseung Chang and Sungjun (Steven) Park}, title = {Adoption of digital devices for children education: Korean case}, journal = {Telematics Informatics}, volume = {38}, pages = {247--256}, year = {2019}, url = {https://doi.org/10.1016/j.tele.2018.11.002}, doi = {10.1016/J.TELE.2018.11.002}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/ParkCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/WangLLZM19, author = {Zhaojian Wang and Feng Liu and Steven H. Low and Changhong Zhao and Shengwei Mei}, title = {Distributed Frequency Control With Operational Constraints, Part {I:} Per-Node Power Balance}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {1}, pages = {40--52}, year = {2019}, url = {https://doi.org/10.1109/TSG.2017.2731810}, doi = {10.1109/TSG.2017.2731810}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/WangLLZM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/WangLLZM19a, author = {Zhaojian Wang and Feng Liu and Steven H. Low and Changhong Zhao and Shengwei Mei}, title = {Distributed Frequency Control With Operational Constraints, Part {II:} Network Power Balance}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {1}, pages = {53--64}, year = {2019}, url = {https://doi.org/10.1109/TSG.2017.2731811}, doi = {10.1109/TSG.2017.2731811}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/WangLLZM19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ChangDFIFQSWW19, author = {Remco Chang and Tim Dwyer and Issei Fujishiro and Petra Isenberg and Steven Franconeri and Huamin Qu and Tobias Schreck and Daniel Weiskopf and Gunther H. Weber}, title = {Preface}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {25}, number = {1}, pages = {xii--xvii}, year = {2019}, url = {https://doi.org/10.1109/TVCG.2018.2874733}, doi = {10.1109/TVCG.2018.2874733}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/ChangDFIFQSWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/YangHRFC19, author = {Fumeng Yang and Lane T. Harrison and Ronald A. Rensink and Steven L. Franconeri and Remco Chang}, title = {Correlation Judgment and Visualization Features: {A} Comparative Study}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {25}, number = {3}, pages = {1474--1488}, year = {2019}, url = {https://doi.org/10.1109/TVCG.2018.2810918}, doi = {10.1109/TVCG.2018.2810918}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/YangHRFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangH19, author = {Chen Zhang and Steven C. H. Hoi}, title = {Partially Observable Multi-Sensor Sequential Change Detection: {A} Combinatorial Multi-Armed Bandit Approach}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {5733--5740}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33015733}, doi = {10.1609/AAAI.V33I01.33015733}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZhaoHMC19, author = {Junfeng Zhao and Yiran Hu and Steven E. Muldoon and Chen{-}Fang Chang}, title = {"InfoRich" Eco-Driving Control Strategy for Connected and Automated Vehicles}, booktitle = {2019 American Control Conference, {ACC} 2019, Philadelphia, PA, USA, July 10-12, 2019}, pages = {4621--4627}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ACC.2019.8814727}, doi = {10.23919/ACC.2019.8814727}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ZhaoHMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeLSWA19, author = {Chang Hee Lee and Dan Lockton and John Stevens and Stephen Jia Wang and SungHee Ahn}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {Synaesthetic-Translation Tool: Synaesthesia as an Interactive Material for Ideation}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3312849}, doi = {10.1145/3290607.3312849}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeLSWA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/ChenTCCSZ19, author = {Muhao Chen and Yingtao Tian and Haochen Chen and Kai{-}Wei Chang and Steven Skiena and Carlo Zaniolo}, editor = {Mohit Bansal and Aline Villavicencio}, title = {Learning to Represent Bilingual Dictionaries}, booktitle = {Proceedings of the 23rd Conference on Computational Natural Language Learning, CoNLL 2019, Hong Kong, China, November 3-4, 2019}, pages = {152--162}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/K19-1015}, doi = {10.18653/V1/K19-1015}, timestamp = {Thu, 05 Aug 2021 17:36:17 +0200}, biburl = {https://dblp.org/rec/conf/conll/ChenTCCSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/FrezzaTR19, author = {Stephen T. Frezza and Mei{-}Huei Tang and Steven Rowland}, title = {Understanding the Cost of Change: Measuring Sustainability of Computing Education}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2019, Cincinnati, OH, USA, October 16-19, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FIE43999.2019.9028704}, doi = {10.1109/FIE43999.2019.9028704}, timestamp = {Thu, 25 Feb 2021 10:40:41 +0100}, biburl = {https://dblp.org/rec/conf/fie/FrezzaTR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/XuHWG19, author = {Chang Xu and Steven Conrad Hauser and Yuxiang Wang and Gregory J. Gerling}, title = {Roles of Force Cues and Proprioceptive Joint Angles in Active Exploration of Compliant Objects}, booktitle = {2019 {IEEE} World Haptics Conference, {WHC} 2019, Tokyo, Japan, July 9-12, 2019}, pages = {353--358}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WHC.2019.8816159}, doi = {10.1109/WHC.2019.8816159}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haptics/XuHWG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/GrossMM19, author = {Steven Gro{\ss} and Monika Malinova and Jan Mendling}, editor = {Tung Bui}, title = {Navigating Through the Maze of Business Process Change Methods}, booktitle = {52nd Hawaii International Conference on System Sciences, {HICSS} 2019, Grand Wailea, Maui, Hawaii, USA, January 8-11, 2019}, pages = {1--10}, publisher = {ScholarSpace}, year = {2019}, url = {https://hdl.handle.net/10125/60061}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/GrossMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/KuCWCH19, author = {Chih Hao Ku and Yung{-}Chun Chang and Yichuan Wang and Chien{-}Hung Chen and Shih{-}Hui Hsiao}, editor = {Tung Bui}, title = {Artificial Intelligence and Visual Analytics: {A} Deep-Learning Approach to Analyze Hotel Reviews {\&} Responses}, booktitle = {52nd Hawaii International Conference on System Sciences, {HICSS} 2019, Grand Wailea, Maui, Hawaii, USA, January 8-11, 2019}, pages = {1--10}, publisher = {ScholarSpace}, year = {2019}, url = {https://hdl.handle.net/10125/59963}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/KuCWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ChangMQES19, author = {Zhuoqing Chang and J. Mat{\'{\i}}as Di Martino and Qiang Qiu and Steven Espinosa and Guillermo Sapiro}, title = {SalGaze: Personalizing Gaze Estimation using Visual Saliency}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {1169--1178}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00148}, doi = {10.1109/ICCVW.2019.00148}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/ChangMQES19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KiPDKZ19, author = {Taeyeon Ki and Chang Min Park and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Joanne M. Atlee and Tevfik Bultan and Jon Whittle}, title = {Mimic: {UI} compatibility testing system for Android apps}, booktitle = {Proceedings of the 41st International Conference on Software Engineering, {ICSE} 2019, Montreal, QC, Canada, May 25-31, 2019}, pages = {246--256}, publisher = {{IEEE} / {ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICSE.2019.00040}, doi = {10.1109/ICSE.2019.00040}, timestamp = {Tue, 24 May 2022 15:28:49 +0200}, biburl = {https://dblp.org/rec/conf/icse/KiPDKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiauKLISVA0YANK19, author = {Chang Hua Siau and Kwang{-}Ho Kim and Seungpil Lee and Katsuaki Isobe and Noboru Shibata and Kapil Verma and Takuya Ariki and Jason Li and Jong Yuh and Anirudh Amarnath and Qui Nguyen and Ohwon Kwon and Stanley Jeong and Heguang Li and Hua{-}Ling Hsu and Taiyuan Tseng and Steve Choi and Siddhesh Darne and Pradeep Anantula and Alex Yap and Hardwell Chibvongodze and Hitoshi Miwa and Minoru Yamashita and Mitsuyuki Watanabe and Koichiro Hayashi and Yosuke Kato and Toru Miwa and Jang Yong Kang and Masatoshi Okumura and Naoki Ookuma and Muralikrishna Balaga and Venky Ramachandra and Aki Matsuda and Swaroop Kulkarni and Raghavendra Rachineni and Pai K. Manjunath and Masahito Takehara and Anil Pai and Srinivas Rajendra and Toshiki Hisada and Ryo Fukuda and Naoya Tokiwa and Kazuaki Kawaguchi and Masashi Yamaoka and Hiromitsu Komai and Takatoshi Minamoto and Masaki Unno and Susumu Ozawa and Hiroshi Nakamura and Tomoo Hishida and Yasuyuki Kajitani and Lei Lin}, title = {A 512Gb 3-bit/Cell 3D Flash Memory on 128-Wordline-Layer with 132MB/s Write Performance Featuring Circuit-Under-Array Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {218--220}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662445}, doi = {10.1109/ISSCC.2019.8662445}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiauKLISVA0YANK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/ChangMB19, author = {Yuan Chang and Steven Le Moan and Donald G. Bailey}, title = {{RGB} Imaging Based Estimation of Leaf Chlorophyll Content}, booktitle = {2019 International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2019, Dunedin, New Zealand, December 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IVCNZ48456.2019.8961030}, doi = {10.1109/IVCNZ48456.2019.8961030}, timestamp = {Wed, 05 Feb 2020 17:19:08 +0100}, biburl = {https://dblp.org/rec/conf/ivcnz/ChangMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KimKRGSWDYALX19, author = {Daeseung Kim and Tianshu Kuang and Yriu L. Rodrigues and Jaime Gateno and Steve Guo{-}Fang Shen and Xudong Wang and Han Deng and Peng Yuan and David M. Alfi and Michael A. K. Liebschner and James J. Xia}, editor = {Dinggang Shen and Tianming Liu and Terry M. Peters and Lawrence H. Staib and Caroline Essert and Sean Zhou and Pew{-}Thian Yap and Ali R. Khan}, title = {A New Approach of Predicting Facial Changes Following Orthognathic Surgery Using Realistic Lip Sliding Effect}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11768}, pages = {336--344}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32254-0\_38}, doi = {10.1007/978-3-030-32254-0\_38}, timestamp = {Mon, 19 Feb 2024 14:24:13 +0100}, biburl = {https://dblp.org/rec/conf/miccai/KimKRGSWDYALX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/VishwanathanPMD19, author = {Harishankar Vishwanathan and Chang Min Park and Sidharth Kumar Mishra and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Partitioning Garbage Collection Between the Secure and Normal Worlds for Trusted Applications}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {610--611}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328650}, doi = {10.1145/3307334.3328650}, timestamp = {Mon, 17 Jun 2019 08:39:32 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/VishwanathanPMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HsiehCCCC19, author = {E. R. Hsieh and C. W. Chang and C. C. Chuang and H. W. Chen and Steve S. Chung}, title = {The Demonstration of Gate Dielectric-fuse 4kb {OTP} Memory Feasible for Embedded Applications in High-k Metal-gate {CMOS} Generations and Beyond}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {208}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778094}, doi = {10.23919/VLSIC.2019.8778094}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HsiehCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/RodgersFCHSMCS19, author = {Morgan E. Rodgers and Eric Franz and Alan Chalker and David E. Hudak and Christopher Stewart and Raghu Machiraju and Steve Chang and Cathie Smith}, editor = {Thomas R. Furlani}, title = {Data Commons to Support University-Wide Cross Discipline Research}, booktitle = {Proceedings of the Practice and Experience in Advanced Research Computing on Rise of the Machines (learning), {PEARC} 2019, Chicago, IL, USA, July 28 - August 01, 2019}, pages = {99:1--99:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3332186.3335198}, doi = {10.1145/3332186.3335198}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xsede/RodgersFCHSMCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-04976, author = {Kan Wang and Changxing Ding and Stephen J. Maybank and Dacheng Tao}, title = {{CDPM:} Convolutional Deformable Part Models for Person Re-identification}, journal = {CoRR}, volume = {abs/1906.04976}, year = {2019}, url = {http://arxiv.org/abs/1906.04976}, eprinttype = {arXiv}, eprint = {1906.04976}, timestamp = {Fri, 14 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-04976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-04147, author = {Steven Le Moan and Marius Pedersen}, title = {A Three-Feature Model to Predict Colour Change Blindness}, journal = {CoRR}, volume = {abs/1909.04147}, year = {2019}, url = {http://arxiv.org/abs/1909.04147}, eprinttype = {arXiv}, eprint = {1909.04147}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-04147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-10603, author = {Zhuoqing Chang and J. Mat{\'{\i}}as Di Martino and Qiang Qiu and Steven Espinosa and Guillermo Sapiro}, title = {SalGaze: Personalizing Gaze Estimation Using Visual Saliency}, journal = {CoRR}, volume = {abs/1910.10603}, year = {2019}, url = {http://arxiv.org/abs/1910.10603}, eprinttype = {arXiv}, eprint = {1910.10603}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-10603.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/WardlawSHMSBM18, author = {Jessica Wardlaw and James Sprinks and Robert J. Houghton and Jan{-}Peter Muller and Panagiotis Sidiropoulos and Steven Bamford and Stuart H. Marsh}, title = {Comparing experts and novices in Martian surface feature change detection and identification}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {64}, pages = {354--364}, year = {2018}, url = {https://doi.org/10.1016/j.jag.2017.05.014}, doi = {10.1016/J.JAG.2017.05.014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/WardlawSHMSBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/MillsBRSLCSZL18, author = {Alexis K. Mills and John P. Bolte and Peter Ruggiero and Katherine A. Serafin and Eva Lipiec and Patrick Corcoran and John Stevenson and Chad Zanocco and Denise H. Lach}, title = {Exploring the impacts of climate and policy changes on coastal community resilience: Simulating alternative future scenarios}, journal = {Environ. Model. Softw.}, volume = {109}, pages = {80--92}, year = {2018}, url = {https://doi.org/10.1016/j.envsoft.2018.07.022}, doi = {10.1016/J.ENVSOFT.2018.07.022}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/MillsBRSLCSZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/WeaverCTP18, author = {Miles W. Weaver and Kenny Crossan and Hock B. Tan and Steven E. Paxton}, title = {A systems approach to understanding the perspectives in the changing landscape of responsible business in Scotland}, journal = {Eur. J. Oper. Res.}, volume = {268}, number = {3}, pages = {1149--1167}, year = {2018}, url = {https://doi.org/10.1016/j.ejor.2017.11.050}, doi = {10.1016/J.EJOR.2017.11.050}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/WeaverCTP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/AjienkaCC18, author = {Nemitari Ajienka and Andrea Capiluppi and Steve Counsell}, title = {An empirical study on the interplay between semantic coupling and co-change of software classes}, journal = {Empir. Softw. Eng.}, volume = {23}, number = {3}, pages = {1791--1825}, year = {2018}, url = {https://doi.org/10.1007/s10664-017-9569-2}, doi = {10.1007/S10664-017-9569-2}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ese/AjienkaCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/ZhuH18, author = {He Zhu and Changcheng Huang}, title = {EdgePlace: Availability-aware placement for chained mobile edge applications}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {29}, number = {11}, year = {2018}, url = {https://doi.org/10.1002/ett.3504}, doi = {10.1002/ETT.3504}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/ZhuH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/SunCGRLL18, author = {Gang Sun and Victor I. Chang and Steven Guan and Muthu Ramachandran and Jin Li and Dan Liao}, title = {Big Data and Internet of Things - Fusion for different services and its impacts}, journal = {Future Gener. Comput. Syst.}, volume = {86}, pages = {1368--1370}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.05.022}, doi = {10.1016/J.FUTURE.2018.05.022}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/SunCGRLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbpim/AlrabiahD18, author = {Abdulrahman Alrabiah and Steve Drew}, title = {Deriving organisational business process change factors using the hierarchical elicitation workshop}, journal = {Int. J. Bus. Process. Integr. Manag.}, volume = {9}, number = {1}, pages = {1--11}, year = {2018}, url = {https://doi.org/10.1504/IJBPIM.2018.10013982}, doi = {10.1504/IJBPIM.2018.10013982}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbpim/AlrabiahD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ZhangOCKH18, author = {Yanxia Zhang and Jeffrey Olenick and Chu{-}Hsiang Chang and Steve W. J. Kozlowski and Hayley Hung}, title = {TeamSense: Assessing Personal Affect and Group Cohesion in Small Teams through Dyadic Interaction and Behavior Analysis with Wearable Sensors}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {2}, number = {3}, pages = {150:1--150:22}, year = {2018}, url = {https://doi.org/10.1145/3264960}, doi = {10.1145/3264960}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imwut/ZhangOCKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsit/AlrabiahD18, author = {Abdulrahman Alrabiah and Steve Drew}, title = {Formulating optimal business process change decisions using a computational hierarchical change management structure framework: {A} case study}, journal = {J. Syst. Inf. Technol.}, volume = {20}, number = {2}, pages = {207--240}, year = {2018}, url = {https://doi.org/10.1108/JSIT-08-2017-0069}, doi = {10.1108/JSIT-08-2017-0069}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsit/AlrabiahD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/MoanFB18, author = {Steven Le Moan and Ivar Farup and Jana Blahov{\'{a}}}, title = {Towards exploiting change blindness for image processing}, journal = {J. Vis. Commun. Image Represent.}, volume = {54}, pages = {31--38}, year = {2018}, url = {https://doi.org/10.1016/j.jvcir.2018.04.008}, doi = {10.1016/J.JVCIR.2018.04.008}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/MoanFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DewitteC18, author = {Steven Dewitte and Nicolas Clerbaux}, title = {Decadal Changes of Earth's Outgoing Longwave Radiation}, journal = {Remote. Sens.}, volume = {10}, number = {10}, pages = {1539}, year = {2018}, url = {https://doi.org/10.3390/rs10101539}, doi = {10.3390/RS10101539}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DewitteC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HerrmannVRSCCCT18, author = {Ittai Herrmann and Steven K. Vosberg and Prabu Ravindran and Aditya Singh and Hao{-}Xun Chang and Martin I. Chilvers and Shawn P. Conley and Philip A. Townsend}, title = {Leaf and Canopy Level Detection of Fusarium Virguliforme (Sudden Death Syndrome) in Soybean}, journal = {Remote. Sens.}, volume = {10}, number = {3}, pages = {426}, year = {2018}, url = {https://doi.org/10.3390/rs10030426}, doi = {10.3390/RS10030426}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HerrmannVRSCCCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/SonZ18, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Near-Infrared Fusion via Color Regularization for Haze and Color Distortion Removals}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {28}, number = {11}, pages = {3111--3126}, year = {2018}, url = {https://doi.org/10.1109/TCSVT.2017.2748150}, doi = {10.1109/TCSVT.2017.2748150}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/SonZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/technometrics/ChangRW18, author = {Le Chang and Steven Roberts and Alan Welsh}, title = {Robust Lasso Regression Using Tukey's Biweight Criterion}, journal = {Technometrics}, volume = {60}, number = {1}, pages = {36--47}, year = {2018}, url = {https://doi.org/10.1080/00401706.2017.1305299}, doi = {10.1080/00401706.2017.1305299}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/technometrics/ChangRW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/QuerNCNVTS18, author = {Giorgio Quer and Nima Nikzad and Angela Chieh and Alexis Normand and Matthieu Vegreville and Eric J. Topol and Steven R. Steinhubl}, title = {Home Monitoring of Blood Pressure: Short-Term Changes During Serial Measurements for 56398 Subjects}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {5}, pages = {1691--1698}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2776946}, doi = {10.1109/JBHI.2017.2776946}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/QuerNCNVTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WangLRSLL18, author = {Changhong Wang and Wei Lu and Stephen J. Redmond and Michael C. Stevens and Stephen R. Lord and Nigel H. Lovell}, title = {A Low-Power Fall Detector Balancing Sensitivity and False Alarm Rate}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {6}, pages = {1929--1937}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2778271}, doi = {10.1109/JBHI.2017.2778271}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/WangLRSLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topics/HarringtonKRSS18, author = {Jonathan Harrington and Felicitas Kleber and Ulrich Reubold and Florian Schiel and Mary Stevens}, title = {Linking Cognitive and Social Aspects of Sound Change Using Agent-Based Modeling}, journal = {Top. Cogn. Sci.}, volume = {10}, number = {4}, pages = {707--728}, year = {2018}, url = {https://doi.org/10.1111/tops.12329}, doi = {10.1111/TOPS.12329}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/topics/HarringtonKRSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhangZTL18, author = {Ying Jun (Angela) Zhang and Changhong Zhao and Wanrong Tang and Steven H. Low}, title = {Profit-Maximizing Planning and Control of Battery Energy Storage Systems for Primary Frequency Control}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {2}, pages = {712--723}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2562672}, doi = {10.1109/TSG.2016.2562672}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ZhangZTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/AlexanderCSFCG18, author = {Eric Carlson Alexander and Chih{-}Ching Chang and Mariana Shimabukuro and Steven Franconeri and Christopher Collins and Michael Gleicher}, title = {Perceptual Biases in Font Size as a Data Encoding}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {24}, number = {8}, pages = {2397--2410}, year = {2018}, url = {https://doi.org/10.1109/TVCG.2017.2723397}, doi = {10.1109/TVCG.2017.2723397}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/AlexanderCSFCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ZhuH18, author = {He Zhu and Changcheng Huang}, title = {IoT-B{\&}B: Edge-Based {NFV} for IoT Devices with {CPE} Crowdsourcing}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, year = {2018}, url = {https://doi.org/10.1155/2018/3027269}, doi = {10.1155/2018/3027269}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ZhuH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZhangFTSL18, author = {Qingyu Zhang and Dimitar P. Filev and H. Eric Tseng and Steven Szwabowski and Reza Langari}, title = {Addressing Mandatory Lane Change Problem with Game Theoretic Model Predictive Control and Fuzzy Markov Chain}, booktitle = {2018 Annual American Control Conference, {ACC} 2018, Milwaukee, WI, USA, June 27-29, 2018}, pages = {4764--4771}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ACC.2018.8431530}, doi = {10.23919/ACC.2018.8431530}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ZhangFTSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/BaileyHRLCMWMIW18, author = {Stevo Bailey and Jaeduk Han and Paul Rigge and Richard Lin and Eric Chang and Howard Mao and Zhongkai Wang and Chick Markley and Adam M. Izraelevitz and Angie Wang and Nathan Narevsky and Woo{-}Rham Bae and Steve Shauck and Sergio Montano and Justin Norsworthy and Munir Razzaque and Wen Hau Ma and Akalu Lentiro and Matthew Doerflein and Darin Heckendorn and Jim McGrath and Franco DeSeta and Ronen Shoham and Mike Stellfox and Mark Snowden and Joseph Cole and Dan Fuhrman and Brian C. Richards and Jonathan Bachrach and Elad Alon and Borivoje Nikolic}, title = {A Generated Multirate Signal Analysis {RISC-V} SoC in 16nm FinFET}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {285--288}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579326}, doi = {10.1109/ASSCC.2018.8579326}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/BaileyHRLCMWMIW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/GuoZL18, author = {Linqi Guo and Changhong Zhao and Steven H. Low}, title = {Graph Laplacian Spectrum and Primary Frequency Regulation}, booktitle = {57th {IEEE} Conference on Decision and Control, {CDC} 2018, Miami, FL, USA, December 17-19, 2018}, pages = {158--165}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CDC.2018.8619252}, doi = {10.1109/CDC.2018.8619252}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/GuoZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/LipsonMPCJGMRSS18, author = {Michal Lipson and Steven A. Miller and Christopher T. Phare and You{-}Chia Chang and Xingchen Ji and Oscar A. Jimenez Gordillo and Aseema Mohanty and Samantha P. Roberts and Min Chul Shin and Brian Stern and Moshe Zadka}, title = {Silicon Photonics Integration for Future Generation Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535125}, doi = {10.1109/ECOC.2018.8535125}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/LipsonMPCJGMRSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/FrevertRDLMCMR18, author = {Tonya K. Frevert and Audrey Rorrer and Daniel J. Davis and Celine Latulipe and Mary Lou Maher and Bojan Cukic and Lawrence Mays and Steven Rogelberg}, title = {Sustainable Educational Innovation Through Engaged Pedagogy and Organizational Change}, booktitle = {{IEEE} Frontiers in Education Conference, {FIE} 2018, San Jose, CA, USA, October 3-6, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FIE.2018.8658491}, doi = {10.1109/FIE.2018.8658491}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fie/FrevertRDLMCMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/JamalGW18, author = {Al{-}Shahna Jamal and Jeffrey Goeders and Steven J. E. Wilton}, title = {An {FPGA} Overlay Architecture Supporting Rapid Implementation of Functional Changes during On-Chip Debug}, booktitle = {28th International Conference on Field Programmable Logic and Applications, {FPL} 2018, Dublin, Ireland, August 27-31, 2018}, pages = {403--410}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/FPL.2018.00076}, doi = {10.1109/FPL.2018.00076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/JamalGW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaileyCM18, author = {Donald G. Bailey and Yuan Chang and Steven Le Moan}, title = {Lens Distortion Self-Calibration Using the Hough Transform}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {385--388}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00080}, doi = {10.1109/FPT.2018.00080}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaileyCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gamesem/MannHTHAK18, author = {Steve Mann and Max Lv Hao and Ming{-}Chang Tsai and Maziar Hafezi and Amin Azad and Farhad Keramatimoezabad}, title = {Effectiveness of Integral Kinesiology Feedback for Fitness-Based Games}, booktitle = {{IEEE} Games, Entertainment, Media Conference, {GEM} 2018, Galway, Ireland, August 15-17, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GEM.2018.8516533}, doi = {10.1109/GEM.2018.8516533}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/gamesem/MannHTHAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/LeeCJLLLL18, author = {Zachary J. Lee and Daniel Chang and Cheng Jin and George S. Lee and Rand Lee and Ted Lee and Steven H. Low}, title = {Large-Scale Adaptive Electric Vehicle Charging}, booktitle = {2018 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2018, Anaheim, CA, USA, November 26-29, 2018}, pages = {863--864}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GlobalSIP.2018.8646472}, doi = {10.1109/GLOBALSIP.2018.8646472}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globalsip/LeeCJLLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmi/DudzikBNOCKH18, author = {Bernd Dudzik and Joost Broekens and Mark A. Neerincx and Jeffrey Olenick and Chu{-}Hsiang Chang and Steve W. J. Kozlowski and Hayley Hung}, title = {Discovering digital representations for remembered episodes from lifelog data}, booktitle = {Proceedings of the Workshop on Modeling Cognitive Processes from Multimodal Data, MCPMD@ICMI 2018, Boulder, CO, USA, October 16, 2018}, pages = {13}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3279810.3279850}, doi = {10.1145/3279810.3279850}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmi/DudzikBNOCKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/AjienkaCC18, author = {Nemitari Ajienka and Andrea Capiluppi and Steve Counsell}, editor = {Michel Chaudron and Ivica Crnkovic and Marsha Chechik and Mark Harman}, title = {An empirical study on the interplay between semantic coupling and co-change of software classes}, booktitle = {Proceedings of the 40th International Conference on Software Engineering, {ICSE} 2018, Gothenburg, Sweden, May 27 - June 03, 2018}, pages = {432}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3180155.3190833}, doi = {10.1145/3180155.3190833}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/AjienkaCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenTCSZ18, author = {Muhao Chen and Yingtao Tian and Kai{-}Wei Chang and Steven Skiena and Carlo Zaniolo}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Co-training Embeddings of Knowledge Graphs and Entity Descriptions for Cross-lingual Entity Alignment}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {3998--4004}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/556}, doi = {10.24963/IJCAI.2018/556}, timestamp = {Tue, 20 Aug 2019 16:19:08 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChenTCSZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ZhangOCKH18, author = {Yanxia Zhang and Jeffrey Olenick and Chu{-}Hsiang Chang and Steve W. J. Kozlowski and Hayley Hung}, editor = {Shlomo Berkovsky and Yoshinori Hijikata and Jun Rekimoto and Margaret M. Burnett and Mark Billinghurst and Aaron Quigley}, title = {The {I} in Team: Mining Personal Social Interaction Routine with Topic Models from Long-Term Team Data}, booktitle = {Proceedings of the 23rd International Conference on Intelligent User Interfaces, {IUI} 2018, Tokyo, Japan, March 07-11, 2018}, pages = {421--426}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3172944.3172997}, doi = {10.1145/3172944.3172997}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iui/ZhangOCKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/LeeC18, author = {Steven W. K. Lee and Rocky K. C. Chang}, editor = {Pablo C{\'{e}}sar and Michael Zink and Niall Murray}, title = {Enhancing the experience of multiplayer shooter games via advanced lag compensation}, booktitle = {Proceedings of the 9th {ACM} Multimedia Systems Conference, MMSys 2018, Amsterdam, The Netherlands, June 12-15, 2018}, pages = {284--293}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3204949.3204971}, doi = {10.1145/3204949.3204971}, timestamp = {Wed, 21 Nov 2018 12:44:02 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/LeeC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/ZhuHZ18, author = {He Zhu and Changcheng Huang and Jiayu Zhou}, title = {EdgeChain: Blockchain-based Multi-vendor Mobile Edge Application Placement}, booktitle = {4th {IEEE} Conference on Network Softwarization and Workshops, NetSoft 2018, Montreal, QC, Canada, June 25-29, 2018}, pages = {222--226}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NETSOFT.2018.8460035}, doi = {10.1109/NETSOFT.2018.8460035}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netsoft/ZhuHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YuWZZDLCXCSCSX18, author = {Jianjun Yu and Kaihui Wang and Junwen Zhang and Benyuan Zhu and Steve Dzioba and Xinying Li and Hung{-}Chang Chien and Xin Xiao and Yi Cai and Jianyang Shi and Yuefei Chen and Shiping Shi and Yan Xia}, title = {8{\texttimes}506-Gb/s 16QAM {WDM} Signal Coherent Transmission over 6000-km Enabled by {PS} and {HB-CDM}}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385719}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YuWZZDLCXCSCSX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/DettoriGMRWY18, author = {Lucia Dettori and Ronald I. Greenberg and Steven McGee and Dale Reed and Brenda Wilkerson and Don Yanek}, editor = {Tiffany Barnes and Daniel D. Garcia and Elizabeth K. Hawthorne and Manuel A. P{\'{e}}rez{-}Qui{\~{n}}ones}, title = {{CS} as a Graduation Requirement: Catalyst for Systemic Change}, booktitle = {Proceedings of the 49th {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2018, Baltimore, MD, USA, February 21-24, 2018}, pages = {406--407}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3159450.3159646}, doi = {10.1145/3159450.3159646}, timestamp = {Tue, 06 Nov 2018 11:07:13 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/DettoriGMRWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/LeeCJLLLL18, author = {Zachary J. Lee and Daniel Chang and Cheng Jin and George S. Lee and Rand Lee and Ted Lee and Steven H. Low}, title = {Large-Scale Adaptive Electric Vehicle Charging}, booktitle = {2018 {IEEE} International Conference on Communications, Control, and Computing Technologies for Smart Grids, SmartGridComm 2018, Aalborg, Denmark, October 29-31, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SmartGridComm.2018.8587550}, doi = {10.1109/SMARTGRIDCOMM.2018.8587550}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartgridcomm/LeeCJLLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socinfo/LiMW18, author = {Yaoyiran Li and Rada Mihalcea and Steven R. Wilson}, editor = {Steffen Staab and Olessia Koltsova and Dmitry I. Ignatov}, title = {Text-Based Detection and Understanding of Changes in Mental Health}, booktitle = {Social Informatics - 10th International Conference, SocInfo 2018, St. Petersburg, Russia, September 25-28, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11186}, pages = {176--188}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01159-8\_17}, doi = {10.1007/978-3-030-01159-8\_17}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/socinfo/LiMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LinHTZOMT18, author = {Shih{-}Chieh Lin and Chang{-}Hong Hsu and Walter Talamonti and Yunqi Zhang and Steve Oney and Jason Mars and Lingjia Tang}, editor = {Patrick Baudisch and Albrecht Schmidt and Andy Wilson}, title = {Adasa: {A} Conversational In-Vehicle Digital Assistant for Advanced Driver Assistance Features}, booktitle = {The 31st Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2018, Berlin, Germany, October 14-17, 2018}, pages = {531--542}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3242587.3242593}, doi = {10.1145/3242587.3242593}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/LinHTZOMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/snam/LiHZCH18, author = {Guangxia Li and Zhen Hai and Peilin Zhao and Kuiyu Chang and Steven C. H. Hoi}, editor = {Reda Alhajj and Jon G. Rokne}, title = {Twitter Microblog Sentiment Analysis}, booktitle = {Encyclopedia of Social Network Analysis and Mining, 2nd Edition}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-1-4939-7131-2\_265}, doi = {10.1007/978-1-4939-7131-2\_265}, timestamp = {Wed, 04 May 2022 13:01:02 +0200}, biburl = {https://dblp.org/rec/reference/snam/LiHZCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tac/ZhangSSHLPLZWWJ18, author = {Tongtao Zhang and Ananya Subburathinam and Ge Shi and Lifu Huang and Di Lu and Xiaoman Pan and Manling Li and Boliang Zhang and Qingyun Wang and Spencer Whitehead and Heng Ji and Alireza Zareian and Hassan Akbari and Brian Chen and Ruiqi Zhong and Steven Shao and Emily Allaway and Shih{-}Fu Chang and Kathleen R. McKeown and Dongyu Li and Xin Huang and Kexuan Sun and Xujun Peng and Ryan Gabbard and Marjorie Freedman and Mayank Kejriwal and Ram Nevatia and Pedro A. Szekely and T. K. Satish Kumar and Ali Sadeghian and Giacomo Bergami and Sourav Dutta and Miguel E. Rodr{\'{\i}}guez and Daisy Zhe Wang}, title = {{GAIA} - {A} Multi-media Multi-lingual Knowledge Extraction and Hypothesis Generation System}, booktitle = {Proceedings of the 2018 Text Analysis Conference, {TAC} 2018, Gaithersburg, Maryland, USA, November 13-14, 2018}, publisher = {{NIST}}, year = {2018}, url = {https://tac.nist.gov/publications/2018/participant.papers/TAC2018.GAIA.proceedings.pdf}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tac/ZhangSSHLPLZWWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-04035, author = {He Zhu and Changcheng Huang and Jiayu Zhou}, title = {EdgeChain: Blockchain-based Multi-vendor Mobile Edge Application Placement}, journal = {CoRR}, volume = {abs/1801.04035}, year = {2018}, url = {http://arxiv.org/abs/1801.04035}, eprinttype = {arXiv}, eprint = {1801.04035}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-04035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-03905, author = {Linqi Guo and Changhong Zhao and Steven H. Low}, title = {Graph Laplacian Spectrum and Primary Frequency Regulation}, journal = {CoRR}, volume = {abs/1803.03905}, year = {2018}, url = {http://arxiv.org/abs/1803.03905}, eprinttype = {arXiv}, eprint = {1803.03905}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-03905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-06478, author = {Muhao Chen and Yingtao Tian and Kai{-}Wei Chang and Steven Skiena and Carlo Zaniolo}, title = {Co-training Embeddings of Knowledge Graphs and Entity Descriptions for Cross-lingual Entity Alignment}, journal = {CoRR}, volume = {abs/1806.06478}, year = {2018}, url = {http://arxiv.org/abs/1806.06478}, eprinttype = {arXiv}, eprint = {1806.06478}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-06478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-03726, author = {Muhao Chen and Yingtao Tian and Haochen Chen and Kai{-}Wei Chang and Steven Skiena and Carlo Zaniolo}, title = {Learning to Represent Bilingual Dictionaries}, journal = {CoRR}, volume = {abs/1808.03726}, year = {2018}, url = {http://arxiv.org/abs/1808.03726}, eprinttype = {arXiv}, eprint = {1808.03726}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-03726.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-04106, author = {Christoph Trattner and Vanessa Murdock and Steven Chang}, title = {{ACM} RecSys 2018 Late-Breaking Results Proceedings}, journal = {CoRR}, volume = {abs/1809.04106}, year = {2018}, url = {http://arxiv.org/abs/1809.04106}, eprinttype = {arXiv}, eprint = {1809.04106}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-04106.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/MitrasinovicBSCA18, author = {Stefan Mitrasinovic and Alexander P. Y. Brown and Andreas T. Schaefer and Steven D. Chang and Geoff Appelboom}, title = {Silicon Valley new focus on brain computer interface: hype or hope for new applications?}, journal = {F1000Research}, volume = {7}, pages = {1327}, year = {2018}, url = {https://doi.org/10.12688/f1000research.15726.1}, doi = {10.12688/F1000RESEARCH.15726.1}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/MitrasinovicBSCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/McGinnisCDVMP17, author = {Ryan S. McGinnis and Stephen M. Cain and Steven P. Davidson and Rachel V. Vitali and Scott G. McLean and Noel C. Perkins}, title = {Inertial sensor and cluster analysis for discriminating agility run technique and quantifying changes across load}, journal = {Biomed. Signal Process. Control.}, volume = {32}, pages = {150--156}, year = {2017}, url = {https://doi.org/10.1016/j.bspc.2016.10.013}, doi = {10.1016/J.BSPC.2016.10.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/McGinnisCDVMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/DunnHLLB17, author = {Jocelyn Dunn and Erich Huebner and Siyu Liu and Steven Landry and Kim Binsted}, title = {Using consumer-grade wearables and novel measures of sleep and activity to analyze changes in behavioral health during an 8-month simulated Mars mission}, journal = {Comput. Ind.}, volume = {92-93}, pages = {32--42}, year = {2017}, url = {https://doi.org/10.1016/j.compind.2017.06.003}, doi = {10.1016/J.COMPIND.2017.06.003}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/DunnHLLB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/Gottlieb17, author = {Steven Gottlieb}, title = {Changes at the {NSF} and Computing in the Physics Curriculum}, journal = {Comput. Sci. Eng.}, volume = {19}, number = {3}, pages = {4--5}, year = {2017}, url = {https://doi.org/10.1109/MCSE.2017.53}, doi = {10.1109/MCSE.2017.53}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/Gottlieb17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/LinardKGTGSSNS17, author = {Catherine Linard and Caroline W. Kabaria and Marius Gilbert and Andrew J. Tatem and Andrea E. Gaughan and Forrest R. Stevens and Alessandro Sorichetta and Abdisalan M. Noor and Robert W. Snow}, title = {Modelling changing population distributions: an example of the Kenyan Coast, 1979-2009}, journal = {Int. J. Digit. Earth}, volume = {10}, number = {10}, pages = {1017--1029}, year = {2017}, url = {https://doi.org/10.1080/17538947.2016.1275829}, doi = {10.1080/17538947.2016.1275829}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/LinardKGTGSSNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/VerstegenJKHSJF17, author = {Judith Anne Verstegen and Jan Gerrit Geurt Jonker and Derek Karssenberg and Floor van der Hilst and Oliver Schmitz and Steven M. de Jong and Andr{\'{e}} P. C. Faaij}, title = {How a Pareto frontier complements scenario projections in land use change impact assessment}, journal = {Environ. Model. Softw.}, volume = {97}, pages = {287--302}, year = {2017}, url = {https://doi.org/10.1016/j.envsoft.2017.08.006}, doi = {10.1016/J.ENVSOFT.2017.08.006}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/VerstegenJKHSJF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdc/DearbornMT17, author = {Dylanne Dearborn and Steve Marks and Leanne Trimble}, title = {The Changing Influence of Journal Data Sharing Policies on Local {RDM} Practices}, journal = {Int. J. Digit. Curation}, volume = {12}, number = {2}, pages = {376--389}, year = {2017}, url = {https://doi.org/10.2218/ijdc.v12i2.583}, doi = {10.2218/IJDC.V12I2.583}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdc/DearbornMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jot/CheneyGMS17, author = {James Cheney and Jeremy Gibbons and James McKinna and Perdita Stevens}, title = {On principles of Least Change and Least Surprise for bidirectional transformations}, journal = {J. Object Technol.}, volume = {16}, number = {1}, pages = {3:1--31}, year = {2017}, url = {https://doi.org/10.5381/jot.2017.16.1.a3}, doi = {10.5381/JOT.2017.16.1.A3}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jot/CheneyGMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/RaemaekersDV17, author = {Steven Raemaekers and Arie van Deursen and Joost Visser}, title = {Semantic versioning and impact of breaking changes in the Maven repository}, journal = {J. Syst. Softw.}, volume = {129}, pages = {140--158}, year = {2017}, url = {https://doi.org/10.1016/j.jss.2016.04.008}, doi = {10.1016/J.JSS.2016.04.008}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/RaemaekersDV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/McDonaldMDFPPBA17, author = {Amalia R. McDonald and Jordan Muraskin and Nicholas T. Van Dam and Caroline Froehlich and Benjamin Puccio and John Pellman and Clemens C. C. Bauer and Alexis Akeyson and Melissa M. Breland and Vince D. Calhoun and Steven Carter and Tiffany P. Chang and Chelsea Gessner and Alyssa Gianonne and Steven Giavasis and Jamie Glass and Steven Homann and Margaret D. King and Melissa Kramer and Drew Landis and Alexis Lieval}, title = {The real-time fMRI neurofeedback based stratification of Default Network Regulation Neuroimaging data repository}, journal = {NeuroImage}, volume = {146}, pages = {157--170}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2016.10.048}, doi = {10.1016/J.NEUROIMAGE.2016.10.048}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/McDonaldMDFPPBA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/PegorsTOF17, author = {Teresa K. Pegors and Steven H. Tompson and Matthew Brook O'Donnell and Emily B. Falk}, title = {Predicting behavior change from persuasive messages using neural representational similarity and social network analyses}, journal = {NeuroImage}, volume = {157}, pages = {118--128}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2017.05.063}, doi = {10.1016/J.NEUROIMAGE.2017.05.063}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/PegorsTOF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/StewardR17, author = {Robert M. Steward and Steven E. Rigdon}, title = {Risk-adjusted Monitoring of Healthcare Quality: Model Selection and Change-point Estimation}, journal = {Qual. Reliab. Eng. Int.}, volume = {33}, number = {5}, pages = {979--992}, year = {2017}, url = {https://doi.org/10.1002/qre.2074}, doi = {10.1002/QRE.2074}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/StewardR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CampbellWCS17, author = {Anthony Campbell and Yeqiao Wang and Mark Christiano and Sara Stevens}, title = {Salt Marsh Monitoring in Jamaica Bay, New York from 2003 to 2013: {A} Decade of Change from Restoration to Hurricane Sandy}, journal = {Remote. Sens.}, volume = {9}, number = {2}, pages = {131}, year = {2017}, url = {https://doi.org/10.3390/rs9020131}, doi = {10.3390/RS9020131}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/CampbellWCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MarkelinSHSTCG17, author = {Lauri Markelin and Stefan G. H. Simis and Peter D. Hunter and Evangelos Spyrakos and Andrew N. Tyler and Daniel Clewley and Steve B. Groom}, title = {Atmospheric Correction Performance of Hyperspectral Airborne Imagery over a Small Eutrophic Lake under Changing Cloud Cover}, journal = {Remote. Sens.}, volume = {9}, number = {1}, pages = {2}, year = {2017}, url = {https://doi.org/10.3390/rs9010002}, doi = {10.3390/RS9010002}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MarkelinSHSTCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/socnet/BiePFC17, author = {Jasper L. de Bie and Christianne J. de Poot and Joshua D. Freilich and Steven M. Chermak}, title = {Changing organizational structures of jihadist networks in the Netherlands}, journal = {Soc. Networks}, volume = {48}, pages = {270--283}, year = {2017}, url = {https://doi.org/10.1016/j.socnet.2016.09.004}, doi = {10.1016/J.SOCNET.2016.09.004}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/socnet/BiePFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/MalladaZL17, author = {Enrique Mallada and Changhong Zhao and Steven H. Low}, title = {Optimal Load-Side Control for Frequency Regulation in Smart Grids}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {12}, pages = {6294--6309}, year = {2017}, url = {https://doi.org/10.1109/TAC.2017.2713529}, doi = {10.1109/TAC.2017.2713529}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/MalladaZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangRLSLL17, author = {Changhong Wang and Stephen James Redmond and Wei Lu and Michael C. Stevens and Stephen R. Lord and Nigel H. Lovell}, title = {Selecting Power-Efficient Signal Features for a Low-Power Fall Detector}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {11}, pages = {2729--2736}, year = {2017}, url = {https://doi.org/10.1109/TBME.2017.2669338}, doi = {10.1109/TBME.2017.2669338}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WangRLSLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/SonZ17, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Near-Infrared Coloring via a Contrast-Preserving Mapping Model}, journal = {{IEEE} Trans. Image Process.}, volume = {26}, number = {11}, pages = {5381--5394}, year = {2017}, url = {https://doi.org/10.1109/TIP.2017.2724241}, doi = {10.1109/TIP.2017.2724241}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/SonZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KremenBKCGHBPLD17, author = {V{\'{a}}clav Kremen and Benjamin H. Brinkmann and Inyong Kim and Su{-}Youne Chang and Jamie Van Gompel and Jeffrey A. Herron and Steven Baldassano and Edward E. Patterson and Brian Litt and Timothy Denison and Gregory A. Worrell}, title = {Live demonstration: Continuous active probing and modulation of neural networks with a wireless implantable system}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325092}, doi = {10.1109/BIOCAS.2017.8325092}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KremenBKCGHBPLD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KremenBKCGHBPLD17a, author = {V{\'{a}}clav Kremen and Benjamin H. Brinkmann and Inyong Kim and Su{-}Youne Chang and Jamie J. Van Gompel and Jeffrey A. Herron and Steven Baldassano and Edward E. Patterson and Brian Litt and Timothy Denison and Gregory A. Worrell}, title = {Continuous active probing and modulation of neural networks with a wireless implantable system}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino, Italy, October 19-21, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIOCAS.2017.8325195}, doi = {10.1109/BIOCAS.2017.8325195}, timestamp = {Tue, 07 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KremenBKCGHBPLD17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/KhandpurJJ0LR17, author = {Rupinder Paul Khandpur and Taoran Ji and Steve T. K. Jan and Gang Wang and Chang{-}Tien Lu and Naren Ramakrishnan}, editor = {Ee{-}Peng Lim and Marianne Winslett and Mark Sanderson and Ada Wai{-}Chee Fu and Jimeng Sun and J. Shane Culpepper and Eric Lo and Joyce C. Ho and Debora Donato and Rakesh Agrawal and Yu Zheng and Carlos Castillo and Aixin Sun and Vincent S. Tseng and Chenliang Li}, title = {Crowdsourcing Cybersecurity: Cyber Attack Detection using Social Media}, booktitle = {Proceedings of the 2017 {ACM} on Conference on Information and Knowledge Management, {CIKM} 2017, Singapore, November 06 - 10, 2017}, pages = {1049--1057}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132847.3132866}, doi = {10.1145/3132847.3132866}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/KhandpurJJ0LR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/HayashiMNPSSFAS17, author = {Tetsuya Hayashi and Attila Mekis and Tetsuya Nakanishi and Mark Peterson and Subal Sahni and Peng Sun and Steven Freyling and Gene Armijo and Chang Sohn and Dennis Foltz and Thierry Pinguet and Michael Mack and Yasuomi Kaneuchi and Osamu Shimakawa and Tetsu Morishima and Takashi Sasaki and Peter De Dobbelaere}, title = {End-to-End Multi-Core Fibre Transmission Link Enabled by Silicon Photonics Transceiver with Grating Coupler Array}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8346057}, doi = {10.1109/ECOC.2017.8346057}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/HayashiMNPSSFAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZhuH17, author = {He Zhu and Changcheng Huang}, title = {Availability-Aware Mobile Edge Application Placement in 5G Networks}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254591}, doi = {10.1109/GLOCOM.2017.8254591}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZhuH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/MoanP17, author = {Steven Le Moan and Marius Pedersen}, title = {Evidence of change blindness in subjective image fidelity assessment}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {3155--3159}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8296864}, doi = {10.1109/ICIP.2017.8296864}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/MoanP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SonZ17, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Multimodal fusion via a series of transfers for noise removal}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {530--534}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8296337}, doi = {10.1109/ICIP.2017.8296337}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/SonZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/PadulaGPC17, author = {Francis Padula and Steven J. Goodman and Aaron Pearlman and Changyong Cao}, title = {{GOES-R} Advanced Baseline Imager {(ABI)} and Geostationary Lightning Mapper {(GLM)} calibration/validation from a field campaign perspective}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {301--304}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8126955}, doi = {10.1109/IGARSS.2017.8126955}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/PadulaGPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/SarkarCALW17, author = {Atrisha Sarkar and Krzysztof Czarnecki and Matt Angus and Changjian Li and Steven Lake Waslander}, title = {Trajectory prediction of traffic agents at urban intersections through learned interactions}, booktitle = {20th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2017, Yokohama, Japan, October 16-19, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITSC.2017.8317731}, doi = {10.1109/ITSC.2017.8317731}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/SarkarCALW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/ChangBM17, author = {Yuan Chang and Donald G. Bailey and Steven Le Moan}, title = {Lens distortion correction by analysing peak shape in Hough transform space}, booktitle = {2017 International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2017, Christchurch, New Zealand, December 4-6, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IVCNZ.2017.8402448}, doi = {10.1109/IVCNZ.2017.8402448}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ivcnz/ChangBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/Moan17, author = {Steven Le Moan}, title = {Can image quality features predict visual change blindness?}, booktitle = {2017 International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2017, Christchurch, New Zealand, December 4-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IVCNZ.2017.8402492}, doi = {10.1109/IVCNZ.2017.8402492}, timestamp = {Fri, 06 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivcnz/Moan17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/LiCYC17, author = {Hao Li and Jialiang Chang and Zijiang Yang and Steve Carr}, editor = {Lawrence Rauchwerger}, title = {Memory Distance Measurement for Concurrent Programs}, booktitle = {Languages and Compilers for Parallel Computing - 30th International Workshop, {LCPC} 2017, College Station, TX, USA, October 11-13, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11403}, pages = {49--64}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-35225-7\_5}, doi = {10.1007/978-3-030-35225-7\_5}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/LiCYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/IrvineGDFOLC17, author = {John M. Irvine and Nastaran Ghadar and Steve Duncan and David Floyd and David O'Dowd and Kristie Lin and Tom Chang}, editor = {Samuel G. Armato III and Nicholas A. Petrick}, title = {Inferring diagnosis and trajectory of wet age-related macular degeneration from {OCT} imagery of retina}, booktitle = {Medical Imaging 2017: Computer-Aided Diagnosis, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10134}, pages = {1013439}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254607}, doi = {10.1117/12.2254607}, timestamp = {Sun, 21 Jan 2018 18:54:42 +0100}, biburl = {https://dblp.org/rec/conf/micad/IrvineGDFOLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KiSJPSDKZ17, author = {Taeyeon Ki and Alexander Simeonov and Bhavika Pravin Jain and Chang Min Park and Keshav Sharma and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Tanzeem Choudhury and Steven Y. Ko and Andrew Campbell and Deepak Ganesan}, title = {Reptor: Enabling {API} Virtualization on Android for Platform Openness}, booktitle = {Proceedings of the 15th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'17, Niagara Falls, NY, USA, June 19-23, 2017}, pages = {399--412}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3081333.3081341}, doi = {10.1145/3081333.3081341}, timestamp = {Fri, 10 Dec 2021 17:15:01 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/KiSJPSDKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KiSPDKZ17, author = {Taeyeon Ki and Alexander Simeonov and Chang Min Park and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Tanzeem Choudhury and Steven Y. Ko and Andrew Campbell and Deepak Ganesan}, title = {Demo: Fully Automated {UI} Testing System for Large-scale Android Apps Using Multiple Devices}, booktitle = {Proceedings of the 15th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'17, Niagara Falls, NY, USA, June 19-23, 2017}, pages = {185}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3081333.3089330}, doi = {10.1145/3081333.3089330}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/KiSPDKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KiSPDKZ17a, author = {Taeyeon Ki and Alexander Simeonov and Chang Min Park and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Tanzeem Choudhury and Steven Y. Ko and Andrew Campbell and Deepak Ganesan}, title = {Demo: Reptor: Enabling {API} Virtualization on Android for Platform Openness}, booktitle = {Proceedings of the 15th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'17, Niagara Falls, NY, USA, June 19-23, 2017}, pages = {193}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3081333.3089338}, doi = {10.1145/3081333.3089338}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/KiSPDKZ17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkKDKZ17, author = {Chang Min Park and Taeyeon Ki and Karthik Dantu and Steven Y. Ko and Lukasz Ziarek}, editor = {Tanzeem Choudhury and Steven Y. Ko and Andrew Campbell and Deepak Ganesan}, title = {Demo: Enabling Dynamic Gesture Mapping with {UI} Events}, booktitle = {Proceedings of the 15th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'17, Niagara Falls, NY, USA, June 19-23, 2017}, pages = {191}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3081333.3089336}, doi = {10.1145/3081333.3089336}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/ParkKDKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msr/MolderezSR17, author = {Tim Molderez and Reinout Stevens and Coen De Roover}, editor = {Jes{\'{u}}s M. Gonz{\'{a}}lez{-}Barahona and Abram Hindle and Lin Tan}, title = {Mining change histories for unknown systematic edits}, booktitle = {Proceedings of the 14th International Conference on Mining Software Repositories, {MSR} 2017, Buenos Aires, Argentina, May 20-28, 2017}, pages = {248--256}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/MSR.2017.12}, doi = {10.1109/MSR.2017.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msr/MolderezSR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/LeeC17, author = {Steven W. K. Lee and Rocky K. C. Chang}, title = {On "shot around a corner" in first-person shooter games}, booktitle = {15th Annual Workshop on Network and Systems Support for Games, NetGames 2017, Taipei, Taiwan, June 22-23, 2017}, pages = {7--12}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NetGames.2017.7991545}, doi = {10.1109/NETGAMES.2017.7991545}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/netgames/LeeC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/MoonHSS17, author = {Changsung Moon and Steve Harenberg and John Slankas and Nagiza F. Samatova}, editor = {Rose Alinda Alias and Pan Shan Ling and Shamshul Bahri and Patrick Finnegan and Choon Ling Sia}, title = {Learning Contextual Embeddings for Knowledge Graph Completion}, booktitle = {21st Pacific Asia Conference on Information Systems, {PACIS} 2017, Langkawi, Malaysia, July 16-20, 2017}, pages = {248}, year = {2017}, url = {http://aisel.aisnet.org/pacis2017/248}, timestamp = {Fri, 15 Sep 2017 09:22:54 +0200}, biburl = {https://dblp.org/rec/conf/pacis/MoonHSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ZhuH17, author = {He Zhu and Changcheng Huang}, title = {VNF-B{\&}B: Enabling edge-based {NFV} with {CPE} resource sharing}, booktitle = {28th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2017, Montreal, QC, Canada, October 8-13, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PIMRC.2017.8292421}, doi = {10.1109/PIMRC.2017.8292421}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ZhuH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serene/BorckKSGJAC17, author = {Hayley Borck and Paul Kline and Hazel Shackleton and John Gohde and Steven Johnston and Perry Alexander and Todd Carpenter}, editor = {Alexander B. Romanovsky and Elena Troubitsyna}, title = {100 Years of Software - Adapting Cyber-Physical Systems to the Changing World}, booktitle = {Software Engineering for Resilient Systems - 9th International Workshop, {SERENE} 2017, Geneva, Switzerland, September 4-5, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10479}, pages = {133--148}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65948-0\_9}, doi = {10.1007/978-3-319-65948-0\_9}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/serene/BorckKSGJAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/VargheseHMCDCD17, author = {Bino A. Varghese and Darryl Hwang and Passant Mohamed and Steven Y. Cen and Christopher Deng and Michael Chang and Vinay A. Duddalwar}, editor = {Eduardo Romero and Natasha Lepor{\'{e}} and Jorge Brieva and Juan David Garc{\'{\i}}a}, title = {Wavelets analysis for differentiating solid, non-macroscopic fat containing, enhancing renal masses: a pilot study}, booktitle = {13th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2017, San Andres Island, Colombia, 5-7 October 2017}, series = {{SPIE} Proceedings}, volume = {10572}, pages = {105720T}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2285948}, doi = {10.1117/12.2285948}, timestamp = {Wed, 20 Mar 2019 14:10:59 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/VargheseHMCDCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ZhuH17, author = {He Zhu and Changcheng Huang}, title = {Cost-Efficient {VNF} Placement Strategy for IoT Networks with Availability Assurance}, booktitle = {86th {IEEE} Vehicular Technology Conference, {VTC} Fall 2017, Toronto, ON, Canada, September 24-27, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCFall.2017.8288151}, doi = {10.1109/VTCFALL.2017.8288151}, timestamp = {Mon, 20 Dec 2021 11:29:16 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ZhuH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcce/Kennewell17, author = {Steve Kennewell}, editor = {Arthur Tatnall and Mary Webb}, title = {Changing Rationales for Computers in Education: From Liberation to Involvement}, booktitle = {Tomorrow's Learning: Involving Everyone. Learning with and about Technologies and Computing - 11th {IFIP} {TC} 3 World Conference on Computers in Education, {WCCE} 2017, Dublin, Ireland, July 3-6, 2017, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {515}, pages = {3--12}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-74310-3\_1}, doi = {10.1007/978-3-319-74310-3\_1}, timestamp = {Tue, 20 Feb 2018 15:53:47 +0100}, biburl = {https://dblp.org/rec/conf/wcce/Kennewell17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/StevensR17, author = {Reinout Stevens and Coen De Roover}, editor = {Martin Pinzger and Gabriele Bavota and Andrian Marcus}, title = {Extracting executable transformations from distilled code changes}, booktitle = {{IEEE} 24th International Conference on Software Analysis, Evolution and Reengineering, {SANER} 2017, Klagenfurt, Austria, February 20-24, 2017}, pages = {171--181}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SANER.2017.7884619}, doi = {10.1109/SANER.2017.7884619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/StevensR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wts/YangZCCB17, author = {Yongwen Yang and Harold Zheng and Jay Chang and John Contestabile and Steven Babin}, editor = {Steven R. Powell and Thomas Ketseoglou and J. P. Shim and Stanko Dimitrov and Qing{-}An Zeng}, title = {Advanced communication framework for video quality in public safety}, booktitle = {2017 Wireless Telecommunications Symposium, {WTS} 2017, Chicago, IL, USA, April 26-28, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WTS.2017.7943529}, doi = {10.1109/WTS.2017.7943529}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wts/YangZCCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/17/MyersKSOYCKL17, author = {Brad A. Myers and Amy J. Ko and Chris Scaffidi and Stephen Oney and YoungSeok Yoon and Kerry Shih{-}Ping Chang and Mary Beth Kery and Toby Jia{-}Jun Li}, editor = {Fabio Patern{\`{o}} and Volker Wulf}, title = {Making End User Development More Natural}, booktitle = {New Perspectives in End-User Development}, pages = {1--22}, publisher = {Springer International Publishing}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-60291-2\_1}, doi = {10.1007/978-3-319-60291-2\_1}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/17/MyersKSOYCKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/DhurandharHY17, author = {Amit Dhurandhar and Steve Hanneke and Liu Yang}, title = {Learning with Changing Features}, journal = {CoRR}, volume = {abs/1705.00219}, year = {2017}, url = {http://arxiv.org/abs/1705.00219}, eprinttype = {arXiv}, eprint = {1705.00219}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/DhurandharHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KhandpurJJWLR17, author = {Rupinder Paul Khandpur and Taoran Ji and Steve T. K. Jan and Gang Wang and Chang{-}Tien Lu and Naren Ramakrishnan}, title = {Crowdsourcing Cybersecurity: Cyber Attack Detection using Social Media}, journal = {CoRR}, volume = {abs/1702.07745}, year = {2017}, url = {http://arxiv.org/abs/1702.07745}, eprinttype = {arXiv}, eprint = {1702.07745}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KhandpurJJWLR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SonZ17, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Multimodal Fusion via a Series of Transfers for Noise Removal}, journal = {CoRR}, volume = {abs/1701.06121}, year = {2017}, url = {http://arxiv.org/abs/1701.06121}, eprinttype = {arXiv}, eprint = {1701.06121}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SonZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangLLZM17, author = {Zhaojian Wang and Feng Liu and Steven H. Low and Changhong Zhao and Shengwei Mei}, title = {Distributed Frequency Control with Operational Constraints, Part {I:} Per-Node Power Balance}, journal = {CoRR}, volume = {abs/1702.07965}, year = {2017}, url = {http://arxiv.org/abs/1702.07965}, eprinttype = {arXiv}, eprint = {1702.07965}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangLLZM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangLLZM17a, author = {Zhaojian Wang and Feng Liu and Steven H. Low and Changhong Zhao and Shengwei Mei}, title = {Distributed Frequency Control with Operational Constraints, Part {II:} Network Power Balance}, journal = {CoRR}, volume = {abs/1703.00083}, year = {2017}, url = {http://arxiv.org/abs/1703.00083}, eprinttype = {arXiv}, eprint = {1703.00083}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangLLZM17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/FerenbokMM16, author = {Joseph Ferenbok and Steve Mann and Katina Michael}, title = {The Changing Ethics of Mediated Looking: Wearables, veillances, and power}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {5}, number = {2}, pages = {94--102}, year = {2016}, url = {https://doi.org/10.1109/MCE.2016.2516139}, doi = {10.1109/MCE.2016.2516139}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cem/FerenbokMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/HtunGLTA16, author = {Hla Htun and Steven A. Gray and Christopher Lepczyk and Andrew Titmus and Keenan Adams}, title = {Combining watershed models and knowledge-based models to predict local-scale impacts of climate change on endangered wildlife}, journal = {Environ. Model. Softw.}, volume = {84}, pages = {440--457}, year = {2016}, url = {https://doi.org/10.1016/j.envsoft.2016.07.009}, doi = {10.1016/J.ENVSOFT.2016.07.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/HtunGLTA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsc/AlashriATCD16, author = {Saud Alashri and Sultan Alzahrani and Jiun{-}Yi Tsai and Steven R. Corman and Hasan Davulcu}, title = {"Climate Change" Frames Detection and Categorization Based on Generalized Concepts}, journal = {Int. J. Semantic Comput.}, volume = {10}, number = {2}, pages = {147--166}, year = {2016}, url = {https://doi.org/10.1142/S1793351X16400055}, doi = {10.1142/S1793351X16400055}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsc/AlashriATCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitech/KumarLAS16, author = {Vikas Kumar and John Loonam and Jonathan P. Allen and Steve Sawyer}, title = {Exploring enterprise social systems {\&} organisational change: implementation in a digital age}, journal = {J. Inf. Technol.}, volume = {31}, number = {2}, pages = {97--100}, year = {2016}, url = {https://doi.org/10.1057/jit.2016.13}, doi = {10.1057/JIT.2016.13}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jitech/KumarLAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/AkazawaCYHBAACO16, author = {Kentaro Akazawa and Linda Chang and Robyn Yamakawa and Sara Hayama and Steven Buchthal and Daniel Alicata and Tamara Andres and Deborrah Castillo and Kumiko Oishi and Jon Skranes and Thomas Ernst and Kenichi Oishi}, title = {Probabilistic maps of the white matter tracts with known associated functions on the neonatal brain atlas: Application to evaluate longitudinal developmental trajectories in term-born and preterm-born infants}, journal = {NeuroImage}, volume = {128}, pages = {167--179}, year = {2016}, url = {https://doi.org/10.1016/j.neuroimage.2015.12.026}, doi = {10.1016/J.NEUROIMAGE.2015.12.026}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/AkazawaCYHBAACO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BernardiCSBYHBR16, author = {Giulio Bernardi and Luca Cecchetti and Francesca Siclari and Andreas Buchmann and Xiaoqian Yu and Giacomo Handjaras and Michele Bellesi and Emiliano Ricciardi and Steven R. Kecskemeti and Brady Alexander Riedner and Andrew L. Alexander and Ruth M. Benca and Maria Felice Ghilardi and Pietro Pietrini and Chiara Cirelli and Giulio Tononi}, title = {Sleep reverts changes in human gray and white matter caused by wake-dependent training}, journal = {NeuroImage}, volume = {129}, pages = {367--377}, year = {2016}, url = {https://doi.org/10.1016/j.neuroimage.2016.01.020}, doi = {10.1016/J.NEUROIMAGE.2016.01.020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BernardiCSBYHBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/MiaoLY16, author = {Daniel Wei{-}Chung Miao and Xenos Chang{-}Shuo Lin and Steve Hsin{-}Ting Yu}, title = {A note on the never-early-exercise region of American power exchange options}, journal = {Oper. Res. Lett.}, volume = {44}, number = {1}, pages = {129--135}, year = {2016}, url = {https://doi.org/10.1016/j.orl.2015.12.011}, doi = {10.1016/J.ORL.2015.12.011}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/MiaoLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/TakkalaZP16, author = {Petri Takkala and Yi Zhu and Steven A. Prescott}, title = {Combined Changes in Chloride Regulation and Neuronal Excitability Enable Primary Afferent Depolarization to Elicit Spiking without Compromising its Inhibitory Effects}, journal = {PLoS Comput. Biol.}, volume = {12}, number = {11}, year = {2016}, url = {https://doi.org/10.1371/journal.pcbi.1005215}, doi = {10.1371/JOURNAL.PCBI.1005215}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/TakkalaZP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/SonZ16, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Layer-Based Approach for Image Pair Fusion}, journal = {{IEEE} Trans. Image Process.}, volume = {25}, number = {6}, pages = {2866--2881}, year = {2016}, url = {https://doi.org/10.1109/TIP.2016.2556618}, doi = {10.1109/TIP.2016.2556618}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/SonZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ButkiewiczS16, author = {Thomas Butkiewicz and Andrew H. Stevens}, title = {Effectiveness of Structured Textures on Dynamically Changing Terrain-like Surfaces}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {22}, number = {1}, pages = {926--934}, year = {2016}, url = {https://doi.org/10.1109/TVCG.2015.2467962}, doi = {10.1109/TVCG.2015.2467962}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/ButkiewiczS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/BiswasBSCSSNBMs16, author = {Dwaipayan Biswas and Valentina Bono and Michael Scott{-}South and Shre Kumar Chatterjee and Anna Soska and Steve Snow and Catherine J. Noakes and Janet F. Barlow and Koushik Maharatna and m. c. schraefel}, title = {Analysing wireless {EEG} based functional connectivity measures with respect to change in environmental factors}, booktitle = {2016 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2016, Las Vegas, NV, USA, February 24-27, 2016}, pages = {599--602}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BHI.2016.7455969}, doi = {10.1109/BHI.2016.7455969}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bhi/BiswasBSCSSNBMs16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/Abou-SalehCGMS16, author = {Faris Abou{-}Saleh and James Cheney and Jeremy Gibbons and James McKinna and Perdita Stevens}, editor = {Sam Lindley and Conor McBride and Philip W. Trinder and Donald Sannella}, title = {Reflections on Monadic Lenses}, booktitle = {A List of Successes That Can Change the World - Essays Dedicated to Philip Wadler on the Occasion of His 60th Birthday}, series = {Lecture Notes in Computer Science}, volume = {9600}, pages = {1--31}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30936-1\_1}, doi = {10.1007/978-3-319-30936-1\_1}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/birthday/Abou-SalehCGMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/PaykinZ16, author = {Jennifer Paykin and Steve Zdancewic}, editor = {Sam Lindley and Conor McBride and Philip W. Trinder and Donald Sannella}, title = {Linear {\(\lambda\)}{\(\mu\)} is {CP} (more or less)}, booktitle = {A List of Successes That Can Change the World - Essays Dedicated to Philip Wadler on the Occasion of His 60th Birthday}, series = {Lecture Notes in Computer Science}, volume = {9600}, pages = {273--291}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-30936-1\_15}, doi = {10.1007/978-3-319-30936-1\_15}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/birthday/PaykinZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WangLLZM16, author = {Zhaojian Wang and Feng Liu and Steven H. Low and Changhong Zhao and Shengwei Mei}, title = {Decentralized optimal frequency control of interconnected power systems with transient constraints}, booktitle = {55th {IEEE} Conference on Decision and Control, {CDC} 2016, Las Vegas, NV, USA, December 12-14, 2016}, pages = {664--671}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CDC.2016.7798345}, doi = {10.1109/CDC.2016.7798345}, timestamp = {Fri, 04 Mar 2022 13:29:43 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WangLLZM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/FaltB16, author = {James Falt and Steven D. Blostein}, title = {Two-sided change detection under unknown initial state}, booktitle = {2016 Annual Conference on Information Science and Systems, {CISS} 2016, Princeton, NJ, USA, March 16-18, 2016}, pages = {418--423}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISS.2016.7460539}, doi = {10.1109/CISS.2016.7460539}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ciss/FaltB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/RabovskyHM16, author = {Milena Rabovsky and Steven Stenberg Hansen and James L. McClelland}, editor = {Anna Papafragou and Daniel Grodner and Daniel Mirman and John C. Trueswell}, title = {{N400} amplitudes reflect change in a probabilistic representation of meaning: Evidence from a connectionist model}, booktitle = {Proceedings of the 38th Annual Meeting of the Cognitive Science Society, Recognizing and Representing Events, CogSci 2016, Philadelphia, PA, USA, August 10-13, 2016}, publisher = {cognitivesciencesociety.org}, year = {2016}, url = {https://mindmodeling.org/cogsci2016/papers/0356/index.html}, timestamp = {Thu, 18 Apr 2024 13:03:08 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/RabovskyHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpp/WoosWATEA16, author = {Doug Woos and James R. Wilcox and Steve Anton and Zachary Tatlock and Michael D. Ernst and Thomas E. Anderson}, editor = {Jeremy Avigad and Adam Chlipala}, title = {Planning for change in a formal verification of the raft consensus protocol}, booktitle = {Proceedings of the 5th {ACM} {SIGPLAN} Conference on Certified Programs and Proofs, Saint Petersburg, FL, USA, January 20-22, 2016}, pages = {154--165}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2854065.2854081}, doi = {10.1145/2854065.2854081}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpp/WoosWATEA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiSCPGC16, author = {Chunyuan Li and Andrew Stevens and Changyou Chen and Yunchen Pu and Zhe Gan and Lawrence Carin}, title = {Learning Weight Uncertainty with Stochastic Gradient {MCMC} for Shape Classification}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {5666--5675}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.611}, doi = {10.1109/CVPR.2016.611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiSCPGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ekaw/MatentzogluVJS16, author = {Nicolas Matentzoglu and Markel Vigo and Caroline Jay and Robert Stevens}, editor = {Eva Blomqvist and Paolo Ciancarini and Francesco Poggi and Fabio Vitali}, title = {Making Entailment Set Changes Explicit Improves the Understanding of Consequences of Ontology Authoring Actions}, booktitle = {Knowledge Engineering and Knowledge Management - 20th International Conference, {EKAW} 2016, Bologna, Italy, November 19-23, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10024}, pages = {432--446}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49004-5\_28}, doi = {10.1007/978-3-319-49004-5\_28}, timestamp = {Tue, 07 May 2024 20:13:01 +0200}, biburl = {https://dblp.org/rec/conf/ekaw/MatentzogluVJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangWCW16, author = {Yao{-}Chuan Chang and Steven T. Walston and Robert H. Chow and James D. Weiland}, title = {In vivo characterization of genetic expression of virus-transduced calcium indicators in retinal ganglion cells using a low-cost funduscope}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7590949}, doi = {10.1109/EMBC.2016.7590949}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChangWCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LuWSRL16, author = {Wei Lu and Changhong Wang and Michael C. Stevens and Stephen James Redmond and Nigel H. Lovell}, title = {Low-power operation of a barometric pressure sensor for use in an automatic fall detector}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {2010--2013}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591120}, doi = {10.1109/EMBC.2016.7591120}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LuWSRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/StevensLWRL16, author = {Michael C. Stevens and Wei Lu and Changhong Wang and Stephen James Redmond and Nigel H. Lovell}, title = {A Kalman filter to estimate altitude change during a fall}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {5889--5892}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7592068}, doi = {10.1109/EMBC.2016.7592068}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/StevensLWRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eos/PadulaPCG16, author = {Francis Padula and Aaron J. Pearlman and Changyong Cao and Steven J. Goodman}, editor = {James J. Butler and Xiaoxiong (Jack) Xiong and Xingfa Gu}, title = {Towards post-launch validation of {GOES-R} {ABI} {SI} traceability with high-altitude aircraft, small near surface UAS, and satellite reference measurements}, booktitle = {Earth Observing Systems XXI, {SPIE} Optical Engineering + Applications, San Diego, California, USA, 28 August - 1 September 2016}, series = {{SPIE} Proceedings}, volume = {9972}, pages = {99720V}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2238181}, doi = {10.1117/12.2238181}, timestamp = {Thu, 19 May 2022 21:17:47 +0200}, biburl = {https://dblp.org/rec/conf/eos/PadulaPCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eos/PearlmanPSCG16, author = {Aaron J. Pearlman and Francis Padula and Xi Shao and Changyong Cao and Steven J. Goodman}, editor = {James J. Butler and Xiaoxiong (Jack) Xiong and Xingfa Gu}, title = {Initial design and performance of the near surface unmanned aircraft system sensor suite in support of the {GOES-R} field campaign}, booktitle = {Earth Observing Systems XXI, {SPIE} Optical Engineering + Applications, San Diego, California, USA, 28 August - 1 September 2016}, series = {{SPIE} Proceedings}, volume = {9972}, pages = {99720U}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2238178}, doi = {10.1117/12.2238178}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eos/PearlmanPSCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ChoiL16, author = {Kyungsub Stephen Choi and Kun Chang Lee}, editor = {Tung X. Bui and Ralph H. Sprague Jr.}, title = {Introduction to Mobility-Enhanced Social Collaborations for Value Creation Minitrack}, booktitle = {49th Hawaii International Conference on System Sciences, {HICSS} 2016, Koloa, HI, USA, January 5-8, 2016}, pages = {735}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HICSS.2016.96}, doi = {10.1109/HICSS.2016.96}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/ChoiL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/SonZ16, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Rain removal via shrinkage of sparse codes and learned rain dictionary}, booktitle = {2016 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2016, Seattle, WA, USA, July 11-15, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICMEW.2016.7574752}, doi = {10.1109/ICMEW.2016.7574752}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/SonZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LanierMRSMTHSWB16, author = {Jaron Lanier and Victor A. Mateevitsi and Kishore Rathinavel and Lior Shapira and Joseph Menke and Patrick Therien and Joshua Hudman and Gheric Speiginer and Andrea Stevenson Won and Andrzej Banburski and Xavier Benavides and Judith Amores and Javier Porras Lurashi and Wayne Chang}, editor = {Eduardo E. Veas and Tobias Langlotz and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Rapha{\"{e}}l Grasset and Maki Sugimoto and Alejandro Mart{\'{\i}}n}, title = {The RealityMashers: Augmented Reality Wide Field-of-View Optical See-Through Head Mounted Displays}, booktitle = {2016 {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2016 Adjunct, Merida, Yucatan, Mexico, September 19-23, 2016}, pages = {141--146}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2016.0061}, doi = {10.1109/ISMAR-ADJUNCT.2016.0061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/LanierMRSMTHSWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/AlmaimanCZLMBAF16, author = {Ahmed Almaiman and Yinwen Cao and Morteza Ziyadi and Peicheng Liao and A. Mohajerin{-}Ariaei and Changjing Bao and Fatemeh Alishahi and Ahmad Fallahpour and B. Shamee and Asher J. Willner and Nisar Ahmed and Youichi Akasaka and Tadashi Ikeuchi and Steven R. Wilkinson and Moshe Tur and Alan E. Willner}, title = {Experimental demonstration of phase-sensitive regeneration of a 10-20 Gb/s {BPSK} channel without a phase-locked loop using Brillouin amplification}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7537819}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/AlmaimanCZLMBAF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/CaoAZLMABFSWAIW16, author = {Yinwen Cao and Ahmed Almaiman and Morteza Ziyadi and Peicheng Liao and A. Mohajerin{-}Ariaei and Fatemeh Alishahi and Changjing Bao and Ahmad Fallahpour and B. Shamee and Youichi Akasaka and Tadashi Ikeuchi and Steven R. Wilkinson and Joseph D. Touch and Moshe Tur and Alan E. Willner}, title = {Demonstration of automatically phase-locked self-homodyne detection with a low-power pilot tone based on brillouin amplification and optical frequency combs}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7537204}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/CaoAZLMABFSWAIW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiaoBPKYZCAZWWT16, author = {Peicheng Liao and Changjing Bao and Martin Hubert Peter Pfeiffer and Maxim Karpov and Yan Yan and Lin Zhang and Yinwen Cao and Ahmed Almaiman and Morteza Ziyadi and Asher J. Willner and Steven R. Wilkinson and Moshe Tur and Tobias J. Kippenberg and Alan E. Willner}, title = {Wavelength and pump power characterization of low-phase-noise Kerr frequency comb lines}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7537822}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiaoBPKYZCAZWWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pscc/ZhaoMLB16, author = {Changhong Zhao and Enrique Mallada and Steven H. Low and Janusz W. Bialek}, title = {A unified framework for frequency control and congestion management}, booktitle = {Power Systems Computation Conference, {PSCC} 2016, Genoa, Italy, June 20-24, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PSCC.2016.7541028}, doi = {10.1109/PSCC.2016.7541028}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pscc/ZhaoMLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/MoonMJHOS16, author = {Changsung Moon and Dakota Medd and Paul Jones and Steve Harenberg and William Oxbury and Nagiza F. Samatova}, editor = {Sanjay Chawla Venkatasubramanian and Wagner Meira Jr.}, title = {Online Prediction of User Actions through an Ensemble Vote from Vector Representation and Frequency Analysis Models}, booktitle = {Proceedings of the 2016 {SIAM} International Conference on Data Mining, Miami, Florida, USA, May 5-7, 2016}, pages = {90--98}, publisher = {{SIAM}}, year = {2016}, url = {https://doi.org/10.1137/1.9781611974348.11}, doi = {10.1137/1.9781611974348.11}, timestamp = {Mon, 03 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sdm/MoonMJHOS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semco/AlashriTACD16, author = {Saud Alashri and Jiun{-}Yi Tsai and Sultan Alzahrani and Steven R. Corman and Hasan Davulcu}, title = {"Climate Change" Frames Detection and Categorization Based on Generalized Concepts}, booktitle = {Tenth {IEEE} International Conference on Semantic Computing, {ICSC} 2016, Laguna Hills, CA, USA, February 4-6, 2016}, pages = {277--284}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICSC.2016.14}, doi = {10.1109/ICSC.2016.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/semco/AlashriTACD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/SivaramanCBKABV16, author = {Anirudh Sivaraman and Alvin Cheung and Mihai Budiu and Changhoon Kim and Mohammad Alizadeh and Hari Balakrishnan and George Varghese and Nick McKeown and Steve Licking}, editor = {Marinho P. Barcellos and Jon Crowcroft and Amin Vahdat and Sachin Katti}, title = {Packet Transactions: High-Level Programming for Line-Rate Switches}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2016 Conference, Florianopolis, Brazil, August 22-26, 2016}, pages = {15--28}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934872.2934900}, doi = {10.1145/2934872.2934900}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/SivaramanCBKABV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/isrl/HarringtonKRS16, author = {Jonathan Harrington and Felicitas Kleber and Ulrich Reubold and Mary Stevens}, editor = {Anna Esposito and Lakhmi C. Jain}, title = {The Relevance of Context and Experience for the Operation of Historical Sound Change}, booktitle = {Toward Robotic Socially Believable Behaving Systems - Volume {II} - Modeling Social Signals}, series = {Intelligent Systems Reference Library}, volume = {106}, pages = {61--92}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-31053-4\_6}, doi = {10.1007/978-3-319-31053-4\_6}, timestamp = {Wed, 14 Jun 2017 20:39:08 +0200}, biburl = {https://dblp.org/rec/series/isrl/HarringtonKRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/HarringtonKS16, author = {Jonathan Harrington and Felicitas Kleber and Mary Stevens}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Antonietta Maria Esposito and Gennaro Cordasco and Thomas Drugman and Jordi Sol{\'{e}}{-}Casals and Francesco Carlo Morabito}, title = {The Relationship Between the (Mis)-Parsing of Coarticulation in Perception and Sound Change: Evidence from Dissimilation and Language Acquisition}, booktitle = {Recent Advances in Nonlinear Speech Processing}, series = {Smart Innovation, Systems and Technologies}, volume = {48}, pages = {15--34}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-28109-4\_3}, doi = {10.1007/978-3-319-28109-4\_3}, timestamp = {Sat, 09 Apr 2022 12:19:58 +0200}, biburl = {https://dblp.org/rec/series/sist/HarringtonKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Chang16, author = {Steve Chang}, title = {InfraNotes: Inconspicuous Handwritten Trajectory Tracking for Lecture Note Recording with Infrared Sensors}, journal = {CoRR}, volume = {abs/1610.02442}, year = {2016}, url = {http://arxiv.org/abs/1610.02442}, eprinttype = {arXiv}, eprint = {1610.02442}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Chang16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Chang16a, author = {Steve Chang}, title = {Instagram Post Data Analysis}, journal = {CoRR}, volume = {abs/1610.02445}, year = {2016}, url = {http://arxiv.org/abs/1610.02445}, eprinttype = {arXiv}, eprint = {1610.02445}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Chang16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SonZ16, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Near-Infrared Image Dehazing Via Color Regularization}, journal = {CoRR}, volume = {abs/1610.00175}, year = {2016}, url = {http://arxiv.org/abs/1610.00175}, eprinttype = {arXiv}, eprint = {1610.00175}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SonZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SonZ16a, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Near-Infrared Coloring via a Contrast-Preserving Mapping Model}, journal = {CoRR}, volume = {abs/1610.00382}, year = {2016}, url = {http://arxiv.org/abs/1610.00382}, eprinttype = {arXiv}, eprint = {1610.00382}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SonZ16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SonZ16b, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Rain Removal via Shrinkage-Based Sparse Coding and Learned Rain Dictionary}, journal = {CoRR}, volume = {abs/1610.00386}, year = {2016}, url = {http://arxiv.org/abs/1610.00386}, eprinttype = {arXiv}, eprint = {1610.00386}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SonZ16b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SonZ16c, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang}, title = {Rain structure transfer using an exemplar rain image for synthetic rain image generation}, journal = {CoRR}, volume = {abs/1610.00427}, year = {2016}, url = {http://arxiv.org/abs/1610.00427}, eprinttype = {arXiv}, eprint = {1610.00427}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/SonZ16c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangCW16, author = {Adam Wang and Steve Chang and John Wilson}, title = {Predict Moves}, journal = {CoRR}, volume = {abs/1610.05455}, year = {2016}, url = {http://arxiv.org/abs/1610.05455}, eprinttype = {arXiv}, eprint = {1610.05455}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WilsonSW16, author = {James D. Wilson and Nathaniel T. Stevens and William H. Woodall}, title = {Modeling and estimating change in temporal networks via a dynamic degree corrected stochastic block model}, journal = {CoRR}, volume = {abs/1605.04049}, year = {2016}, url = {http://arxiv.org/abs/1605.04049}, eprinttype = {arXiv}, eprint = {1605.04049}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WilsonSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangZTL16, author = {Ying Jun Zhang and Changhong Zhao and Wanrong Tang and Steven H. Low}, title = {Profit-Maximizing Planning and Control of Battery Energy Storage Systems for Primary Frequency Control}, journal = {CoRR}, volume = {abs/1604.00952}, year = {2016}, url = {http://arxiv.org/abs/1604.00952}, eprinttype = {arXiv}, eprint = {1604.00952}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangZTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GuptaHUGYK15, author = {Namita T. Gupta and Jason A. Vander Heiden and Mohamed Uduman and Daniel Gadala{-}Maria and Gur Yaari and Steven H. Kleinstein}, title = {Change-O: a toolkit for analyzing large-scale {B} cell immunoglobulin repertoire sequencing data}, journal = {Bioinform.}, volume = {31}, number = {20}, pages = {3356--3358}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btv359}, doi = {10.1093/BIOINFORMATICS/BTV359}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/GuptaHUGYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/YeluripatiPSRLC15, author = {Jagadeesh B. Yeluripati and Agustin del Prado and Alberto Sanz{-}Cobe{\~{n}}a and Robert M. Rees and Changsheng Li and Dave Chadwick and Emma Tilston and Cairistiona F. E. Topp and Laura M. Cardenas and Pete Ingraham and Sarah Gilhespy and Steven Anthony and Sylvia H. Vetter and Tom Misselbrook and William Salas and Pete Smith}, title = {Global Research Alliance Modelling Platform {(GRAMP):} An open web platform for modelling greenhouse gas emissions from agro-ecosystems}, journal = {Comput. Electron. Agric.}, volume = {111}, pages = {112--120}, year = {2015}, url = {https://doi.org/10.1016/j.compag.2014.11.016}, doi = {10.1016/J.COMPAG.2014.11.016}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/YeluripatiPSRLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/MehtaLJS15, author = {Jay P. Mehta and Steven A. Lavender and Richard J. Jagacinski and Carolyn M. Sommerich}, title = {Effects of Task Precision Demands on Behavioral and Physiological Changes During a Repetitive Asymmetric Lifting Activity}, journal = {Hum. Factors}, volume = {57}, number = {3}, pages = {435--446}, year = {2015}, url = {https://doi.org/10.1177/0018720814551556}, doi = {10.1177/0018720814551556}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/MehtaLJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/WangZ15, author = {Fang Wang and Xiao{-}Ping (Steven) Zhang}, title = {The role of the Internet in changing industry competition}, journal = {Inf. Manag.}, volume = {52}, number = {1}, pages = {71--81}, year = {2015}, url = {https://doi.org/10.1016/j.im.2014.10.006}, doi = {10.1016/J.IM.2014.10.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/WangZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/YanLKT15, author = {Jianzhou Yan and Stephen Lin and Sing Bing Kang and Xiaoou Tang}, title = {Change-Based Image Cropping with Exclusion and Compositional Features}, journal = {Int. J. Comput. Vis.}, volume = {114}, number = {1}, pages = {74--87}, year = {2015}, url = {https://doi.org/10.1007/s11263-015-0801-5}, doi = {10.1007/S11263-015-0801-5}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/YanLKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/SawakiLR15, author = {Risa Sawaki and Steven J. Luck and Jane E. Raymond}, title = {How Attention Changes in Response to Incentives}, journal = {J. Cogn. Neurosci.}, volume = {27}, number = {11}, pages = {2229--2239}, year = {2015}, url = {https://doi.org/10.1162/jocn\_a\_00847}, doi = {10.1162/JOCN\_A\_00847}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/SawakiLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joeuc/KlausBW15, author = {Tim Klaus and J. Ellis Blanton and Stephen C. Wingreen}, title = {User Resistance Behaviors and Management Strategies in IT-Enabled Change}, journal = {J. Organ. End User Comput.}, volume = {27}, number = {1}, pages = {57--76}, year = {2015}, url = {https://doi.org/10.4018/joeuc.2015010103}, doi = {10.4018/JOEUC.2015010103}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joeuc/KlausBW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Aartsen15, author = {Mark G. Aartsen and Rasha U. Abbasi and Markus Ackermann and Jenni Adams and Juan Antonio Aguilar S{\'{a}}nchez and Markus Ahlers and David Altmann and Carlos A. Arg{\"{u}}elles Delgado and Jan Auffenberg and Xinhua Bai and Michael F. Baker and Steven W. Barwick and Volker Baum and Ryan Bay and James J. Beatty and Julia K. Becker Tjus and Karl{-}Heinz Becker and Segev BenZvi and Patrick Berghaus and David Berley and Elisa Bernardini and Anna Bernhard and David Z. Besson and G. Binder and Daniel Bindig and Martin Bissok and Erik Blaufuss and Jan Blumenthal and David J. Boersma and Christian Bohm and Debanjan Bose and Sebastian B{\"{o}}ser and Olga Botner and Lionel Brayeur and Hans{-}Peter Bretz and Anthony M. Brown and Ronald Bruijn and James Casey and Martin Casier and Dmitry Chirkin and Asen Christov and Brian John Christy and Ken Clark and Lew Classen and Fabian Clevermann and Stefan Coenders and Shirit Cohen and Doug F. Cowen and Angel H. Cruz Silva and Matthias Danninger and Jacob Daughhetee and James C. Davis and Melanie Day and Catherine De Clercq and Sam De Ridder and Paolo Desiati and Krijn D. de Vries and Meike de With and Tyce DeYoung and Juan Carlos D{\'{\i}}az{-}V{\'{e}}lez and Matthew Dunkman and Ryan Eagan and Benjamin Eberhardt and Bj{\"{o}}rn Eichmann and Jonathan Eisch and Sebastian Euler and Paul A. Evenson and Oladipo O. Fadiran and Ali R. Fazely and Anatoli Fedynitch and Jacob Feintzeig and Tom Feusels and Kirill Filimonov and Chad Finley and Tobias Fischer{-}Wasels and Samuel Flis and Anna Franckowiak and Katharina Frantzen and Tomasz Fuchs and Thomas K. Gaisser and Joseph S. Gallagher and Lisa Marie Gerhardt and Laura E. Gladstone and Thorsten Gl{\"{u}}senkamp and Azriel Goldschmidt and Geraldina Golup and Javier G. Gonz{\'{a}}lez and Jordan A. Goodman and Dariusz G{\'{o}}ra and Dylan T. Grandmont and Darren Grant and Pavel Gretskov and John C. Groh and Andreas Gro{\ss} and Chang Hyon Ha and Abd Al Karim Haj Ismail and Patrick Hallen and Allan Hallgren and Francis Halzen and Kael D. Hanson and Dustin Hebecker and David Heereman and Dirk Heinen and Klaus Helbing and Robert Eugene Hellauer III and Stephanie Virginia Hickford and Gary C. Hill and Kara D. Hoffman and Ruth Hoffmann and Andreas Homeier and Kotoyo Hoshina and Feifei Huang and Warren Huelsnitz and Per Olof Hulth and Klas Hultqvist and Shahid Hussain and Aya Ishihara and Emanuel Jacobi and John E. Jacobsen and Kai Jagielski and George S. Japaridze and Kyle Jero and Ola Jlelati and Basho Kaminsky and Alexander Kappes and Timo Karg and Albrecht Karle and Matthew Kauer and John Lawrence Kelley and Joanna Kiryluk and J. Kl{\"{a}}s and Spencer R. Klein and Jan{-}Hendrik K{\"{o}}hne and Georges Kohnen and Hermann Kolanoski and Lutz K{\"{o}}pke and Claudio Kopper and Sandro Kopper and D. Jason Koskinen and Marek Kowalski and Mark Krasberg and Anna Kriesten and Kai Michael Krings and G{\"{o}}sta Kroll and Jan Kunnen and Naoko Kurahashi and Takao Kuwabara and Mathieu L. M. Labare and Hagar Landsman and Michael James Larson and Mariola Lesiak{-}Bzdak and Martin Leuermann and Julia Leute and Jan L{\"{u}}nemann and Oscar A. Mac{\'{\i}}as{-}Ram{\'{\i}}rez and James Madsen and Giuliano Maggi and Reina Maruyama and Keiichi Mase and Howard S. Matis and Frank McNally and Kevin James Meagher and Martin Merck and Gonzalo Merino Ar{\'{e}}valo and Thomas Meures and Sandra Miarecki and Eike Middell and Natalie Milke and John Lester Miller and Lars Mohrmann and Teresa Montaruli and Robert M. Morse and Rolf Nahnhauer and Uwe Naumann and Hans Niederhausen and Sarah C. Nowicki and David R. Nygren and Anna Obertacke and Sirin Odrowski and Alex Olivas and Ahmad Omairat and Aongus Starbuck {\'{O}} Murchadha and Larissa Paul and Joshua A. Pepper and Carlos P{\'{e}}rez de los Heros and Carl Pfendner and Damian Pieloth and Elisa Pinat and Jonas Posselt and P. Buford Price and Gerald T. Przybylski and Melissa Quinnan and Leif R{\"{a}}del and Ian Rae and Mohamed Rameez and Katherine Rawlins and Peter Christian Redl and Ren{\'{e}} Reimann and Elisa Resconi and Wolfgang Rhode and Mathieu Ribordy and Michael Richman and Benedikt Riedel and J. P. Rodrigues and Carsten Rott and Tim Ruhe and Bakhtiyar Ruzybayev and Dirk Ryckbosch and Sabine M. Saba and Heinz{-}Georg Sander and Juan Marcos Santander and Subir Sarkar and Kai Schatto and Florian Scheriau and Torsten Schmidt and Martin Schmitz and Sebastian Schoenen and Sebastian Sch{\"{o}}neberg and Arne Sch{\"{o}}nwald and Anne Schukraft and Lukas Schulte and David Schultz and Olaf Schulz and David Seckel and Yolanda Sestayo de la Cerra and Surujhdeo Seunarine and Rezo Shanidze and Chris Sheremata and Miles W. E. Smith and Dennis Soldin and Glenn M. Spiczak and Christian Spiering and Michael Stamatikos and Todor Stanev and Nick A. Stanisha and Alexander Stasik and Thorsten Stezelberger and Robert G. Stokstad and Achim St{\"{o}}{\ss}l and Erik A. Strahler and Rickard Str{\"{o}}m and Nora Linn Strotjohann and Gregory W. Sullivan and Henric Taavola and Ignacio J. Taboada and Alessio Tamburro and Andreas Tepe and Samvel Ter{-}Antonyan and Gordana Tesic and Serap Tilav and Patrick A. Toale and Moriah Natasha Tobin and Simona Toscano and Maria Tselengidou and Elisabeth Unger and Marcel Usner and Sofia Vallecorsa and Nick van Eijndhoven and Arne Van Overloop and Jakob van Santen and Markus Vehring and Markus Voge and Matthias Vraeghe and Christian Walck and Tilo Waldenmaier and Marius Wallraff and Christopher N. Weaver and Mark T. Wellons and Christopher H. Wendt and Stefan Westerhoff and Nathan Whitehorn and Klaus Wiebe and Christopher Wiebusch and Dawn R. Williams and Henrike Wissing and Martin Wolf and Terri R. Wood and Kurt Woschnagg and Donglian Xu and Xianwu Xu and Juan Pablo Y{\'{a}}{\~{n}}ez Garza and Gaurang B. Yodh and Shigeru Yoshida and Pavel Zarzhitsky and Jan Ziemann and Simon Zierke and Marcel Zoll}, title = {The IceProd framework: Distributed data processing for the IceCube neutrino observatory}, journal = {J. Parallel Distributed Comput.}, volume = {75}, pages = {198--211}, year = {2015}, url = {https://doi.org/10.1016/j.jpdc.2014.08.001}, doi = {10.1016/J.JPDC.2014.08.001}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/Aartsen15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MirgkizoudiLCR15, author = {Maria Mirgkizoudi and Changqing Liu and Paul P. Conway and Steve Riches}, title = {Mechanical and electrical characterisation of Au wire interconnects in electronic packages under the combined vibration and thermal testing conditions}, journal = {Microelectron. Reliab.}, volume = {55}, number = {6}, pages = {952--960}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.02.023}, doi = {10.1016/J.MICROREL.2015.02.023}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MirgkizoudiLCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/GatrellC15, author = {Matt Gatrell and Steve Counsell}, title = {The effect of refactoring on change and fault-proneness in commercial C{\#} software}, journal = {Sci. Comput. Program.}, volume = {102}, pages = {44--56}, year = {2015}, url = {https://doi.org/10.1016/j.scico.2014.12.002}, doi = {10.1016/J.SCICO.2014.12.002}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/GatrellC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/zmp/CooperTOE15, author = {Nicole Cooper and Steven H. Tompson and Matthew Brook O'Donnell and Emily B. Falk}, title = {Brain Activity in Self- and Value-Related Regions in Response to Online Antismoking Messages Predicts Behavior Change}, journal = {J. Media Psychol. Theor. Methods Appl.}, volume = {27}, number = {3}, pages = {93--109}, year = {2015}, url = {https://doi.org/10.1027/1864-1105/a000146}, doi = {10.1027/1864-1105/A000146}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/zmp/CooperTOE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/ShiZCBJGZYR15, author = {Runyu Shi and Jia Zhang and Wenjing Chu and Qihao Bao and Xiatao Jin and Chenran Gong and Qihao Zhu and Chang Yu and Steven Rosenberg}, title = {{MDP} and Machine Learning-Based Cost-Optimization of Dynamic Resource Allocation for Network Function Virtualization}, booktitle = {2015 {IEEE} International Conference on Services Computing, {SCC} 2015, New York City, NY, USA, June 27 - July 2, 2015}, pages = {65--73}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SCC.2015.19}, doi = {10.1109/SCC.2015.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/ShiZCBJGZYR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/NiE15, author = {Guangjian Ni and Stephen J. Elliott}, title = {Change of cochlear micromechanics due to different types of hearing loss}, booktitle = {15th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2015, Belgrade, Serbia, November 2-4, 2015}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBE.2015.7367683}, doi = {10.1109/BIBE.2015.7367683}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/NiE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HollisKW15, author = {Victoria Hollis and Artie Konrad and Steve Whittaker}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {Change of Heart: Emotion Tracking to Promote Behavior Change}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {2643--2652}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702196}, doi = {10.1145/2702123.2702196}, timestamp = {Thu, 14 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HollisKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/ZhaoML15, author = {Changhong Zhao and Enrique Mallada and Steven H. Low}, title = {Distributed generator and load-side secondary frequency control in power networks}, booktitle = {49th Annual Conference on Information Sciences and Systems, {CISS} 2015, Baltimore, MD, USA, March 18-20, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CISS.2015.7086825}, doi = {10.1109/CISS.2015.7086825}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ciss/ZhaoML15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/SonZL15, author = {Chang{-}Hwan Son and Xiao{-}Ping (Steven) Zhang and Kang{-}Woo Lee}, title = {Near-infrared coloring via a contrast-preserving mapping model}, booktitle = {2015 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2015, Orlando, FL, USA, December 14-16, 2015}, pages = {677--681}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GlobalSIP.2015.7418282}, doi = {10.1109/GLOBALSIP.2015.7418282}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globalsip/SonZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangB15, author = {Hongfei Wang and Steven D. Blostein}, title = {Communication-Efficient Decentralized Change Detection for Cognitive Wireless Networks}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417236}, doi = {10.1109/GLOCOM.2014.7417236}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChangW15, author = {Shuo{-}Yiin Chang and Steven Wegmann}, title = {On the importance of modeling and robustness for deep neural network feature}, booktitle = {2015 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia, April 19-24, 2015}, pages = {4530--4534}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICASSP.2015.7178828}, doi = {10.1109/ICASSP.2015.7178828}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChangW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WolfeDAGTB15, author = {Robert E. Wolfe and Brian Duggan and Steven M. Aulenbach and Justin C. Goldstein and Curt Tilmes and Andrew Buddenberg}, title = {Providing provenance to instruments through the {US} global change information system}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {143--145}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7325719}, doi = {10.1109/IGARSS.2015.7325719}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WolfeDAGTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/SzekelyKSPSYKNM15, author = {Pedro A. Szekely and Craig A. Knoblock and Jason Slepicka and Andrew Philpot and Amandeep Singh and Chengye Yin and Dipsy Kapoor and Prem Natarajan and Daniel Marcu and Kevin Knight and David Stallard and Subessware S. Karunamoorthy and Rajagopal Bojanapalli and Steven Minton and Brian Amanatullah and Todd Hughes and Mike Tamayo and David Flynt and Rachel Artiss and Shih{-}Fu Chang and Tao Chen and Gerald Hiebel and Lidia Silva Ferreira}, editor = {Marcelo Arenas and {\'{O}}scar Corcho and Elena Simperl and Markus Strohmaier and Mathieu d'Aquin and Kavitha Srinivas and Paul Groth and Michel Dumontier and Jeff Heflin and Krishnaprasad Thirunarayan and Steffen Staab}, title = {Building and Using a Knowledge Graph to Combat Human Trafficking}, booktitle = {The Semantic Web - {ISWC} 2015 - 14th International Semantic Web Conference, Bethlehem, PA, USA, October 11-15, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9367}, pages = {205--221}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25010-6\_12}, doi = {10.1007/978-3-319-25010-6\_12}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semweb/SzekelyKSPSYKNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/SzekelyKSYPSKNM15, author = {Pedro A. Szekely and Craig A. Knoblock and Jason Slepicka and Chengye Yin and Andrew Philpot and Amandeep Singh and Dipsy Kapoor and Prem Natarajan and Daniel Marcu and Kevin Knight and David Stallard and Subessware S. Karunamoorthy and Rajagopal Bojanapalli and Steven Minton and Brian Amanatullah and Todd Hughes and Mike Tamayo and David Flynt and Rachel Artiss and Shih{-}Fu Chang and Tao Chen and Gerald Hiebel and Lidia Silva Ferreira}, editor = {Serena Villata and Jeff Z. Pan and Mauro Dragoni}, title = {Using a Knowledge Graph to Combat Human Trafficking}, booktitle = {Proceedings of the {ISWC} 2015 Posters {\&} Demonstrations Track co-located with the 14th International Semantic Web Conference (ISWC-2015), Bethlehem, PA, USA, October 11, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1486}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1486/paper\_88.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:04 +0100}, biburl = {https://dblp.org/rec/conf/semweb/SzekelyKSYPSKNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sitis/MoanF15, author = {Steven Le Moan and Ivar Farup}, editor = {Kokou Y{\'{e}}tongnon and Albert Dipanda}, title = {Exploiting Change Blindness for Image Compression}, booktitle = {11th International Conference on Signal-Image Technology {\&} Internet-Based Systems, {SITIS} 2015, Bangkok, Thailand, November 23-27, 2015}, pages = {89--95}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SITIS.2015.20}, doi = {10.1109/SITIS.2015.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sitis/MoanF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/DowHLTTWWYJCHHH15, author = {Hsu{-}Kang Dow and Ching{-}Hua Huang and Chun{-}Hung Lai and Kai{-}Hsiang Tsao and Sheng{-}Chih Tseng and Kun{-}Yi Wu and Ting{-}Hsuan Wu and Ho{-}Chun Yang and Da{-}Jing Zhang{-}Jian and Yun{-}Nan Chang and Steve Haga and Shen{-}Fu Hsiao and Ing{-}Jer Huang and Shiann{-}Rong Kuang and Chung{-}Nan Lee}, title = {An OpenGL {ES} 2.0 3D graphics SoC with versatile {HW/SW} development support}, booktitle = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-DAT.2015.7114496}, doi = {10.1109/VLSI-DAT.2015.7114496}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/DowHLTTWWYJCHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/HussainSSODCBVC15, author = {Talib S. Hussain and Richard Shapiro and Steve R. Sommer and Nicole Ogden and John Dea and John Collins and Julia Baum and Adan E. Vela and Allison Chang}, title = {Robust and flexible air mobility scheduling using stochastic simulation-based assessment}, booktitle = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, pages = {2354--2365}, publisher = {{IEEE/ACM}}, year = {2015}, url = {https://doi.org/10.1109/WSC.2015.7408347}, doi = {10.1109/WSC.2015.7408347}, timestamp = {Thu, 10 Jun 2021 22:19:03 +0200}, biburl = {https://dblp.org/rec/conf/wsc/HussainSSODCBVC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/DugganTAWGM15, author = {Brian Duggan and Curt Tilmes and Steven M. Aulenbach and Robert E. Wolfe and Justin C. Goldstein and Gerald Manipon}, editor = {Christian Bizer and S{\"{o}}ren Auer and Tim Berners{-}Lee and Tom Heath}, title = {Normalizing Resource Identifiers using Lexicons in the Global Change Information System}, booktitle = {Proceedings of the Workshop on Linked Data on the Web, {LDOW} 2015, co-located with the 24th International World Wide Web Conference {(WWW} 2015), Florence, Italy, May 19th, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1409}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1409/paper-12.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:22 +0100}, biburl = {https://dblp.org/rec/conf/www/DugganTAWGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KulkarniAPS15, author = {Vivek Kulkarni and Rami Al{-}Rfou and Bryan Perozzi and Steven Skiena}, editor = {Aldo Gangemi and Stefano Leonardi and Alessandro Panconesi}, title = {Statistically Significant Detection of Linguistic Change}, booktitle = {Proceedings of the 24th International Conference on World Wide Web, {WWW} 2015, Florence, Italy, May 18-22, 2015}, pages = {625--635}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2736277.2741627}, doi = {10.1145/2736277.2741627}, timestamp = {Tue, 27 Dec 2022 09:06:31 +0100}, biburl = {https://dblp.org/rec/conf/www/KulkarniAPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SivaramanBCKLVB15, author = {Anirudh Sivaraman and Mihai Budiu and Alvin Cheung and Changhoon Kim and Steve Licking and George Varghese and Hari Balakrishnan and Mohammad Alizadeh and Nick McKeown}, title = {Packet Transactions: {A} Programming Model for Data-Plane Algorithms at Hardware Speed}, journal = {CoRR}, volume = {abs/1512.05023}, year = {2015}, url = {http://arxiv.org/abs/1512.05023}, eprinttype = {arXiv}, eprint = {1512.05023}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SivaramanBCKLVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/PeerLABRGVZOMV14, author = {Gert Van Peer and Steve Lefever and Jasper Anckaert and Anneleen Beckers and Ali Rihani and Alan Van Goethem and Pieter{-}Jan Volders and Fjoralba Zeka and Mat{\'{e}} Ongenaert and Pieter Mestdagh and Jo Vandesompele}, title = {miRBase Tracker: keeping track of microRNA annotation changes}, journal = {Database J. Biol. Databases Curation}, volume = {2014}, year = {2014}, url = {https://doi.org/10.1093/database/bau080}, doi = {10.1093/DATABASE/BAU080}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodb/PeerLABRGVZOMV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WangEBPSMSVHOKMNSCA14, author = {Chen Wang and Jared Evans and Aditya Bhagwate and Naresh Prodduturi and Vivekananda Sarangi and Mridu Middha and Hugues Sicotte and Peter T. Vedell and Steven N. Hart and Gavin R. Oliver and Jean{-}Pierre A. Kocher and Matthew J. Maurer and Anne J. Novak and Susan L. Slager and James R. Cerhan and Yan W. Asmann}, title = {PatternCNV: a versatile tool for detecting copy number changes from exome sequencing data}, journal = {Bioinform.}, volume = {30}, number = {18}, pages = {2678--2680}, year = {2014}, url = {https://doi.org/10.1093/bioinformatics/btu363}, doi = {10.1093/BIOINFORMATICS/BTU363}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WangEBPSMSVHOKMNSCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiuHKWL14, author = {Qian Liu and Steven C. H. Hoi and Chee Keong Kwoh and Limsoon Wong and Jinyan Li}, title = {Integrating water exclusion theory into {\(\beta\)}contacts to predict binding free energy changes and binding hot spots}, journal = {{BMC} Bioinform.}, volume = {15}, pages = {57}, year = {2014}, url = {https://doi.org/10.1186/1471-2105-15-57}, doi = {10.1186/1471-2105-15-57}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LiuHKWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cryptologia/ChangLS14, author = {Kelly Chang and Richard M. Low and Mark Stamp}, title = {Cryptanalysis of Typex}, journal = {Cryptologia}, volume = {38}, number = {2}, pages = {116--132}, year = {2014}, url = {https://doi.org/10.1080/01611194.2013.797051}, doi = {10.1080/01611194.2013.797051}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cryptologia/ChangLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/BaoC14, author = {Tong Bao and Tung{-}lung Steven Chang}, title = {Why Amazon uses both the New York Times Best Seller List and customer reviews: An empirical study of multiplier effects on product sales from multiple earned media}, journal = {Decis. Support Syst.}, volume = {67}, pages = {1--8}, year = {2014}, url = {https://doi.org/10.1016/j.dss.2014.07.004}, doi = {10.1016/J.DSS.2014.07.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/BaoC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/BaoC14a, author = {Tong Bao and Tung{-}lung Steven Chang}, title = {Finding disseminators via electronic word of mouth message for effective marketing communications}, journal = {Decis. Support Syst.}, volume = {67}, pages = {21--29}, year = {2014}, url = {https://doi.org/10.1016/j.dss.2014.07.006}, doi = {10.1016/J.DSS.2014.07.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/BaoC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcc/BittermanCBHLCGZCLR14, author = {Thomas Bitterman and Prasad Calyam and Alex Berryman and David E. Hudak and Lin Li and Alan Chalker and Steve Gordon and Da Zhang and Da Cai and Changpil Lee and Rajiv Ramnath}, title = {Simulation as a service (SMaaS): a cloud-based framework to support the educational use of scientific software}, journal = {Int. J. Cloud Comput.}, volume = {3}, number = {2}, pages = {177--190}, year = {2014}, url = {https://doi.org/10.1504/IJCC.2014.062272}, doi = {10.1504/IJCC.2014.062272}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcc/BittermanCBHLCGZCLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiKJEM14, author = {Shengzhe Li and Hakil Kim and Changlong Jin and Stephen J. Elliott and Mingjie Ma}, title = {Assessing the level of difficulty of fingerprint datasets based on relative quality measures}, journal = {Inf. Sci.}, volume = {268}, pages = {122--132}, year = {2014}, url = {https://doi.org/10.1016/j.ins.2013.05.025}, doi = {10.1016/J.INS.2013.05.025}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiKJEM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmc/GalbraithZ14, author = {Steven D. Galbraith and Chang{-}An Zhao}, title = {Erratum Self-pairings on hyperelliptic curves {[J.} Math. Cryptol. 7(2013), 31-42]}, journal = {J. Math. Cryptol.}, volume = {8}, number = {1}, pages = {93}, year = {2014}, url = {https://doi.org/10.1515/jmc-2013-5010}, doi = {10.1515/JMC-2013-5010}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmc/GalbraithZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/libt/Witt14, author = {Steven W. Witt}, title = {Agents of Change: The Rise of International Librarianship and the Age of Globalization}, journal = {Libr. Trends}, volume = {62}, number = {3}, pages = {504--518}, year = {2014}, url = {https://doi.org/10.1353/lib.2014.0006}, doi = {10.1353/LIB.2014.0006}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/libt/Witt14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BalasubramonianCMMMNS14, author = {Rajeev Balasubramonian and Jichuan Chang and Troy Manning and Jaime H. Moreno and Richard Murphy and Ravi Nair and Steven Swanson}, title = {Near-Data Processing: Insights from a {MICRO-46} Workshop}, journal = {{IEEE} Micro}, volume = {34}, number = {4}, pages = {36--42}, year = {2014}, url = {https://doi.org/10.1109/MM.2014.55}, doi = {10.1109/MM.2014.55}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/BalasubramonianCMMMNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/TsaiHHLHCSL14, author = {Ming{-}Yi Tsai and P. S. Huang and C. Y. Huang and P. C. Lin and Lawrence Huang and Michael Chang and Steven Shih and J. P. Lin}, title = {An investigation into warpages, stresses and keep-out zone in 3D through-silicon-via {DRAM} packages}, journal = {Microelectron. Reliab.}, volume = {54}, number = {12}, pages = {2898--2904}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2014.08.017}, doi = {10.1016/J.MICROREL.2014.08.017}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/TsaiHHLHCSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiuWL14, author = {Zhong{-}Xu Liu and Steven Woltering and Marc D. Lewis}, title = {Developmental change in {EEG} theta activity in the medial prefrontal cortex during response control}, journal = {NeuroImage}, volume = {85}, pages = {873--887}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2013.08.054}, doi = {10.1016/J.NEUROIMAGE.2013.08.054}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LiuWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ns/Mansfield-Devine14b, author = {Steve Mansfield{-}Devine}, title = {Not coping with change}, journal = {Netw. Secur.}, volume = {2014}, number = {8}, pages = {14--17}, year = {2014}, url = {https://doi.org/10.1016/S1353-4858(14)70081-9}, doi = {10.1016/S1353-4858(14)70081-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ns/Mansfield-Devine14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/ZelezniakSP14, author = {Aleksej Zelezniak and Steven Sheridan and Kiran Raosaheb Patil}, title = {Contribution of Network Connectivity in Determining the Relationship between Gene Expression and Metabolite Concentration Changes}, journal = {PLoS Comput. Biol.}, volume = {10}, number = {4}, year = {2014}, url = {https://doi.org/10.1371/journal.pcbi.1003572}, doi = {10.1371/JOURNAL.PCBI.1003572}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/ZelezniakSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FraserOKLLBWS14, author = {Robert H. Fraser and Ian Olthof and Steven V. Kokelj and Trevor C. Lantz and Denis Lacelle and Alexander Brooker and Stephen Wolfe and Steve Schwarz}, title = {Detecting Landscape Changes in High Latitude Environments Using Landsat Trend Analysis: 1. Visualization}, journal = {Remote. Sens.}, volume = {6}, number = {11}, pages = {11533--11557}, year = {2014}, url = {https://doi.org/10.3390/rs61111533}, doi = {10.3390/RS61111533}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/FraserOKLLBWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ZhaoTLL14, author = {Changhong Zhao and Ufuk Topcu and Na Li and Steven H. Low}, title = {Design and Stability of Load-Side Primary Frequency Control in Power Systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {59}, number = {5}, pages = {1177--1189}, year = {2014}, url = {https://doi.org/10.1109/TAC.2014.2298140}, doi = {10.1109/TAC.2014.2298140}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tac/ZhaoTLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LiHCLJ14, author = {Guangxia Li and Steven C. H. Hoi and Kuiyu Chang and Wenting Liu and Ramesh C. Jain}, title = {Collaborative Online Multitask Learning}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {26}, number = {8}, pages = {1866--1876}, year = {2014}, url = {https://doi.org/10.1109/TKDE.2013.139}, doi = {10.1109/TKDE.2013.139}, timestamp = {Sat, 26 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/LiHCLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ZhangLHTM014, author = {Xiaoqin Zhang and Changcheng Li and Weiming Hu and Xiaofeng Tong and Steve J. Maybank and Yimin Zhang}, title = {Human Pose Estimation and Tracking via Parsing a Tree Structure Based Human Model}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {44}, number = {5}, pages = {580--592}, year = {2014}, url = {https://doi.org/10.1109/TSMC.2013.2280438}, doi = {10.1109/TSMC.2013.2280438}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/ZhangLHTM014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/HarrisonYFC14, author = {Lane Harrison and Fumeng Yang and Steven Franconeri and Remco Chang}, title = {Ranking Visualizations of Correlation Using Weber's Law}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {20}, number = {12}, pages = {1943--1952}, year = {2014}, url = {https://doi.org/10.1109/TVCG.2014.2346979}, doi = {10.1109/TVCG.2014.2346979}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/HarrisonYFC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/ShanWCFHS14, author = {Qi Shan and Changchang Wu and Brian Curless and Yasutaka Furukawa and Carlos Hern{\'{a}}ndez and Steven M. Seitz}, title = {Accurate Geo-Registration by Ground-to-Aerial Image Matching}, booktitle = {2nd International Conference on 3D Vision, 3DV 2014, Tokyo, Japan, December 8-11, 2014, Volume 1}, pages = {525--532}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/3DV.2014.69}, doi = {10.1109/3DV.2014.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dim/ShanWCFHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-codeswitch/SolorioBMBDGHAH14, author = {Thamar Solorio and Elizabeth Blair and Suraj Maharjan and Steven Bethard and Mona T. Diab and Mahmoud Ghoneim and Abdelati Hawwari and Fahad AlGhamdi and Julia Hirschberg and Alison Chang and Pascale Fung}, editor = {Mona T. Diab and Julia Hirschberg and Pascale Fung and Thamar Solorio}, title = {Overview for the First Shared Task on Language Identification in Code-Switched Data}, booktitle = {Proceedings of the First Workshop on Computational Approaches to Code Switching@EMNLP 2014, Doha, Qatar, October 25, 2014}, pages = {62--72}, publisher = {Association for Computational Linguistics}, year = {2014}, url = {https://doi.org/10.3115/v1/W14-3907}, doi = {10.3115/V1/W14-3907}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-codeswitch/SolorioBMBDGHAH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/MoodyBRAL14, author = {Daniela I. Moody and Steven P. Brumby and Joel C. Rowland and Garrett L. Altmann and Amy E. Larson}, title = {Change detection and classification of land cover in multispectral satellite imagery using clustering of sparse approximations (CoSA) over learned feature dictionaries}, booktitle = {2014 {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2014, Washington, DC, USA, October 14-16, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AIPR.2014.7041921}, doi = {10.1109/AIPR.2014.7041921}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aipr/MoodyBRAL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/MalladaZL14, author = {Enrique Mallada and Changhong Zhao and Steven H. Low}, title = {Optimal load-side control for frequency regulation in smart grids}, booktitle = {52nd Annual Allerton Conference on Communication, Control, and Computing, Allerton 2014, Allerton Park {\&} Retreat Center, Monticello, IL, USA, September 30 - October 3, 2014}, pages = {731--738}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ALLERTON.2014.7028527}, doi = {10.1109/ALLERTON.2014.7028527}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/allerton/MalladaZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiCZL14, author = {Na Li and Lijun Chen and Changhong Zhao and Steven H. Low}, title = {Connecting automatic generation control and economic dispatch from an optimization view}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {735--740}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6859060}, doi = {10.1109/ACC.2014.6859060}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LiCZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZhaoL14, author = {Changhong Zhao and Steven H. Low}, title = {Optimal decentralized primary frequency control in power networks}, booktitle = {53rd {IEEE} Conference on Decision and Control, {CDC} 2014, Los Angeles, CA, USA, December 15-17, 2014}, pages = {2467--2473}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CDC.2014.7039765}, doi = {10.1109/CDC.2014.7039765}, timestamp = {Fri, 04 Mar 2022 13:29:15 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ZhaoL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chiplay/AssiganaCCKLTZC14, author = {Etaba Assigana and Eric Chang and Seungsuk Cho and Vivek Kotecha and Bing Liu and Hannah Turner and Yan Zhang and Michael G. Christel and Scott M. Stevens}, editor = {Lennart E. Nacke and T. C. Nicholas Graham}, title = {{TF-CBT} triangle of life: a game to help with cognitive behavioral therapy}, booktitle = {Proceedings of the first {ACM} {SIGCHI} annual symposium on Computer-human interaction in play, Toronto, ON, Canada, October 19 - 22, 2014}, pages = {9--16}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2658537.2658684}, doi = {10.1145/2658537.2658684}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chiplay/AssiganaCCKLTZC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csedu/Wheeler14, author = {Steve Wheeler}, editor = {Susan Zvacek and Maria Teresa Restivo and James Onohuome Uhomoibhi and Markus Helfert}, title = {Digital Age Learning - The Changing Face of Online Education}, booktitle = {{CSEDU} 2014 - Proceedings of the 6th International Conference on Computer Supported Education, Volume 1, Barcelona, Spain, 1-3 April, 2014}, pages = {IS--7}, publisher = {SciTePress}, year = {2014}, timestamp = {Mon, 22 Sep 2014 12:08:05 +0200}, biburl = {https://dblp.org/rec/conf/csedu/Wheeler14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/PaulsGST14, author = {Jo P. Pauls and Shaun D. Gregory and Michael C. Stevens and Geoff Tansley}, title = {In-vitro evaluation of physiological controller response of rotary blood pumps to changes in patient state}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {294--297}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943587}, doi = {10.1109/EMBC.2014.6943587}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/PaulsGST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/VucinicWGMBFMBXSB14, author = {Dejan Vucinic and Qingbo Wang and Cyril Guyot and Robert Mateescu and Filip Blagojevic and Luiz Franca{-}Neto and Damien Le Moal and Trevor Bunker and Jian Xu and Steven Swanson and Zvonimir Bandic}, editor = {Bianca Schroeder and Eno Thereska}, title = {{DC} express: shortest latency protocol for reading phase change memory over {PCI} express}, booktitle = {Proceedings of the 12th {USENIX} conference on File and Storage Technologies, {FAST} 2014, Santa Clara, CA, USA, February 17-20, 2014}, pages = {309--315}, publisher = {{USENIX}}, year = {2014}, url = {https://www.usenix.org/conference/fast14/technical-sessions/presentation/vucinic}, timestamp = {Tue, 02 Feb 2021 08:06:31 +0100}, biburl = {https://dblp.org/rec/conf/fast/VucinicWGMBFMBXSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuhKS0BK14, author = {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler}, editor = {Utpal Banerjee}, title = {Author retrospective for a {NUCA} substrate for flexible {CMP} cache sharing}, booktitle = {{ACM} International Conference on Supercomputing 25th Anniversary Volume}, pages = {74--76}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591635.2591667}, doi = {10.1145/2591635.2591667}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuhKS0BK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ArztB14, author = {Steven Arzt and Eric Bodden}, editor = {Pankaj Jalote and Lionel C. Briand and Andr{\'{e}} van der Hoek}, title = {Reviser: efficiently updating IDE-/IFDS-based data-flow analyses in response to incremental program changes}, booktitle = {36th International Conference on Software Engineering, {ICSE} '14, Hyderabad, India - May 31 - June 07, 2014}, pages = {288--298}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2568225.2568243}, doi = {10.1145/2568225.2568243}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/ArztB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/FaltB14, author = {James Falt and Steven D. Blostein}, title = {A Bayesian approach to two-sided quickest change detection}, booktitle = {2014 {IEEE} International Symposium on Information Theory, Honolulu, HI, USA, June 29 - July 4, 2014}, pages = {736--740}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISIT.2014.6874930}, doi = {10.1109/ISIT.2014.6874930}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/FaltB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HuertaLMBKSSPCZPDZ14, author = {Juan M. Huerta and Jonathan Lenaghan and Steve Milton and Kevin Brackney and Amol Kapila and Roman Shraga and Rachit Srivastava and Carmem Domingues Khoa Pham and Eliza Chang and Susan Zhang and Evan Picard and Alexandra Dango and Ranqi Zhu}, editor = {Esin Saka and Dou Shen and Kuang{-}chih Lee and Ying Li}, title = {The PlaceIQ Analytic Platform: Location Oriented Approaches to Mobile Audiences}, booktitle = {Proceedings of the Eighth International Workshop on Data Mining for Online Advertising, {ADKDD} 2014, August 24, 2014, New York City, New York, {USA}}, pages = {4:1--4:9}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2648584.2648588}, doi = {10.1145/2648584.2648588}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HuertaLMBKSSPCZPDZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/LaiCLKH14, author = {Zhao{-}Rong Lai and Che{-}Wei Chang and Xue Liu and Tei{-}Wei Kuo and Pi{-}Cheng Hsiu}, title = {Deadline-aware load balancing for MapReduce}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910551}, doi = {10.1109/RTCSA.2014.6910551}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/LaiCLKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scam/RaemaekersDV14, author = {Steven Raemaekers and Arie van Deursen and Joost Visser}, title = {Semantic Versioning versus Breaking Changes: {A} Study of the Maven Repository}, booktitle = {14th {IEEE} International Working Conference on Source Code Analysis and Manipulation, {SCAM} 2014, Victoria, BC, Canada, September 28-29, 2014}, pages = {215--224}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SCAM.2014.30}, doi = {10.1109/SCAM.2014.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scam/RaemaekersDV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wecwis/Alter14a, author = {Steven Alter}, title = {Engineering Enterprises for Emergent Change}, booktitle = {{IEEE} 16th Conference on Business Informatics, {CBI} 2014, Geneva, Switzerland, July 14-17, 2014 - Volume 2}, pages = {113--123}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CBI.2014.35}, doi = {10.1109/CBI.2014.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wecwis/Alter14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/cn/Prescott14c, author = {Steven A. Prescott}, editor = {Dieter Jaeger and Ranu Jung}, title = {Pathological Changes in Peripheral Nerve Excitability}, booktitle = {Encyclopedia of Computational Neuroscience}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-7320-6\_748-1}, doi = {10.1007/978-1-4614-7320-6\_748-1}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/cn/Prescott14c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/snam/LiCH14, author = {Guangxia Li and Kuiyu Chang and Steven C. H. Hoi}, title = {Twitter Microblog Sentiment Analysis}, booktitle = {Encyclopedia of Social Network Analysis and Mining}, pages = {2253--2259}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-6170-8\_265}, doi = {10.1007/978-1-4614-6170-8\_265}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/snam/LiCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KulkarniAPS14a, author = {Vivek Kulkarni and Rami Al{-}Rfou and Bryan Perozzi and Steven Skiena}, title = {Statistically Significant Detection of Linguistic Change}, journal = {CoRR}, volume = {abs/1411.3315}, year = {2014}, url = {http://arxiv.org/abs/1411.3315}, eprinttype = {arXiv}, eprint = {1411.3315}, timestamp = {Thu, 30 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KulkarniAPS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MalladaZL14, author = {Enrique Mallada and Changhong Zhao and Steven H. Low}, title = {Optimal load-side control for frequency regulation in smart grids}, journal = {CoRR}, volume = {abs/1410.2931}, year = {2014}, url = {http://arxiv.org/abs/1410.2931}, eprinttype = {arXiv}, eprint = {1410.2931}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MalladaZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhaoL14, author = {Changhong Zhao and Steven H. Low}, title = {Decentralized Primary Frequency Control in Power Networks}, journal = {CoRR}, volume = {abs/1403.6046}, year = {2014}, url = {http://arxiv.org/abs/1403.6046}, eprinttype = {arXiv}, eprint = {1403.6046}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhaoL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/GuldenmundDBBVBGNBBLS13, author = {Pieter Guldenmund and Athena Demertzi and Pierre Boveroux and M{\'{e}}lanie Boly and Audrey Vanhaudenhuyse and Marie{-}Aur{\'{e}}lie Bruno and Olivia Gosseries and Quentin Noirhomme and Jean{-}Fran{\c{c}}ois Brichant and Vincent Bonhomme and Steven Laureys and Andrea Soddu}, title = {Thalamus, Brainstem and Salience Network Connectivity Changes During Propofol-Induced Sedation and Unconsciousness}, journal = {Brain Connect.}, volume = {3}, number = {3}, pages = {273--285}, year = {2013}, url = {https://doi.org/10.1089/brain.2012.0117}, doi = {10.1089/BRAIN.2012.0117}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/brain/GuldenmundDBBVBGNBBLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ZhaoLHSXHH13, author = {Laijun Zhao and Changmin Li and Rongbing Huang and Steven Si and Jian Xue and Wei Huang and Yue Hu}, title = {Harmonizing model with transfer tax on water pollution across regional boundaries in a China's lake basin}, journal = {Eur. J. Oper. Res.}, volume = {225}, number = {2}, pages = {377--382}, year = {2013}, url = {https://doi.org/10.1016/j.ejor.2012.10.002}, doi = {10.1016/J.EJOR.2012.10.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ZhaoLHSXHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PettitWBARMSHCEBA13, author = {Christopher James Pettit and Steve Williams and Ian D. Bishop and Jean{-}Philippe Aurambout and A. B. M. Russel and Anthony Michael and Subhash Sharma and David Hunter and Pang Choung Chan and Colin Enticott and Ann Borda and David Abramson}, title = {Building an ecoinformatics platform to support climate change adaptation in Victoria}, journal = {Future Gener. Comput. Syst.}, volume = {29}, number = {2}, pages = {624--640}, year = {2013}, url = {https://doi.org/10.1016/j.future.2011.07.004}, doi = {10.1016/J.FUTURE.2011.07.004}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/PettitWBARMSHCEBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/CarnesCDFFGKLMMNNORRSVRGGKMRW13, author = {Brian Carnes and Bor Chan and Erik W. Draeger and Jean{-}Luc Fattebert and Larry Fried and James N. Glosli and William D. Krauss and Steve H. Langer and Rose McCallen and Arthur A. Mirin and Fady Najjar and Albert L. Nichols and Tomas Oppelstrup and James A. Rathkopf and David F. Richards and Frederick H. Streitz and Pavlos Vranas and John Jeremy Rice and John A. Gunnels and Viatcheslav Gurev and Changhoan Kim and John Magerlein and Matthias Reumann and Hui{-}Fang Wen}, title = {Science at {LLNL} with {IBM} Blue Gene/Q}, journal = {{IBM} J. Res. Dev.}, volume = {57}, number = {1/2}, pages = {11}, year = {2013}, url = {https://doi.org/10.1147/JRD.2012.2233371}, doi = {10.1147/JRD.2012.2233371}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/CarnesCDFFGKLMMNNORRSVRGGKMRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/DomanskiBGMHNTJCWVSKSMMGT13, author = {Luke Domanski and Tomasz Bednarz and Timur Gureyev and Lawrence Murray and Bevan Emma Huang and Yakov Nesterets and Darren Thompson and Emlyn Jones and Colin Cavanagh and Dadong Wang and Pascal Vallotton and Changming Sun and Alex Khassapov and Andrew W. Stevenson and Sheridan Mayo and Matthew Morell and Andrew W. George and John A. Taylor}, title = {Applications of heterogeneous computing in computational and simulation science}, journal = {Int. J. Comput. Sci. Eng.}, volume = {8}, number = {3}, pages = {240--252}, year = {2013}, url = {https://doi.org/10.1504/IJCSE.2013.055356}, doi = {10.1504/IJCSE.2013.055356}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/DomanskiBGMHNTJCWVSKSMMGT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijissc/CuaRC13, author = {Francisco Chia Cua and Steve Reames and Joe Choon Yean Chai}, title = {Relationships in Technological Processes: Finding the Common Ground between Diffusion of Innovations and Relationship Marketing Theories - {A} Case Study}, journal = {Int. J. Inf. Syst. Soc. Chang.}, volume = {4}, number = {2}, pages = {17--41}, year = {2013}, url = {https://doi.org/10.4018/jissc.2013040102}, doi = {10.4018/JISSC.2013040102}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijissc/CuaRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/UhligL13, author = {Steve Uhlig and F{\'{e}}lix Cuadrado Latasa}, title = {Recent Changes in the Internet Landscape}, journal = {it Inf. Technol.}, volume = {55}, number = {4}, pages = {133--138}, year = {2013}, url = {https://doi.org/10.1524/itit.2013.1004}, doi = {10.1524/ITIT.2013.1004}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/it/UhligL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmc/GalbraithZ13, author = {Steven D. Galbraith and Chang{-}An Zhao}, title = {Self-pairings on hyperelliptic curves}, journal = {J. Math. Cryptol.}, volume = {7}, number = {1}, pages = {31--42}, year = {2013}, url = {https://doi.org/10.1515/jmc-2012-0009}, doi = {10.1515/JMC-2012-0009}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmc/GalbraithZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/LeonardLKL13, author = {Carly J. Leonard and Javier Lopez{-}Calderon and Johanna Kreither and Steven J. Luck}, title = {Rapid Feature-driven Changes in the Attentional Window}, journal = {J. Cogn. Neurosci.}, volume = {25}, number = {7}, pages = {1100--1110}, year = {2013}, url = {https://doi.org/10.1162/jocn\_a\_00376}, doi = {10.1162/JOCN\_A\_00376}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/LeonardLKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orgsci/BednarBP13, author = {Michael K. Bednar and Steven Boivie and Nicholas R. Prince}, title = {Burr Under the Saddle: How Media Coverage Influences Strategic Change}, journal = {Organ. Sci.}, volume = {24}, number = {3}, pages = {910--925}, year = {2013}, url = {https://doi.org/10.1287/orsc.1120.0770}, doi = {10.1287/ORSC.1120.0770}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orgsci/BednarBP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/MontiLRBVGBNBL13, author = {Martin M. Monti and Evan S. Lutkenhoff and Mikail Rubinov and Pierre Boveroux and Audrey Vanhaudenhuyse and Olivia Gosseries and Marie{-}Aur{\'{e}}lie Bruno and Quentin Noirhomme and M{\'{e}}lanie Boly and Steven Laureys}, title = {Dynamic Change of Global and Local Information Processing in Propofol-Induced Loss and Recovery of Consciousness}, journal = {PLoS Comput. Biol.}, volume = {9}, number = {10}, year = {2013}, url = {https://doi.org/10.1371/journal.pcbi.1003271}, doi = {10.1371/JOURNAL.PCBI.1003271}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/MontiLRBVGBNBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/GardnerJBC13, author = {Carl L. Gardner and Jeremiah R. Jones and Steven M. Baer and Shaojie Chang}, title = {Simulation of the Ephaptic Effect in the Cone-Horizontal Cell Synapse of the Retina}, journal = {{SIAM} J. Appl. Math.}, volume = {73}, number = {2}, pages = {636--648}, year = {2013}, url = {https://doi.org/10.1137/120878409}, doi = {10.1137/120878409}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamam/GardnerJBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenCL13, author = {Xi Chen and Xiao{-}Wen Chang and Xue Liu}, title = {SyRaFa: Synchronous Rate and Frequency Adjustment for Utilization Control in Distributed Real-Time Embedded Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {24}, number = {5}, pages = {1052--1061}, year = {2013}, url = {https://doi.org/10.1109/TPDS.2012.205}, doi = {10.1109/TPDS.2012.205}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeKCLCKBS13, author = {Yoonmyung Lee and Daeyeon Kim and Jin Cai and Isaac Lauer and Leland Chang and Steven J. Koester and David T. Blaauw and Dennis Sylvester}, title = {Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs)}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {9}, pages = {1632--1643}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2213103}, doi = {10.1109/TVLSI.2012.2213103}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeKCLCKBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmiccc/ChangLCYY13, author = {Donald C. D. Chang and Joe Lee and Steve K. Chen and Hen{-}Geul Yeh and Kung Yao}, title = {Secured Utility Bill Data Storage via Wavefront-Multiplexing}, booktitle = {2013 The Second International Conference on Innovative Computing and Cloud Computing, {ICCC} '13, Wuhan, China - December 01 - 02, 2013}, pages = {193}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2556871.2556913}, doi = {10.1145/2556871.2556913}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/acmiccc/ChangLCYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agiledc/NeelyS13, author = {Steve Neely and Steve Stolt}, title = {Continuous Delivery? Easy! Just Change Everything (Well, Maybe It Is Not That Easy)}, booktitle = {2013 Agile Conference, {AGILE} 2013, Nashville, TN, USA, August 5-9, 2013}, pages = {121--128}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AGILE.2013.17}, doi = {10.1109/AGILE.2013.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/agiledc/NeelyS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/StevensonRH13, author = {Claire E. Stevenson and Wilma C. M. Resing and Willem J. Heiser}, editor = {Erin Walker and Chee{-}Kit Looi}, title = {Individual differences in the effect of feedback on children's change in analogical reasoning}, booktitle = {Proceedings of the Workshops at the 16th International Conference on Artificial Intelligence in Education {AIED} 2013, Memphis, USA, July 9-13, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1009}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1009/0806.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:42 +0100}, biburl = {https://dblp.org/rec/conf/aied/StevensonRH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HarrisonSFLC13, author = {Lane Harrison and Drew Skau and Steven Franconeri and Aidong Lu and Remco Chang}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Influencing visual judgment through affective priming}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {2949--2958}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654.2481410}, doi = {10.1145/2470654.2481410}, timestamp = {Tue, 01 Jun 2021 15:21:42 +0200}, biburl = {https://dblp.org/rec/conf/chi/HarrisonSFLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudnet/ZhuHY13, author = {He Zhu and Changcheng Huang and James Yan}, editor = {Xiaoming Fu and Puneet Sharma and Dijiang Huang and Deep Medhi}, title = {Vulnerability evaluation for securely offloading mobile apps in the cloud}, booktitle = {{IEEE} 2nd International Conference on Cloud Networking, CloudNet 2013, San Francisco, CA, USA, November 11-13, 2013}, pages = {108--116}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CloudNet.2013.6710564}, doi = {10.1109/CLOUDNET.2013.6710564}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudnet/ZhuHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChangRFW13, author = {Ya{-}Ning Chang and Matthew A. Lambon Ralph and Steve B. Furber and Stephen R. Welbourne}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {Modelling Graded Semantic Effects in Lexical Decision}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://escholarship.org/uc/item/2rh366s1}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChangRFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChangRFW13a, author = {Ya{-}Ning Chang and Matthew A. Lambon Ralph and Steve B. Furber and Stephen R. Welbourne}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {Modelling Word and Object Naming in Pure Alexia}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0717/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChangRFW13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanLKT13, author = {Jianzhou Yan and Stephen Lin and Sing Bing Kang and Xiaoou Tang}, title = {Learning the Change for Automatic Image Cropping}, booktitle = {2013 {IEEE} Conference on Computer Vision and Pattern Recognition, Portland, OR, USA, June 23-28, 2013}, pages = {971--978}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CVPR.2013.130}, doi = {10.1109/CVPR.2013.130}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/YanLKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dest/DillonCW13, author = {Tharam S. Dillon and Elizabeth Chang and Steve Wallis}, title = {Cyber-physical systems and cloud ecosystems keynote paper}, booktitle = {7th {IEEE} International Conference on Digital Ecosystems and Technologies, {DEST} 2013, Menlo Park, CA, USA, July 24-26, 2013}, pages = {183--188}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DEST.2013.6611351}, doi = {10.1109/DEST.2013.6611351}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dest/DillonCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangLYLCY13, author = {Donald C. D. Chang and Joe Lee and Hen{-}Geul Yeh and Frank Lu and Steve K. Chen and Kung Yao}, title = {Secured data storage on cloud systems via wavefront multiplexing}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {494--499}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOMW.2013.6825036}, doi = {10.1109/GLOCOMW.2013.6825036}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChangLYLCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greencom/LeeL13, author = {Steven S. W. Lee and Kuang{-}Yi Li}, title = {Study of Dynamic Topology Change for Total Energy Consumption in Green {IP} Networks}, booktitle = {2013 {IEEE} International Conference on Green Computing and Communications (GreenCom) and {IEEE} Internet of Things (iThings) and {IEEE} Cyber, Physical and Social Computing (CPSCom), Beijing, China, August 20-23, 2013}, pages = {64--70}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GreenCom-iThings-CPSCom.2013.36}, doi = {10.1109/GREENCOM-ITHINGS-CPSCOM.2013.36}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/greencom/LeeL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/Stevens13, author = {Susan Hunt Stevens}, editor = {Aaron Marcus}, title = {How Gamification and Behavior Science Can Drive Social Change One Employee at a Time}, booktitle = {Design, User Experience, and Usability. Health, Learning, Playing, Cultural, and Cross-Cultural User Experience - Second International Conference, {DUXU} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8013}, pages = {597--601}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39241-2\_65}, doi = {10.1007/978-3-642-39241-2\_65}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/Stevens13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParthasarathiCCMW13, author = {Sree Hari Krishnan Parthasarathi and Shuo{-}Yiin Chang and Jordan Cohen and Nelson Morgan and Steven Wegmann}, title = {The blame game in meeting room {ASR:} An analysis of feature versus model errors in noisy and mismatched conditions}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {6758--6762}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6638970}, doi = {10.1109/ICASSP.2013.6638970}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParthasarathiCCMW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/AvestruzCKBL13, author = {Al{-}Thaddeus Avestruz and Arthur H. Chang and Shahriar Rohinton Khushrushahi and Arijit Banerjee and Steven B. Leeb}, title = {Single-sided {AC} magnetic fields for induction heating}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {5052--5057}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IECON.2013.6699954}, doi = {10.1109/IECON.2013.6699954}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/AvestruzCKBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imaging/MoanU13, author = {Steven Le Moan and Philipp Urban}, title = {Image Quality and Change of Illuminant: An Information-Theoretic Evaluation}, booktitle = {21st Color and Imaging Conference, {CIC} 2013, Albuquerque, New Mexico, USA, November 4-8, 2013}, pages = {102--107}, publisher = {Society for Imaging Science and Technology}, year = {2013}, url = {https://doi.org/10.2352/CIC.2013.21.1.art00018}, doi = {10.2352/CIC.2013.21.1.ART00018}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imaging/MoanU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HartBCGGHHJJKKMNRSSTQY13, author = {Jason Hart and Steve Butler and Hoyeol Cho and Yuefei Ge and Gregory Gruber and Dawei Huang and Changku Hwang and Daisy Jian and Timothy Johnson and Georgios K. Konstadinidis and Lance Kwong and Robert P. Masleid and Umesh Nawathe and Aparna Ramachandran and Yongning Sheng and Jinuk Luke Shin and Sebastian Turullols and Zuxu Qin and King C. Yen}, title = {3.6GHz 16-core {SPARC} SoC processor in 28nm}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {48--49}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487631}, doi = {10.1109/ISSCC.2013.6487631}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HartBCGGHHJJKKMNRSSTQY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msr/RaemaekersDV13, author = {Steven Raemaekers and Arie van Deursen and Joost Visser}, editor = {Thomas Zimmermann and Massimiliano Di Penta and Sunghun Kim}, title = {The maven repository dataset of metrics, changes, and dependencies}, booktitle = {Proceedings of the 10th Working Conference on Mining Software Repositories, {MSR} '13, San Francisco, CA, USA, May 18-19, 2013}, pages = {221--224}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MSR.2013.6624031}, doi = {10.1109/MSR.2013.6624031}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msr/RaemaekersDV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msr/RaemaekersNDV13, author = {Steven Raemaekers and Gabriela F. Nane and Arie van Deursen and Joost Visser}, editor = {Thomas Zimmermann and Massimiliano Di Penta and Sunghun Kim}, title = {Testing principles, current practices, and effects of change localization}, booktitle = {Proceedings of the 10th Working Conference on Mining Software Repositories, {MSR} '13, San Francisco, CA, USA, May 18-19, 2013}, pages = {257--266}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MSR.2013.6624037}, doi = {10.1109/MSR.2013.6624037}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msr/RaemaekersNDV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/TurnerBS13, author = {Ryan D. Turner and Steven Bottone and Clay J. Stanek}, editor = {Christopher J. C. Burges and L{\'{e}}on Bottou and Zoubin Ghahramani and Kilian Q. Weinberger}, title = {Online Variational Approximations to non-Exponential Family Change Point Models: With Application to Radar Tracking}, booktitle = {Advances in Neural Information Processing Systems 26: 27th Annual Conference on Neural Information Processing Systems 2013. Proceedings of a meeting held December 5-8, 2013, Lake Tahoe, Nevada, United States}, pages = {306--314}, year = {2013}, url = {https://proceedings.neurips.cc/paper/2013/hash/539fd53b59e3bb12d203f45a912eeaf2-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/TurnerBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigite/NordstromRBM13, author = {Steven Nordstrom and Arisoa S. Randrianasolo and Eddy C. Borera and Fortune S. Mhlanga}, editor = {William D. Armitage and Robert S. Friedman and Ken A. Baker}, title = {Winds of change: toward systemic improvement of a computer science program}, booktitle = {Proceedings of the 14th Annual {ACM} {SIGITE} Conference on Information Technology Education, {SIGITE} 2013, Orlando, FL, USA, October 10-12, 2013}, pages = {201--206}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2512276.2512298}, doi = {10.1145/2512276.2512298}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigite/NordstromRBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wse/FitzgeraldCPS13, author = {Guy Fitzgerald and Steve Counsell and Jason Peters and Steve Swift}, title = {An examination of a ripple effect in industrial web system change}, booktitle = {15th {IEEE} International Symposium on Web Systems Evolution, {WSE} 2013, Eindhoven, The Netherlands, September 27, 2013}, pages = {71--75}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WSE.2013.6642419}, doi = {10.1109/WSE.2013.6642419}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wse/FitzgeraldCPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AartsenA13, author = {Mark G. Aartsen and Rasha U. Abbasi and Markus Ackermann and Jenni Adams and Juan Antonio Aguilar S{\'{a}}nchez and Markus Ahlers and David Altmann and Carlos A. Arg{\"{u}}elles Delgado and Jan Auffenberg and Xinhua Bai and Michael F. Baker and Steven W. Barwick and Volker Baum and Ryan Bay and James J. Beatty and Julia K. Becker Tjus and Karl{-}Heinz Becker and Segev BenZvi and Patrick Berghaus and David Berley and Elisa Bernardini and Anna Bernhard and David Z. Besson and G. Binder and Daniel Bindig and Martin Bissok and Erik Blaufuss and Jan Blumenthal and David J. Boersma and Christian Bohm and Debanjan Bose and Sebastian B{\"{o}}ser and Olga Botner and Lionel Brayeur and Hans{-}Peter Bretz and Anthony M. Brown and Ronald Bruijn and James Casey and Martin Casier and Dmitry Chirkin and Asen Christov and Brian John Christy and Ken Clark and Lew Classen and Fabian Clevermann and Stefan Coenders and Shirit Cohen and Doug F. Cowen and Angel H. Cruz Silva and Matthias Danninger and Jacob Daughhetee and James C. Davis and Melanie Day and Catherine De Clercq and Sam De Ridder and Paolo Desiati and Krijn D. de Vries and Meike de With and Tyce DeYoung and Juan Carlos D{\'{\i}}az{-}V{\'{e}}lez and Matthew Dunkman and Ryan Eagan and Benjamin Eberhardt and Bj{\"{o}}rn Eichmann and Jonathan Eisch and Sebastian Euler and Paul A. Evenson and Oladipo O. Fadiran and Ali R. Fazely and Anatoli Fedynitch and Jacob Feintzeig and Tom Feusels and Kirill Filimonov and Chad Finley and Tobias Fischer{-}Wasels and Samuel Flis and Anna Franckowiak and Katharina Frantzen and Tomasz Fuchs and Thomas K. Gaisser and Joseph S. Gallagher and Lisa Marie Gerhardt and Laura E. Gladstone and Thorsten Gl{\"{u}}senkamp and Azriel Goldschmidt and Geraldina Golup and Javier G. Gonz{\'{a}}lez and Jordan A. Goodman and Dariusz G{\'{o}}ra and Dylan T. Grandmont and Darren Grant and Pavel Gretskov and John C. Groh and Andreas Gro{\ss} and Chang Hyon Ha and Abd Al Karim Haj Ismail and Patrick Hallen and Allan Hallgren and Francis Halzen and Kael D. Hanson and Dustin Hebecker and David Heereman and Dirk Heinen and Klaus Helbing and Robert Eugene Hellauer III and Stephanie Virginia Hickford and Gary C. Hill and Kara D. Hoffman and Ruth Hoffmann and Andreas Homeier and Kotoyo Hoshina and Feifei Huang and Warren Huelsnitz and Per Olof Hulth and Klas Hultqvist and Shahid Hussain and Aya Ishihara and Emanuel Jacobi and John E. Jacobsen and Kai Jagielski and George S. Japaridze and Kyle Jero and Ola Jlelati and Basho Kaminsky and Alexander Kappes and Timo Karg and Albrecht Karle and Matthew Kauer and John Lawrence Kelley and Joanna Kiryluk and J. Kl{\"{a}}s and Spencer R. Klein and Jan{-}Hendrik K{\"{o}}hne and Georges Kohnen and Hermann Kolanoski and Lutz K{\"{o}}pke and Claudio Kopper and Sandro Kopper and D. Jason Koskinen and Marek Kowalski and Mark Krasberg and Anna Kriesten and Kai Michael Krings and G{\"{o}}sta Kroll and Jan Kunnen and Naoko Kurahashi and Takao Kuwabara and Mathieu L. M. Labare and Hagar Landsman and Michael James Larson and Mariola Lesiak{-}Bzdak and Martin Leuermann and Julia Leute and Jan L{\"{u}}nemann and Oscar A. Mac{\'{\i}}as{-}Ram{\'{\i}}rez and James Madsen and Giuliano Maggi and Reina Maruyama and Keiichi Mase and Howard S. Matis and Frank McNally and Kevin James Meagher and Martin Merck and Gonzalo Merino Ar{\'{e}}valo and Thomas Meures and Sandra Miarecki and Eike Middell and Natalie Milke and John Lester Miller and Lars Mohrmann and Teresa Montaruli and Robert M. Morse and Rolf Nahnhauer and Uwe Naumann and Hans Niederhausen and Sarah C. Nowicki and David R. Nygren and Anna Obertacke and Sirin Odrowski and Alex Olivas and Ahmad Omairat and Aongus Starbuck {\'{O}} Murchadha and Larissa Paul and Joshua A. Pepper and Carlos P{\'{e}}rez de los Heros and Carl Pfendner and Damian Pieloth and Elisa Pinat and Jonas Posselt and P. Buford Price and Gerald T. Przybylski and Melissa Quinnan and Leif R{\"{a}}del and Ian Rae and Mohamed Rameez and Katherine Rawlins and Peter Christian Redl and Ren{\'{e}} Reimann and Elisa Resconi and Wolfgang Rhode and Mathieu Ribordy and Michael Richman and Benedikt Riedel and J. P. Rodrigues and Carsten Rott and Tim Ruhe and Bakhtiyar Ruzybayev and Dirk Ryckbosch and Sabine M. Saba and Heinz{-}Georg Sander and Juan Marcos Santander and Subir Sarkar and Kai Schatto and Florian Scheriau and Torsten Schmidt and Martin Schmitz and Sebastian Schoenen and Sebastian Sch{\"{o}}neberg and Arne Sch{\"{o}}nwald and Anne Schukraft and Lukas Schulte and David Schultz and Olaf Schulz and David Seckel and Yolanda Sestayo de la Cerra and Surujhdeo Seunarine and Rezo Shanidze and Chris Sheremata and Miles W. E. Smith and Dennis Soldin and Glenn M. Spiczak and Christian Spiering and Michael Stamatikos and Todor Stanev and Nick A. Stanisha and Alexander Stasik and Thorsten Stezelberger and Robert G. Stokstad and Achim St{\"{o}}{\ss}l and Erik A. Strahler and Rickard Str{\"{o}}m and Nora Linn Strotjohann and Gregory W. Sullivan and Henric Taavola and Ignacio J. Taboada and Alessio Tamburro and Andreas Tepe and Samvel Ter{-}Antonyan and Gordana Tesic and Serap Tilav and Patrick A. Toale and Moriah Natasha Tobin and Simona Toscano and Maria Tselengidou and Elisabeth Unger and Marcel Usner and Sofia Vallecorsa and Nick van Eijndhoven and Arne Van Overloop and Jakob van Santen and Markus Vehring and Markus Voge and Matthias Vraeghe and Christian Walck and Tilo Waldenmaier and Marius Wallraff and Christopher N. Weaver and Mark T. Wellons and Christopher H. Wendt and Stefan Westerhoff and Nathan Whitehorn and Klaus Wiebe and Christopher Wiebusch and Dawn R. Williams and Henrike Wissing and Martin Wolf and Terri R. Wood and Kurt Woschnagg and Donglian Xu and Xianwu Xu and Juan Pablo Y{\'{a}}{\~{n}}ez Garza and Gaurang B. Yodh and Shigeru Yoshida and Pavel Zarzhitsky and Jan Ziemann and Simon Zierke and Marcel Zoll}, title = {The IceProd Framework: Distributed Data Processing for the IceCube Neutrino Observatory}, journal = {CoRR}, volume = {abs/1311.5904}, year = {2013}, url = {http://arxiv.org/abs/1311.5904}, eprinttype = {arXiv}, eprint = {1311.5904}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AartsenA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BuGM13, author = {Yilei Bu and Steve Gregory and Harriet L. Mills}, title = {Efficient local behavioral change strategies to reduce the spread of epidemics in networks}, journal = {CoRR}, volume = {abs/1310.2169}, year = {2013}, url = {http://arxiv.org/abs/1310.2169}, eprinttype = {arXiv}, eprint = {1310.2169}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BuGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-4954, author = {Steve Hanks and David Madigan and Jonathan Gavrin}, title = {Probabilistic Temporal Reasoning with Endogenous Change}, journal = {CoRR}, volume = {abs/1302.4954}, year = {2013}, url = {http://arxiv.org/abs/1302.4954}, eprinttype = {arXiv}, eprint = {1302.4954}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-4954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1305-0585, author = {Changhong Zhao and Ufuk Topcu and Na Li and Steven H. Low}, title = {Power System Dynamics as Primal-Dual Algorithm for Optimal Load Control}, journal = {CoRR}, volume = {abs/1305.0585}, year = {2013}, url = {http://arxiv.org/abs/1305.0585}, eprinttype = {arXiv}, eprint = {1305.0585}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1305-0585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/HuaDG12, author = {Changchun Hua and Steven X. Ding and Xin{-}Ping Guan}, title = {Robust controller design for uncertain multiple-delay systems with unknown actuator parameters}, journal = {Autom.}, volume = {48}, number = {1}, pages = {211--218}, year = {2012}, url = {https://doi.org/10.1016/j.automatica.2011.09.047}, doi = {10.1016/J.AUTOMATICA.2011.09.047}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/HuaDG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HoLCHHP12, author = {Hsiu J. Ho and Tsung{-}I Lin and Hannah H. Chang and Steven B. Haase and Sui Huang and Saumyadipta Pyne}, title = {Parametric modeling of cellular state transitions as measured with flow cytometry}, journal = {{BMC} Bioinform.}, volume = {13}, number = {{S-5}}, pages = {S5}, year = {2012}, url = {https://doi.org/10.1186/1471-2105-13-S5-S5}, doi = {10.1186/1471-2105-13-S5-S5}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HoLCHHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijissc/CuaR12, author = {Francisco Chia Cua and Steve Reames}, title = {Why Technologists Resist Negative Change: The Resistance to Innovation and Consuming Products against their Better Judgment}, journal = {Int. J. Inf. Syst. Soc. Chang.}, volume = {3}, number = {4}, pages = {84--96}, year = {2012}, url = {https://doi.org/10.4018/jissc.2012100106}, doi = {10.4018/JISSC.2012100106}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijissc/CuaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeonPHKLLK12, author = {Hamhee Jeon and Yunseo Park and Yan{-}Yu Huang and Jihwan Kim and Kun{-}Seok Lee and Chang{-}Ho Lee and J. Stevenson Kenney}, title = {A Triple-Mode Balanced Linear {CMOS} Power Amplifier Using a Switched-Quadrature Coupler}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {9}, pages = {2019--2032}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2193510}, doi = {10.1109/JSSC.2012.2193510}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeonPHKLLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KavianiWWASCTBCCCDGHHHLMMMRSSSSFSZTVVJCY12, author = {Kambiz Kaviani and Ting Wu and Jason Wei and Amir Amirkhany and Jie Shen and T. J. Chin and Chintan Thakkar and Wendemagegnehu T. Beyene and Norman Chan and Catherine Chen and Bing Ren Chuang and Deborah Dressler and Vijay P. Gadde and Mohammad Hekmat and Eugene Ho and Charlie Huang and Phuong Le and Mahabaleshwara and Chris J. Madden and Navin K. Mishra and Leneesh Raghavan and Keisuke Saito and Ralf Schmitt and Dave Secker and Xudong Shi and H. Md. Shuaeb Fazeel and Gundlapalli Shanmukha Srinivas and Steve Zhang and Chanh Tran and Arun Vaidyanath and Kapil Vyas and Manish Jain and Kun{-}Yung Ken Chang and Xingchao Yuan}, title = {A Tri-Modal 20-Gbps/Link Differential/DDR3/GDDR5 Memory Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {926--937}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185370}, doi = {10.1109/JSSC.2012.2185370}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KavianiWWASCTBCCCDGHHHLMMMRSSSSFSZTVVJCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/EssenUABBBCCCCPFGHHLMMMOPPSSSXY12, author = {David C. Van Essen and K{\^{a}}mil Ugurbil and Edward J. Auerbach and Deanna M. Barch and T. E. J. Behrens and R. Bucholz and Acer Chang and Liyong Chen and Maurizio Corbetta and Sandra W. Curtiss and Stefania Della Penna and David A. Feinberg and Matthew F. Glasser and Noam Harel and A. C. Heath and Linda J. Larson{-}Prior and Daniel S. Marcus and Georgios Michalareas and Steen Moeller and Robert Oostenveld and Steven E. Petersen and Fred W. Prior and Bradley L. Schlaggar and Stephen M. Smith and Abraham Z. Snyder and Junqian Xu and Essa Yacoub}, title = {The Human Connectome Project: {A} data acquisition perspective}, journal = {NeuroImage}, volume = {62}, number = {4}, pages = {2222--2231}, year = {2012}, url = {https://doi.org/10.1016/j.neuroimage.2012.02.018}, doi = {10.1016/J.NEUROIMAGE.2012.02.018}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/EssenUABBBCCCCPFGHHLMMMOPPSSSXY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TorbickPOFSHCL12, author = {Nathan Torbick and Andreas Persson and David Olefeldt and Steve Frolking and William Salas and Stephen Hagen and Patrick Crill and Changsheng Li}, title = {High Resolution Mapping of Peatland Hydroperiod at a High-Latitude Swedish Mire}, journal = {Remote. Sens.}, volume = {4}, number = {7}, pages = {1974--1994}, year = {2012}, url = {https://doi.org/10.3390/rs4071974}, doi = {10.3390/RS4071974}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TorbickPOFSHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/GuLZFTJL12, author = {Changzhan Gu and Ruijiang Li and Hualiang Zhang and Albert Y. C. Fung and Carlos Torres and Steve B. Jiang and Changzhi Li}, title = {Accurate Respiration Measurement Using DC-Coupled Continuous-Wave Radar Sensor for Motion-Adaptive Cancer Radiotherapy}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {11-2}, pages = {3117--3123}, year = {2012}, url = {https://doi.org/10.1109/TBME.2012.2206591}, doi = {10.1109/TBME.2012.2206591}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/GuLZFTJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/HuaD12, author = {Changchun Hua and Steven X. Ding}, title = {Decentralized Networked Control System Design Using {T-S} Fuzzy Approach}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {20}, number = {1}, pages = {9--21}, year = {2012}, url = {https://doi.org/10.1109/TFUZZ.2011.2162735}, doi = {10.1109/TFUZZ.2011.2162735}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/HuaD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/FrolkingHMPSF12, author = {Steve Frolking and Stephen Hagen and Tom Milliman and Michael Palace and Julia Zanin Shimbo and Mark A. Fahnestock}, title = {Detection of Large-Scale Forest Canopy Change in Pan-Tropical Humid Forests 2000-2009 With the SeaWinds Ku-Band Scatterometer}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {50}, number = {7}, pages = {2603--2617}, year = {2012}, url = {https://doi.org/10.1109/TGRS.2011.2182516}, doi = {10.1109/TGRS.2011.2182516}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/FrolkingHMPSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LiCH12, author = {Guangxia Li and Kuiyu Chang and Steven C. H. Hoi}, title = {Multiview Semi-Supervised Learning with Consensus}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {24}, number = {11}, pages = {2040--2051}, year = {2012}, url = {https://doi.org/10.1109/TKDE.2011.160}, doi = {10.1109/TKDE.2011.160}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/LiCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ZhaoTL12, author = {Changhong Zhao and Ufuk Topcu and Steven H. Low}, title = {Frequency-based load control in power systems}, booktitle = {American Control Conference, {ACC} 2012, Montreal, QC, Canada, June 27-29, 2012}, pages = {4423--4430}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ACC.2012.6315283}, doi = {10.1109/ACC.2012.6315283}, timestamp = {Sun, 08 Aug 2021 01:40:54 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ZhaoTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/GanJLTZ12, author = {Lingwen Gan and Libin Jiang and Steven H. Low and Ufuk Topcu and Changhong Zhao}, title = {Some problems in demand side management}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411975/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/GanJLTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidu/MithalOSBKPK12, author = {Varun Mithal and Zachary O'Connor and Karsten Steinhaeuser and Shyam Boriah and Vipin Kumar and Christopher Potter and Steven A. Klooster}, title = {Time series change detection using segmentation: {A} case study for land cover monitoring}, booktitle = {2012 Conference on Intelligent Data Understanding, {CIDU} 2012, Boulder, CO, USA, October 24-26, 2012}, pages = {63--70}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CIDU.2012.6382202}, doi = {10.1109/CIDU.2012.6382202}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cidu/MithalOSBKPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/BloomfieldMROG12, author = {Amber N. Bloomfield and Megan C. Masters and Steven J. Ross and Stephen P. O'Connell and Kassandra Gynther}, editor = {Naomi Miyake and David Peebles and Richard P. Cooper}, title = {Changes in Foreign Language Skills Over Time}, booktitle = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, publisher = {cognitivesciencesociety.org}, year = {2012}, url = {https://escholarship.org/uc/item/4d81p3qd}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/BloomfieldMROG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChangFW12, author = {Ya{-}Ning Chang and Steve B. Furber and Stephen R. Welbourne}, editor = {Naomi Miyake and David Peebles and Richard P. Cooper}, title = {Generating Realistic Semantic Codes for Use in Neural Network Models}, booktitle = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, publisher = {cognitivesciencesociety.org}, year = {2012}, url = {https://escholarship.org/uc/item/9j14z1rq}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChangFW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/BirnholtzI12, author = {Jeremy P. Birnholtz and Steven Ibara}, editor = {Steven E. Poltrock and Carla Simone and Jonathan Grudin and Gloria Mark and John Riedl}, title = {Tracking changes in collaborative writing: edits, visibility and group maintenance}, booktitle = {{CSCW} '12 Computer Supported Cooperative Work, Seattle, WA, USA, February 11-15, 2012}, pages = {809--818}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2145204.2145325}, doi = {10.1145/2145204.2145325}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscw/BirnholtzI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cts/LazemGH12, author = {Shaimaa Y. Lazem and Denis Gracanin and Steve Harrison}, editor = {Waleed W. Smari and Geoffrey Charles Fox}, title = {On the relationship between changes in distributed system behavior and group dynamics}, booktitle = {2012 International Conference on Collaboration Technologies and Systems, {CTS} 2012, Denver, CO, USA, May 21-25, 2012}, pages = {345--353}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CTS.2012.6261073}, doi = {10.1109/CTS.2012.6261073}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cts/LazemGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuACS12, author = {Changchang Wu and Sameer Agarwal and Brian Curless and Steven M. Seitz}, title = {Schematic surface reconstruction}, booktitle = {2012 {IEEE} Conference on Computer Vision and Pattern Recognition, Providence, RI, USA, June 16-21, 2012}, pages = {1498--1505}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CVPR.2012.6247839}, doi = {10.1109/CVPR.2012.6247839}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WuACS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LiuG12, author = {Jianming Liu and Steven L. Grant}, title = {A new doubletalk and channel change detection algorithm based on hypothesis testing}, booktitle = {Proceedings of the 20th European Signal Processing Conference, {EUSIPCO} 2012, Bucharest, Romania, August 27-31, 2012}, pages = {2457--2461}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6334199/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LiuG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZittrowerZ12, author = {Steven Zittrower and Cliff Changchun Zou}, title = {Encrypted phrase searching in the cloud}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {764--770}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503205}, doi = {10.1109/GLOCOM.2012.6503205}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZittrowerZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/KokkalisHDBCLSK12, author = {Nicolas Kokkalis and Johannes Huebner and Steven Diamond and Dominic Becker and Michael Chang and Moontae Lee and Florian Schulze and Thomas K{\"{o}}hn and Scott R. Klemmer}, editor = {Yiling Chen and Panagiotis G. Ipeirotis and Edith Law and Luis von Ahn and Haoqi Zhang}, title = {Automatically Providing Action Plans Helps People Complete Tasks}, booktitle = {The 4th Human Computation Workshop, HCOMP@AAAI 2012, Toronto, Ontario, Canada, July 23, 2012}, series = {{AAAI} Technical Report}, volume = {{WS-12-08}}, publisher = {{AAAI} Press}, year = {2012}, url = {http://www.aaai.org/ocs/index.php/WS/AAAIW12/paper/view/5331}, timestamp = {Tue, 05 Sep 2023 11:16:37 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/KokkalisHDBCLSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/BurtonJ12, author = {Matthew Burton and Steven J. Jackson}, title = {Constancy and Change in Scientific Collaboration: Coherence and Integrity in Long-Term Ecological Data Production}, booktitle = {45th Hawaii International International Conference on Systems Science {(HICSS-45} 2012), Proceedings, 4-7 January 2012, Grand Wailea, Maui, HI, {USA}}, pages = {353--362}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HICSS.2012.178}, doi = {10.1109/HICSS.2012.178}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/BurtonJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpc/TanakaYTOPWZHPW12, author = {Yoshio Tanaka and Naotaka Yamamoto and Ryousei Takano and Akihiko Ota and Philip M. Papadopoulos and Nadya Williams and Cindy Zheng and Weicheng Huang and Yi{-}Lun Pan and Chang{-}Hsing Wu and Hsi{-}En Yu and J. H. Steven Shiao and Kohei Ichikawa and Taiki Tada and Susumu Date and Shinji Shimojo}, editor = {Erik H. D'Hollander and Jack J. Dongarra and Ian T. Foster and Lucio Grandinetti and Gerhard R. Joubert}, title = {Building Secure and Transparent Inter-Cloud Infrastructure for Scientific Applications}, booktitle = {Transition of {HPC} Towards Exascale Computing - Selected Papers from the High Performance Computing Workshop, Cetraro, Italy, June 25-29, 2012}, series = {Advances in Parallel Computing}, volume = {24}, pages = {35--52}, publisher = {{IOS} Press}, year = {2012}, url = {https://doi.org/10.3233/978-1-61499-322-3-35}, doi = {10.3233/978-1-61499-322-3-35}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpc/TanakaYTOPWZHPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SainiHJCHMB12, author = {Subhash Saini and Steve Heistand and Haoqiang Jin and Johnny Chang and Robert Hood and Piyush Mehrotra and Rupak Biswas}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {An Application-based Performance Evaluation of NASA's Nebula Cloud Computing Platform}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {336--343}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.52}, doi = {10.1109/HPCC.2012.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/SainiHJCHMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/HsuCWLH12, author = {Shuo{-}Fang Hsu and Yu{-}Jie Chang and Ran{-}Zan Wang and Yeuan{-}Kuen Lee and Shih{-}Yu Huang}, title = {Verifiable Visual Cryptography}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {464--467}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.150}, doi = {10.1109/ICGEC.2012.150}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icgec/HsuCWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/IngramR12, author = {Claire Ingram and Steve Riddle}, editor = {Giulio Concas and Gerardo Canfora and Ewan D. Tempero and Hongyu Zhang}, title = {Using early stage project data to predict change-proneness}, booktitle = {Proceedings of the 3rd International Workshop on Emerging Trends in Software Metrics, WETSoM 2012, Zurich, Switzerland, June 3, 2012}, pages = {42--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WETSoM.2012.6226992}, doi = {10.1109/WETSOM.2012.6226992}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icse/IngramR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/PlodererSHPB12, author = {Bernd Ploderer and Wally Smith and Steve Howard and Jon M. Pearce and Ron Borland}, editor = {Vivienne Farrell and Graham Farrell and Caslon Chua and Weidong Huang and Rajesh Vasa and Clinton Woodward}, title = {Things you don't want to know about yourself: ambivalence about tracking and sharing personal information for behaviour change}, booktitle = {The 24th Australian Computer-Human Interaction Conference, OzCHI '12, Melbourne, VIC, Australia - November 26 - 30, 2012}, pages = {489--492}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2414536.2414610}, doi = {10.1145/2414536.2414610}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ozchi/PlodererSHPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/ZhaoTL12, author = {Changhong Zhao and Ufuk Topcu and Steven H. Low}, title = {Swing dynamics as primal-dual algorithm for optimal load control}, booktitle = {{IEEE} Third International Conference on Smart Grid Communications, SmartGridComm 2012, Tainan, Taiwan, November 5-8, 2012}, pages = {570--575}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SmartGridComm.2012.6486046}, doi = {10.1109/SMARTGRIDCOMM.2012.6486046}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgridcomm/ZhaoTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/ChenLWC12, author = {Xi Chen and Xue Liu and Shengquan Wang and Xiao{-}Wen Chang}, title = {TailCon: Power-Minimizing Tail Percentile Control of Response Time in Server Clusters}, booktitle = {{IEEE} 31st Symposium on Reliable Distributed Systems, {SRDS} 2012, Irvine, CA, USA, October 8-11, 2012}, pages = {61--70}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SRDS.2012.72}, doi = {10.1109/SRDS.2012.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/ChenLWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/GalbraithZ12, author = {Steven D. Galbraith and Chang{-}An Zhao}, title = {Self-pairings on Hyperelliptic Curves}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {267}, year = {2012}, url = {http://eprint.iacr.org/2012/267}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/GalbraithZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/ShapiroPLL11, author = {Steven Shapiro and Maurice Pagnucco and Yves Lesp{\'{e}}rance and Hector J. Levesque}, title = {Iterated belief change in the situation calculus}, journal = {Artif. Intell.}, volume = {175}, number = {1}, pages = {165--192}, year = {2011}, url = {https://doi.org/10.1016/j.artint.2010.04.003}, doi = {10.1016/J.ARTINT.2010.04.003}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/ShapiroPLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/PerteaPS11, author = {Mihaela Pertea and Geo Pertea and Steven L. Salzberg}, title = {Detection of Lineage-Specific Evolutionary Changes among Primate Species}, journal = {{BMC} Bioinform.}, volume = {12}, pages = {274}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-274}, doi = {10.1186/1471-2105-12-274}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/PerteaPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/SorokinaCDSGBBM11, author = {Oksana Sorokina and Florence Corellou and David Dauvill{\'{e}}e and Anatoly A. Sorokin and Igor Goryanin and Steven Ball and Fran{\c{c}}ois{-}Yves Bouget and Andrew J. Millar}, title = {Microarray data can predict diurnal changes of starch content in the picoalga Ostreococcus}, journal = {{BMC} Syst. Biol.}, volume = {5}, pages = {36}, year = {2011}, url = {https://doi.org/10.1186/1752-0509-5-36}, doi = {10.1186/1752-0509-5-36}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/SorokinaCDSGBBM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/PearsonNCL11, author = {Leonie J. Pearson and Rohan Nelsonc and Steve Crimp and Jenny Langridge}, title = {Interpretive review of conceptual frameworks and research models that inform Australia's agricultural vulnerability to climate change}, journal = {Environ. Model. Softw.}, volume = {26}, number = {2}, pages = {113--123}, year = {2011}, url = {https://doi.org/10.1016/j.envsoft.2010.07.001}, doi = {10.1016/J.ENVSOFT.2010.07.001}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/PearsonNCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/BannermanM11, author = {Steve Bannerman and Andrew P. Martin}, title = {A multiple comparative study of test-with development product changes and their effects on team speed and product quality}, journal = {Empir. Softw. Eng.}, volume = {16}, number = {2}, pages = {177--210}, year = {2011}, url = {https://doi.org/10.1007/s10664-010-9137-5}, doi = {10.1007/S10664-010-9137-5}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ese/BannermanM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ZhouLN11, author = {Changyin Zhou and Stephen Lin and Shree K. Nayar}, title = {Coded Aperture Pairs for Depth from Defocus and Defocus Deblurring}, journal = {Int. J. Comput. Vis.}, volume = {93}, number = {1}, pages = {53--72}, year = {2011}, url = {https://doi.org/10.1007/s11263-010-0409-8}, doi = {10.1007/S11263-010-0409-8}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ZhouLN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/LiNSJ11, author = {Yueqing Li and Chang Soo Nam and Barbara B. Shadden and Steven L. Johnson}, title = {A P300-Based Brain-Computer Interface: Effects of Interface Type and Screen Size}, journal = {Int. J. Hum. Comput. Interact.}, volume = {27}, number = {1}, pages = {52--68}, year = {2011}, url = {https://doi.org/10.1080/10447318.2011.535753}, doi = {10.1080/10447318.2011.535753}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/LiNSJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/HuCFM11, author = {Jiaqiao Hu and Hyeong Soo Chang and Michael C. Fu and Steven I. Marcus}, title = {Dynamic sample budget allocation in model-based optimization}, journal = {J. Glob. Optim.}, volume = {50}, number = {4}, pages = {575--596}, year = {2011}, url = {https://doi.org/10.1007/s10898-009-9490-3}, doi = {10.1007/S10898-009-9490-3}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/HuCFM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/RobertsCHRAPCFGHKMMOSTLVSSDSK11, author = {Richard J. Roberts and Yi{-}Chien Chang and Zhenjun Hu and John Rachlin and Brian P. Anton and Revonda M. Pokrzywa and Han{-}Pil Choi and Lina L. Faller and Jyotsna Guleria and Genevieve Housman and Niels Klitgord and Varun Mazumdar and Mark G. McGettrick and Lais Osmani and Rajeswari Swaminathan and Kevin R. Tao and Stanley Letovsky and Dennis Vitkup and Daniel Segr{\`{e}} and Steven L. Salzberg and Charles DeLisi and Martin Steffen and Simon Kasif}, title = {{COMBREX:} a project to accelerate the functional annotation of prokaryotic genomes}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {11--14}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1168}, doi = {10.1093/NAR/GKQ1168}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/RobertsCHRAPCFGHKMMOSTLVSSDSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BrookesWSZWLM11, author = {Matthew J. Brookes and Jonathan R. Wood and Claire M. Stevenson and Johanna M. Zumer and Thomas P. White and Peter F. Liddle and Peter G. Morris}, title = {Changes in brain network activity during working memory tasks: {A} magnetoencephalography study}, journal = {NeuroImage}, volume = {55}, number = {4}, pages = {1804--1815}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2010.10.074}, doi = {10.1016/J.NEUROIMAGE.2010.10.074}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/BrookesWSZWLM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/CooperHS11, author = {Emily A. Cooper and Uri Hasson and Steven L. Small}, title = {Interpretation-mediated changes in neural activity during language comprehension}, journal = {NeuroImage}, volume = {55}, number = {3}, pages = {1314--1323}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2011.01.003}, doi = {10.1016/J.NEUROIMAGE.2011.01.003}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/CooperHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/OishiMDEABFJLMZC11, author = {Kenichi Oishi and Susumu Mori and Pamela K. Donohue and Thomas Ernst and Lynn Anderson and Steven Buchthal and Andreia Faria and Hangyi Jiang and Xin Li and Michael I. Miller and Peter C. M. van Zijl and Linda Chang}, title = {Multi-contrast human neonatal brain atlas: Application to normal neonate development analysis}, journal = {NeuroImage}, volume = {56}, number = {1}, pages = {8--20}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2011.01.051}, doi = {10.1016/J.NEUROIMAGE.2011.01.051}, timestamp = {Tue, 26 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/OishiMDEABFJLMZC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhongEBSAC11, author = {Kai Zhong and Thomas Ernst and Steven Buchthal and Oliver Speck and Lynn Anderson and Linda Chang}, title = {Phase contrast imaging in neonates}, journal = {NeuroImage}, volume = {55}, number = {3}, pages = {1068--1072}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2010.11.086}, doi = {10.1016/J.NEUROIMAGE.2010.11.086}, timestamp = {Tue, 26 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhongEBSAC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SouthworthMQPCS11, author = {Jane Southworth and Matt Marsik and Youliang Qiu and Stephen Perz and Graeme Cumming and Forrest R. Stevens and Karla Rocha and Amy Duchelle and Grenville Barnes}, title = {Roads as Drivers of Change: Trajectories across the Tri-National Frontier in MAP, the Southwestern Amazon}, journal = {Remote. Sens.}, volume = {3}, number = {5}, pages = {1047--1066}, year = {2011}, url = {https://doi.org/10.3390/rs3051047}, doi = {10.3390/RS3051047}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SouthworthMQPCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/SchneiderT11, author = {Steve A. Schneider and Helen Treharne}, title = {Changing system interfaces consistently: {A} new refinement strategy for CSP{\(\vert\)}{\(\vert\)}B}, journal = {Sci. Comput. Program.}, volume = {76}, number = {10}, pages = {837--860}, year = {2011}, url = {https://doi.org/10.1016/j.scico.2010.08.001}, doi = {10.1016/J.SCICO.2010.08.001}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/SchneiderT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/EasterbrookEBB11, author = {Steve M. Easterbrook and Paul N. Edwards and Venkatramani Balaji and Reinhard Budich}, title = {Guest Editors' Introduction: Climate Change - Science and Software}, journal = {{IEEE} Softw.}, volume = {28}, number = {6}, pages = {32--35}, year = {2011}, url = {https://doi.org/10.1109/MS.2011.141}, doi = {10.1109/MS.2011.141}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/EasterbrookEBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/HuaD11, author = {Changchun Hua and Steven X. Ding}, title = {Model Following Controller Design for Large-Scale Systems With Time-Delay Interconnections and Multiple Dead-Zone Inputs}, journal = {{IEEE} Trans. Autom. Control.}, volume = {56}, number = {4}, pages = {962--968}, year = {2011}, url = {https://doi.org/10.1109/TAC.2011.2107111}, doi = {10.1109/TAC.2011.2107111}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/HuaD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SeoBLPEMRTCMF11, author = {Jae{-}sun Seo and Bernard Brezzo and Yong Liu and Benjamin D. Parker and Steven K. Esser and Robert K. Montoye and Bipin Rajendran and Jos{\'{e}} A. Tierno and Leland Chang and Dharmendra S. Modha and Daniel J. Friedman}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {A 45nm {CMOS} neuromorphic chip with a scalable architecture for learning in networks of spiking neurons}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055293}, doi = {10.1109/CICC.2011.6055293}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/SeoBLPEMRTCMF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidu/ChamberGMBKLSPKKB11, author = {Yashu Chamber and Ashish Garg and Varun Mithal and Ivan Brugere and Vipin Kumar and Michael Lau and Michael S. Steinbach and Christopher Potter and Steven A. Klooster and Vikrant Krishna and Shyam Boriah}, editor = {Ashok N. Srivastava and Nitesh V. Chawla and Amal Shehan Perera}, title = {A Novel Time Series Based Approach to Detect Gradual Vegetation Changes in Forests}, booktitle = {Proceedings of the 2011 Conference on Intelligent Data Understanding, {CIDU} 2011, October 19-21, 2011, Mountain View, California, {USA}}, pages = {248--262}, publisher = {{NASA} Ames Research Center}, year = {2011}, url = {http://c3.nasa.gov/dashlink/static/media/other/CIDU\_Proceedings2011.pdf}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cidu/ChamberGMBKLSPKKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidu/GargMKKBSTKPK11, author = {Ashish Garg and Lydia Manikonda and Shashank Kumar and Vikrant Krishna and Shyam Boriah and Michael S. Steinbach and Durga Toshnival and Vipin Kumar and Christopher Potter and Steven A. Klooster}, editor = {Ashok N. Srivastava and Nitesh V. Chawla and Amal Shehan Perera}, title = {A Model-Free Time Series Segmentation Approach for Land Cover Change Detection}, booktitle = {Proceedings of the 2011 Conference on Intelligent Data Understanding, {CIDU} 2011, October 19-21, 2011, Mountain View, California, {USA}}, pages = {144--158}, publisher = {{NASA} Ames Research Center}, year = {2011}, url = {http://c3.nasa.gov/dashlink/static/media/other/CIDU\_Proceedings2011.pdf}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cidu/GargMKKBSTKPK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidu/MithalGBBKSPK11, author = {Varun Mithal and Ashish Garg and Ivan Brugere and Shyam Boriah and Vipin Kumar and Michael S. Steinbach and Christopher Potter and Steven A. Klooster}, editor = {Ashok N. Srivastava and Nitesh V. Chawla and Amal Shehan Perera}, title = {Incorporating Natural Variation into Time Series-Based Land Cover Change Detection}, booktitle = {Proceedings of the 2011 Conference on Intelligent Data Understanding, {CIDU} 2011, October 19-21, 2011, Mountain View, California, {USA}}, pages = {45--59}, publisher = {{NASA} Ames Research Center}, year = {2011}, url = {http://c3.nasa.gov/dashlink/static/media/other/CIDU\_Proceedings2011.pdf}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cidu/MithalGBBKSPK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiCHLJ11, author = {Guangxia Li and Kuiyu Chang and Steven C. H. Hoi and Wenting Liu and Ramesh C. Jain}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {Collaborative online learning of user generated content}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {285--290}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2063622}, doi = {10.1145/2063576.2063622}, timestamp = {Sat, 26 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiCHLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuACS11, author = {Changchang Wu and Sameer Agarwal and Brian Curless and Steven M. Seitz}, title = {Multicore bundle adjustment}, booktitle = {The 24th {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2011, Colorado Springs, CO, USA, 20-25 June 2011}, pages = {3057--3064}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CVPR.2011.5995552}, doi = {10.1109/CVPR.2011.5995552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WuACS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/GuLJL11, author = {Changzhan Gu and Ruijiang Li and Steve B. Jiang and Changzhi Li}, title = {A multi-radar wireless system for respiratory gating and accurate tumor tracking in lung cancer radiotherapy}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {417--420}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090054}, doi = {10.1109/IEMBS.2011.6090054}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/GuLJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/StevensJ0S11, author = {Robert Stevens and Simon Jupp and Julie Klein and Joost Schanstra}, title = {Using semantic web technologies to manage complexity and change in biomedical data}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {3708--3711}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090629}, doi = {10.1109/IEMBS.2011.6090629}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/StevensJ0S11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JohnsonLNY11, author = {Steven L. Johnson and Yueqing Li and Chang Soo Nam and Takehiko Yamaguchi}, editor = {Julie A. Jacko}, title = {Analyzing User Behavior within a Haptic System}, booktitle = {Human-Computer Interaction. Interaction Techniques and Environments - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6762}, pages = {62--70}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21605-3\_7}, doi = {10.1007/978-3-642-21605-3\_7}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/JohnsonLNY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LiJN11, author = {Yueqing Li and Steven L. Johnson and Chang Soo Nam}, editor = {Julie A. Jacko}, title = {Haptically Enhanced User Interface to Support Science Learning of Visually Impaired}, booktitle = {Human-Computer Interaction. Users and Applications - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {6764}, pages = {68--76}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21619-0\_10}, doi = {10.1007/978-3-642-21619-0\_10}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LiJN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/AkelCMGS11, author = {Ameen Akel and Adrian M. Caulfield and Todor I. Mollov and Rajesh K. Gupta and Steven Swanson}, editor = {Irfan Ahmad}, title = {Onyx: {A} Prototype Phase Change Memory Storage Array}, booktitle = {3rd {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage'11, Portland, OR, USA, June 14, 2011}, publisher = {{USENIX} Association}, year = {2011}, url = {https://www.usenix.org/conference/hotstorage11/onyx-prototype-phase-change-memory-storage-array}, timestamp = {Fri, 04 Jun 2021 11:57:43 +0200}, biburl = {https://dblp.org/rec/conf/hotstorage/AkelCMGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/IngramR11, author = {Claire Ingram and Steve Riddle}, editor = {Giulio Concas and Ewan D. Tempero and Hongyu Zhang and Massimiliano Di Penta}, title = {Linking software design metrics to component change-proneness}, booktitle = {Proceedings of the 2nd International Workshop on Emerging Trends in Software Metrics, WETSoM 2011, Waikiki, Honolulu, HI, USA, May 24, 2011}, pages = {31--37}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1985374.1985384}, doi = {10.1145/1985374.1985384}, timestamp = {Fri, 01 Mar 2019 13:05:18 +0100}, biburl = {https://dblp.org/rec/conf/icse/IngramR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/Livengood11, author = {Steve Livengood}, editor = {Julia Rubin and Goetz Botterweck and Andreas Pleuss and David M. Weiss}, title = {Issues in software product line evolution: complex changes in variability models}, booktitle = {Proceedings of the 2nd International Workshop on Product Line Approaches in Software Engineering, {PLEASE} 2011, Waikiki, Honolulu, HI, USA, May 22-23, 2011}, pages = {6--9}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1985484.1985487}, doi = {10.1145/1985484.1985487}, timestamp = {Thu, 14 Jan 2021 16:56:54 +0100}, biburl = {https://dblp.org/rec/conf/icse/Livengood11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/JonesBDDH11, author = {Cathleen E. Jones and Gerald W. Bawden and Steven Deverel and Joel Dudas and Scott Hensley}, title = {Characterizing land surface change and levee stability in the Sacramento-San Joaquin Delta using {UAVSAR} radar imagery}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {1638--1641}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049546}, doi = {10.1109/IGARSS.2011.6049546}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/JonesBDDH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/KacenjarZB11, author = {Steve Kacenjar and Matthew Zook and Michael Balint}, editor = {Jaakko Astola and Karen O. Egiazarian}, title = {PSO-based methods for medical image registration and change assessment of pigmented skin}, booktitle = {Image Processing: Algorithms and Systems IX, San Francisco, California, USA, January 24-25, 2011}, series = {{SPIE} Proceedings}, volume = {7870}, pages = {78700C}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.876661}, doi = {10.1117/12.876661}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/KacenjarZB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/LeeTLCC11, author = {Steven S. W. Lee and Po{-}Kai Tseng and Kuang{-}Yi Li and Wen{-}Yu Chang and Alice Chen}, title = {Interface specific fast failure rerouting for load balanced ip networks}, booktitle = {Proceedings of the 16th {IEEE} Symposium on Computers and Communications, {ISCC} 2011, Kerkyra, Corfu, Greece, June 28 - July 1, 2011}, pages = {316--319}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISCC.2011.5983796}, doi = {10.1109/ISCC.2011.5983796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/LeeTLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/w2gis/Coast10, author = {Steve Coast}, editor = {Katsumi Tanaka and Peter Fr{\"{o}}hlich and Kyoung{-}Sook Kim}, title = {How OpenStreetMap Is Changing the World}, booktitle = {Web and Wireless Geographical Information Systems - 10th International Symposium, {W2GIS} 2011, Kyoto, Japan, March 3-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6574}, pages = {4}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19173-2\_2}, doi = {10.1007/978-3-642-19173-2\_2}, timestamp = {Wed, 28 Oct 2020 15:18:52 +0100}, biburl = {https://dblp.org/rec/conf/w2gis/Coast10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/GarnettORRR10, author = {Roman Garnett and Michael A. Osborne and Steven Reece and Alex Rogers and Stephen J. Roberts}, title = {Sequential Bayesian Prediction in the Presence of Changepoints and Faults}, journal = {Comput. J.}, volume = {53}, number = {9}, pages = {1430--1446}, year = {2010}, url = {https://doi.org/10.1093/comjnl/bxq003}, doi = {10.1093/COMJNL/BXQ003}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/GarnettORRR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comj/LivingstoneMBT10, author = {Steven R. Livingstone and Ralf M{\"{u}}hlberger and Andrew R. Brown and William Forde Thompson}, title = {Changing Musical Emotion: {A} Computational Rule System for Modifying Score and Performance}, journal = {Comput. Music. J.}, volume = {34}, number = {1}, pages = {41--64}, year = {2010}, url = {https://doi.org/10.1162/comj.2010.34.1.41}, doi = {10.1162/COMJ.2010.34.1.41}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comj/LivingstoneMBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasmp/VipperlaRF10, author = {Ravichander Vipperla and Steve Renals and Joe Frankel}, title = {Ageing Voices: The Effect of Changes in Voice Parameters on {ASR} Performance}, journal = {{EURASIP} J. Audio Speech Music. Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/525783}, doi = {10.1155/2010/525783}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasmp/VipperlaRF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/NamLJ10, author = {Chang Soo Nam and Yueqing Li and Steven L. Johnson}, title = {Evaluation of P300-Based Brain-Computer Interface in Real-World Contexts}, journal = {Int. J. Hum. Comput. Interact.}, volume = {26}, number = {6}, pages = {621--637}, year = {2010}, url = {https://doi.org/10.1080/10447311003781326}, doi = {10.1080/10447311003781326}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/NamLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jot/GatrellC10, author = {Matt Gatrell and Steve Counsell}, title = {Size, Inheritance, Change and Fault-proneness in C{\textbackslash}{\#} software}, journal = {J. Object Technol.}, volume = {9}, number = {5}, pages = {29--54}, year = {2010}, url = {https://doi.org/10.5381/jot.2010.9.5.a2}, doi = {10.5381/JOT.2010.9.5.A2}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jot/GatrellC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DAmoreMLOSKCSNDCGO10, author = {Matt D'Amore and Cedric Monier and Steven Taiyu Lin and Bert K. Oyama and Dennis W. Scott and Eric N. Kaneshiro and Ping{-}Chih Chang and Kenneth F. Sato and Alex Niemi and Linh Dang and Abdullah Cavus and Augusto Gutierrez{-}Aitken and Aaron K. Oki}, title = {A 0.25 {\(\mu\)} m InP {DHBT} 200 GHz+ Static Frequency Divider}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {10}, pages = {1992--2002}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2058171}, doi = {10.1109/JSSC.2010.2058171}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DAmoreMLOSKCSNDCGO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GiorgioSTBSSJ10, author = {Antonio Giorgio and Luca Santelli and Valentina Tomassini and Rose Bosnell and Stephen M. Smith and Nicola De Stefano and Heidi Johansen{-}Berg}, title = {Age-related changes in grey and white matter structure throughout adulthood}, journal = {NeuroImage}, volume = {51}, number = {3}, pages = {943--951}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.03.004}, doi = {10.1016/J.NEUROIMAGE.2010.03.004}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GiorgioSTBSSJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GiorgioWCJWDSMSJJ10, author = {Antonio Giorgio and Kate E. Watkins and M. Chadwick and S. James and Louise Winmill and Gwena{\"{e}}lle Douaud and Nicola De Stefano and Paul M. Matthews and Steve M. Smith and Heidi Johansen{-}Berg and A. C. James}, title = {Longitudinal changes in grey and white matter during adolescence}, journal = {NeuroImage}, volume = {49}, number = {1}, pages = {94--103}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.08.003}, doi = {10.1016/J.NEUROIMAGE.2009.08.003}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GiorgioWCJWDSMSJJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ChangFMKJCDH10, author = {Leland Chang and David J. Frank and Robert K. Montoye and Steven J. Koester and Brian L. Ji and Paul W. Coteus and Robert H. Dennard and Wilfried Haensch}, title = {Practical Strategies for Power-Efficient Computing Technologies}, journal = {Proc. {IEEE}}, volume = {98}, number = {2}, pages = {215--236}, year = {2010}, url = {https://doi.org/10.1109/JPROC.2009.2035451}, doi = {10.1109/JPROC.2009.2035451}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ChangFMKJCDH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangHFM10, author = {Hyeong Soo Chang and Jiaqiao Hu and Michael C. Fu and Steven I. Marcus}, title = {Adaptive Adversarial Multi-Armed Bandit Approach to Two-Person Zero-Sum Markov Games}, journal = {{IEEE} Trans. Autom. Control.}, volume = {55}, number = {2}, pages = {463--468}, year = {2010}, url = {https://doi.org/10.1109/TAC.2009.2036333}, doi = {10.1109/TAC.2009.2036333}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangHFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/HoiLC10, author = {Steven C. H. Hoi and Wei Liu and Shih{-}Fu Chang}, title = {Semi-supervised distance metric learning for collaborative image retrieval and clustering}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {6}, number = {3}, pages = {18:1--18:26}, year = {2010}, url = {https://doi.org/10.1145/1823746.1823752}, doi = {10.1145/1823746.1823752}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/HoiLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidu/BoriahMGKSPK10, author = {Shyam Boriah and Varun Mithal and Ashish Garg and Vipin Kumar and Michael S. Steinbach and Christopher Potter and Steven A. Klooster}, editor = {Ashok N. Srivastava and Nitesh V. Chawla and Philip S. Yu and Paul Melby}, title = {A Comparative Study Of Algorithms For Land Cover Change}, booktitle = {Proceedings of the 2010 Conference on Intelligent Data Understanding, {CIDU} 2010, October 5-6, 2010, Mountain View, California, {USA}}, pages = {175--188}, publisher = {{NASA} Ames Research Center}, year = {2010}, url = {http://c3.ndc.nasa.gov/dashlink/resources/236/}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cidu/BoriahMGKSPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/PettitRMASWHCBBA10, author = {Christopher James Pettit and A. B. M. Russel and Anthony Michael and Jean{-}Philippe Aurambout and Subhash Sharma and Steve Williams and David Hunter and Pang Choung Chan and Ann Borda and Ian D. Bishop and David Abramson}, title = {Realising an eScience Platform to Support Climate Change Adaptation in Victoria}, booktitle = {Sixth International Conference on e-Science, e-Science 2010, 7-10 December 2010, Brisbane, QLD, Australia}, pages = {73--80}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/eScience.2010.32}, doi = {10.1109/ESCIENCE.2010.32}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eScience/PettitRMASWHCBBA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esweek/WangHLLJCLKL10, author = {Meng{-}Ting Wang and Po{-}Chun Huang and Jenq Kuen Lee and Shang{-}Hong Lai and Jyh{-}Shing Roger Jang and Chun{-}Fa Chang and Chih{-}Wei Liu and Tei{-}Wei Kuo and Steve Liao}, editor = {Peter Marwedel and David Jeff Jackson and Kenneth G. Ricks}, title = {Support of Android lab modules for embedded system curriculum}, booktitle = {Proceedings of the 2010 Workshop on Embedded Systems Education, {WESE} 2010, Scottsdale, AZ, USA, October 28, 2010}, pages = {4}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1930277.1930281}, doi = {10.1145/1930277.1930281}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esweek/WangHLLJCLKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euromicro/CounsellHNB10, author = {Steve Counsell and Tracy Hall and Emal Nasseri and David Bowes}, title = {An Analysis of the "Inconclusive' Change Report Category in {OSS} Assisted by a Program Slicing Metric}, booktitle = {36th {EUROMICRO} Conference on Software Engineering and Advanced Applications, {SEAA} 2010, Lille, France, September 1-3, 2010}, pages = {283--286}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SEAA.2010.17}, doi = {10.1109/SEAA.2010.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euromicro/CounsellHNB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ChangMY10, author = {Jonathan Chang and Karon E. MacLean and Steve Yohanan}, editor = {Astrid M. L. Kappers and Jan B. F. van Erp and Wouter M. Bergmann Tiest and Frans C. T. van der Helm}, title = {Gesture Recognition in the Haptic Creature}, booktitle = {Haptics: Generating and Perceiving Tangible Sensations, International Conference, EuroHaptics 2010, Amsterdam, The Netherlands, July 8-10, 2010. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6191}, pages = {385--391}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14064-8\_56}, doi = {10.1007/978-3-642-14064-8\_56}, timestamp = {Mon, 05 Feb 2024 20:32:15 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ChangMY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/LiHCJ10, author = {Guangxia Li and Steven C. H. Hoi and Kuiyu Chang and Ramesh C. Jain}, editor = {Geoffrey I. Webb and Bing Liu and Chengqi Zhang and Dimitrios Gunopulos and Xindong Wu}, title = {Micro-blogging Sentiment Detection by Collaborative Online Learning}, booktitle = {{ICDM} 2010, The 10th {IEEE} International Conference on Data Mining, Sydney, Australia, 14-17 December 2010}, pages = {893--898}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICDM.2010.139}, doi = {10.1109/ICDM.2010.139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/LiHCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/KarlekarZNLCH10, author = {Jayashree Karlekar and Steven Zhiying Zhou and Yuta Nakayama and Weiquan Lu and Loh Zhi Chang and Daniel Hii}, title = {Model-based localization and drift-free user tracking for outdoor augmented reality}, booktitle = {Proceedings of the 2010 {IEEE} International Conference on Multimedia and Expo, {ICME} 2010, 19-23 July 2010, Singapore}, pages = {1178--1183}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICME.2010.5583850}, doi = {10.1109/ICME.2010.5583850}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/KarlekarZNLCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/EasterbrookMZ10, author = {Steve M. Easterbrook and Kim Mens and Steffen Zschaler}, editor = {Jeff Kramer and Judith Bishop and Premkumar T. Devanbu and Sebasti{\'{a}}n Uchitel}, title = {Second International Workshop on Software Research and Climate Change}, booktitle = {Proceedings of the 32nd {ACM/IEEE} International Conference on Software Engineering - Volume 2, {ICSE} 2010, Cape Town, South Africa, 1-8 May 2010}, pages = {449--450}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810295.1810423}, doi = {10.1145/1810295.1810423}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/EasterbrookMZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/BoriahMGSKPKC10, author = {Shyam Boriah and Varun Mithal and Ashish Garg and Michael S. Steinbach and Vipin Kumar and Christopher Potter and Steven A. Klooster and Juan Carlos Castilla{-}Rubio}, title = {Automated detection of forest cover changes}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {44--47}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5652015}, doi = {10.1109/IGARSS.2010.5652015}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/BoriahMGSKPKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/KarlekarZLCNH10, author = {Jayashree Karlekar and Steven Zhiying Zhou and Weiquan Lu and Loh Zhi Chang and Yuta Nakayama and Daniel Hii}, title = {Positioning, tracking and mapping for outdoor augmentation}, booktitle = {9th {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2010, Seoul, Korea, 13-16 October 2010}, pages = {175--184}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISMAR.2010.5643567}, doi = {10.1109/ISMAR.2010.5643567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/KarlekarZLCNH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeBM10, author = {Chungyeol P. Lee and Arya Behzad and Bojko Marholev and Vikram Magoon and Iqbal Bhatti and Dandan Li and Subhas Bothra and Ali Afsahi and Dayo Ojo and Rozi Roufoogaran and Tom Li and Yuyu Chang and Kishore Rama Rao and Stephen Au and Prasad Seetharam and Keith A. Carter and Jacob J. Rael and Malcolm Macintosh and Bobby Lee and Maryam Rofougaran and Reza Rofougaran and Amir Hadji{-}Abdolhamid and Mohammad Nariman and Shahla Khorram and Seema Butala Anand and Ed Chien and Steve Wu and Carol Barrett and Lijun Zhang and Alireza Zolfaghari and Hooman Darabi and Ali Sarfaraz and Brima Ibrahim and Mark Gonikberg and Marcellus Forbes and Colin Fraser and Luis Gutierrez and Yury Gonikberg and Madjid Hafizi and Siukai Mak and Jesse Castaneda and Kimmer Kim and Zhenhua Liu and Stamatis Bouras and Kevin Chien and Vinay Chandrasekhar and Paul Chang and Edwin Li and Zhimin Zhao}, title = {A multistandard, multiband SoC with integrated BT, FM, {WLAN} radios and integrated power amplifier}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {454--455}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433962}, doi = {10.1109/ISSCC.2010.5433962}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/its/DickisonRNHTMH10, author = {Daniel Dickison and Steven Ritter and Tristan Nixon and Thomas K. Harris and Brendon Towle and R. Charles Murray and Robert G. M. Hausmann}, editor = {Vincent Aleven and Judy Kay and Jack Mostow}, title = {Predicting the Effects of Skill Model Changes on Student Progress}, booktitle = {Intelligent Tutoring Systems, 10th International Conference, {ITS} 2010, Pittsburgh, PA, USA, June 14-18, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6095}, pages = {300--302}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13437-1\_51}, doi = {10.1007/978-3-642-13437-1\_51}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/its/DickisonRNHTMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/CookS10, author = {Paul Cook and Suzanne Stevenson}, editor = {Nicoletta Calzolari and Khalid Choukri and Bente Maegaard and Joseph Mariani and Jan Odijk and Stelios Piperidis and Mike Rosner and Daniel Tapias}, title = {Automatically Identifying Changes in the Semantic Orientation of Words}, booktitle = {Proceedings of the International Conference on Language Resources and Evaluation, {LREC} 2010, 17-23 May 2010, Valletta, Malta}, publisher = {European Language Resources Association}, year = {2010}, url = {http://www.lrec-conf.org/proceedings/lrec2010/summaries/657.html}, timestamp = {Mon, 19 Aug 2019 15:22:48 +0200}, biburl = {https://dblp.org/rec/conf/lrec/CookS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/LiHC10, author = {Guangxia Li and Steven C. H. Hoi and Kuiyu Chang}, title = {Two-View Transductive Support Vector Machines}, booktitle = {Proceedings of the {SIAM} International Conference on Data Mining, {SDM} 2010, April 29 - May 1, 2010, Columbus, Ohio, {USA}}, pages = {235--244}, publisher = {{SIAM}}, year = {2010}, url = {https://doi.org/10.1137/1.9781611972801.21}, doi = {10.1137/1.9781611972801.21}, timestamp = {Wed, 17 May 2017 14:24:53 +0200}, biburl = {https://dblp.org/rec/conf/sdm/LiHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/Easterbrook10, author = {Steve M. Easterbrook}, editor = {Gruia{-}Catalin Roman and Kevin J. Sullivan}, title = {Climate change: a grand software challenge}, booktitle = {Proceedings of the Workshop on Future of Software Engineering Research, FoSER 2010, at the 18th {ACM} {SIGSOFT} International Symposium on Foundations of Software Engineering, 2010, Santa Fe, NM, USA, November 7-11, 2010}, pages = {99--104}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1882362.1882383}, doi = {10.1145/1882362.1882383}, timestamp = {Tue, 01 Feb 2022 10:45:16 +0100}, biburl = {https://dblp.org/rec/conf/sigsoft/Easterbrook10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taicpart/HoffmanCBSY10, author = {Daniel Hoffman and Chien Chang and Gary Bazdell and Brett Stevens and Kevin Yoo}, editor = {Leonardo Bottaci and Gordon Fraser}, title = {Bad Pairs in Software Testing}, booktitle = {Testing - Practice and Research Techniques, 5th International Academic and Industrial Conference, {TAIC} {PART} 2010, Windsor, UK, September 3-5, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6303}, pages = {39--55}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15585-7\_6}, doi = {10.1007/978-3-642-15585-7\_6}, timestamp = {Mon, 23 Nov 2020 12:33:09 +0100}, biburl = {https://dblp.org/rec/conf/taicpart/HoffmanCBSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tma/HaddadiFUMMJ10, author = {Hamed Haddadi and Damien Fay and Steve Uhlig and Andrew W. Moore and Richard Mortier and Almerima Jamakovic}, editor = {Fabio Ricciato and Marco Mellia and Ernst W. Biersack}, title = {Mixing Biases: Structural Changes in the {AS} Topology Evolution}, booktitle = {Traffic Monitoring and Analysis, Second International Workshop, {TMA} 2010, Zurich, Switzerland, April 7, 2010, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6003}, pages = {32--45}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12365-8\_3}, doi = {10.1007/978-3-642-12365-8\_3}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/tma/HaddadiFUMMJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icic/2010-3, editor = {De{-}Shuang Huang and T. Martin McGinnity and Laurent Heutte and Xiao{-}Ping (Steven) Zhang}, title = {Advanced Intelligent Computing Theories and Applications - 6th International Conference on Intelligent Computing, {ICIC} 2010, Changsha, China, August 18-21, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {93}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14831-6}, doi = {10.1007/978-3-642-14831-6}, isbn = {978-3-642-14830-9}, timestamp = {Thu, 12 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icic/2010-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1001-1718, author = {Chang Xu and Steven R. Kirk and Samantha Jenkins}, title = {Tiling for Performance Tuning on Different Models of GPUs}, journal = {CoRR}, volume = {abs/1001.1718}, year = {2010}, url = {http://arxiv.org/abs/1001.1718}, eprinttype = {arXiv}, eprint = {1001.1718}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1001-1718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Stevenson09, author = {Graeme Stevenson}, title = {A service infrastructure for change-tolerant context-aware applications}, school = {University of Strathclyde, Glasgow, {UK}}, year = {2009}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.502358}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Stevenson09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/NishimaQHK09, author = {Wataru Nishima and Guoying Qi and Steven Hayward and Akio Kitao}, title = {{DTA:} dihedral transition analysis for characterization of the effects of large main-chain dihedral changes in proteins}, journal = {Bioinform.}, volume = {25}, number = {5}, pages = {628--635}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp032}, doi = {10.1093/BIOINFORMATICS/BTP032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/NishimaQHK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/clsr/Saxby09, author = {Steve Saxby}, title = {Ringing in the changes - {A} quarter century of {CLSR}}, journal = {Comput. Law Secur. Rev.}, volume = {25}, number = {1}, pages = {1--2}, year = {2009}, url = {https://doi.org/10.1016/j.clsr.2008.12.006}, doi = {10.1016/J.CLSR.2008.12.006}, timestamp = {Sat, 12 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/clsr/Saxby09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SankaranZNSMKCG09, author = {Sundar G. Sankaran and Brian J. Zargari and Lalitkumar Nathawad and Hirad Samavati and Srenik S. Mehta and Alireza Kheirkhahi and Phoebe Chen and Ke Gong and Babak Vakili{-}Amini and Justin A. Hwang and Mike Shuo{-}Wei Chen and Manolis Terrovitis and Brian J. Kaczynski and Sotirios Limotyrakis and Michael P. Mack and Haitao Gan and MeeLan Lee and Richard Chang and Hakan Dogan and Shahram Abdollahi{-}Alibeik and Burcin Baytekin and Keith Onodera and Suni Mendis and Andrew Chang and Yashar Rajavi and Steve Hung{-}Min Jen and David K. Su and Bruce A. Wooley}, title = {Design and implementation of a {CMO} 802.11n SoC}, journal = {{IEEE} Commun. Mag.}, volume = {47}, number = {4}, pages = {134--143}, year = {2009}, url = {https://doi.org/10.1109/MCOM.2009.4907420}, doi = {10.1109/MCOM.2009.4907420}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/SankaranZNSMKCG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/EasterbrookJ09, author = {Steve M. Easterbrook and Timothy C. Johns}, title = {Engineering the Software for Understanding Climate Change}, journal = {Comput. Sci. Eng.}, volume = {11}, number = {6}, pages = {65--74}, year = {2009}, url = {https://doi.org/10.1109/MCSE.2009.193}, doi = {10.1109/MCSE.2009.193}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/EasterbrookJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/VishwanathBSLC09, author = {Arun Vishwanath and Linda Brodsky and Steve Shaha and Michael Leonard and Michael Cimino}, title = {Patterns and changes in prescriber attitudes toward {PDA} prescription-assistive technology}, journal = {Int. J. Medical Informatics}, volume = {78}, number = {5}, pages = {330--339}, year = {2009}, url = {https://doi.org/10.1016/j.ijmedinf.2008.10.004}, doi = {10.1016/J.IJMEDINF.2008.10.004}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/VishwanathBSLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/HanksRSB09, author = {Kristin Hanks and Larry Riss and Steve Schunk and Eli Blevis}, title = {Sustainably Ours - Small change, big result}, journal = {Interactions}, volume = {16}, number = {6}, pages = {24--27}, year = {2009}, url = {https://doi.org/10.1145/1620693.1620699}, doi = {10.1145/1620693.1620699}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/HanksRSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jec/QiuCLLLLZ09, author = {Meikang Qiu and Min Chen and Meiqin Liu and Shaobo Liu and Jiayin Li and Xue Liu and Yongxin Zhu}, title = {Online energy-saving algorithm for sensor networks in dynamic changing environments}, journal = {J. Embed. Comput.}, volume = {3}, number = {4}, pages = {289--298}, year = {2009}, url = {https://doi.org/10.3233/JEC-2009-0100}, doi = {10.3233/JEC-2009-0100}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jec/QiuCLLLLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsea/ChangC09, author = {Wei{-}Shung Chang and Chiuh{-}Cheng Chyu}, title = {A Multi-Criteria Decision Making for the Unrelated Parallel Machines Scheduling Problem}, journal = {J. Softw. Eng. Appl.}, volume = {2}, number = {5}, pages = {323--329}, year = {2009}, url = {https://doi.org/10.4236/jsea.2009.25042}, doi = {10.4236/JSEA.2009.25042}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsea/ChangC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LimRCPMR09, author = {Kevin T. Lim and Parthasarathy Ranganathan and Jichuan Chang and Chandrakant D. Patel and Trevor N. Mudge and Steven K. Reinhardt}, title = {Server Designs for Warehouse-Computing Environments}, journal = {{IEEE} Micro}, volume = {29}, number = {1}, pages = {41--49}, year = {2009}, url = {https://doi.org/10.1109/MM.2009.14}, doi = {10.1109/MM.2009.14}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LimRCPMR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChangCJFTBHSE09, author = {Linda Chang and Christine Cloak and C. S. Jiang and S. Farnham and B. Tokeshi and Steven Buchthal and B. Hedemark and L. M. Smith and Thomas Ernst}, title = {Altered neurometabolites and motor integration in children exposed to methamphetamine in utero}, journal = {NeuroImage}, volume = {48}, number = {2}, pages = {391--397}, year = {2009}, url = {https://doi.org/10.1016/j.neuroimage.2009.06.062}, doi = {10.1016/J.NEUROIMAGE.2009.06.062}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChangCJFTBHSE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GrahamPSOASWB09, author = {Steven Graham and Elaine Phua and Chun Siong Soon and Tomasina M. Oh and Chris Au and Borys Shuter and Shih{-}Chang Wang and Yeh Ing Berne}, title = {Role of medial cortical, hippocampal and striatal interactions during cognitive set-shifting}, journal = {NeuroImage}, volume = {45}, number = {4}, pages = {1359--1367}, year = {2009}, url = {https://doi.org/10.1016/j.neuroimage.2008.12.040}, doi = {10.1016/J.NEUROIMAGE.2008.12.040}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GrahamPSOASWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/XuYLHC09, author = {Dong Xu and Shuicheng Yan and Stephen Lin and Thomas S. Huang and Shih{-}Fu Chang}, title = {Enhancing Bilinear Subspace Learning by Element Rearrangement}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {31}, number = {10}, pages = {1913--1920}, year = {2009}, url = {https://doi.org/10.1109/TPAMI.2009.51}, doi = {10.1109/TPAMI.2009.51}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/XuYLHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/MacDonaldTSS09, author = {Steve MacDonald and Kai Tan and Jonathan Schaeffer and Duane Szafron}, title = {Deferring design pattern decisions and automating structural pattern changes using a design-pattern-based programming system}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {31}, number = {3}, pages = {9:1--9:49}, year = {2009}, url = {https://doi.org/10.1145/1498926.1498927}, doi = {10.1145/1498926.1498927}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toplas/MacDonaldTSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wsn/ChenCHZ09, author = {Haiming Chen and Li Cui and Changcheng Huang and He Zhu}, title = {EasiSim: {A} Scalable Simulator for Wireless Sensor Networks}, journal = {Wirel. Sens. Netw.}, volume = {1}, number = {5}, pages = {467--474}, year = {2009}, url = {https://doi.org/10.4236/wsn.2009.15056}, doi = {10.4236/WSN.2009.15056}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wsn/ChenCHZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/WongLLPCJMTXXUS09, author = {Pak Chung Wong and L. Ruby Leung and Ning Lu and Mia Paget and James Correia Jr. and Wei Jiang and Patrick Mackey and Z. Todd Taylor and YuLong Xie and Jianhua Xu and Steve Unwin and Antonio Sanfilippo}, title = {Predicting the Impact of Climate Change on {U.S.} Power Grids and Its Wider Implications on National Security}, booktitle = {Technosocial Predictive Analytics, Papers from the 2009 {AAAI} Spring Symposium, Technical Report SS-09-09, Stanford, California, USA, March 23-25, 2009}, pages = {148--153}, publisher = {{AAAI}}, year = {2009}, url = {http://www.aaai.org/Library/Symposia/Spring/2009/ss09-09-027.php}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/WongLLPCJMTXXUS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChangYS09, author = {Steven Chang and Jingang Yi and Yantao Shen}, title = {Disturbance observer-based hysteresis compensation for piezoelectric actuators}, booktitle = {American Control Conference, {ACC} 2009. St. Louis, Missouri, USA, June 10-12, 2009}, pages = {4196--4201}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ACC.2009.5160703}, doi = {10.1109/ACC.2009.5160703}, timestamp = {Fri, 03 Dec 2021 13:02:58 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ChangYS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cascon/EasterbrookGAP09, author = {Steve M. Easterbrook and Michael Glenn and Jorge Aranda and Jon Pipitone}, editor = {Patrick Martin and Anatol W. Kark and Darlene A. Stewart}, title = {Software research and climate change}, booktitle = {Proceedings of the 2009 conference of the Centre for Advanced Studies on Collaborative Research, November 2-5, 2009, Toronto, Ontario, Canada}, pages = {362--363}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1723028.1723109}, doi = {10.1145/1723028.1723109}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cascon/EasterbrookGAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/TeevanCFDRAH09, author = {Jaime Teevan and Edward Cutrell and Danyel Fisher and Steven Mark Drucker and Gonzalo A. Ramos and Paul Andr{\'{e}} and Chang Hu}, editor = {Dan R. Olsen Jr. and Richard B. Arthur and Ken Hinckley and Meredith Ringel Morris and Scott E. Hudson and Saul Greenberg}, title = {Visual snippets: summarizing web pages for search and revisitation}, booktitle = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Boston, MA, USA, April 4-9, 2009}, pages = {2023--2032}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1518701.1519008}, doi = {10.1145/1518701.1519008}, timestamp = {Sun, 04 Aug 2024 19:38:26 +0200}, biburl = {https://dblp.org/rec/conf/chi/TeevanCFDRAH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YamaguchiJKLNS09, author = {Takehiko Yamaguchi and Steven L. Johnson and Hyung Nam Kim and Yueqing Li and Chang Soo Nam and Tonya L. Smith{-}Jackson}, editor = {Constantine Stephanidis}, title = {Haptic Science Learning System for Students with Visual Impairments: {A} Preliminary Study}, booktitle = {Universal Access in Human-Computer Interaction. Applications and Services, 5th International Conference, {UAHCI} 2009, Held as Part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {5616}, pages = {157--166}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02713-0\_17}, doi = {10.1007/978-3-642-02713-0\_17}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/YamaguchiJKLNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhangLTHMZ09, author = {Xiaoqin Zhang and Changcheng Li and Xiaofeng Tong and Weiming Hu and Stephen J. Maybank and Yimin Zhang}, title = {Efficient human pose estimation via parsing a tree structure based human model}, booktitle = {{IEEE} 12th International Conference on Computer Vision, {ICCV} 2009, Kyoto, Japan, September 27 - October 4, 2009}, pages = {1349--1356}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCV.2009.5459306}, doi = {10.1109/ICCV.2009.5459306}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhangLTHMZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhouLN09, author = {Changyin Zhou and Stephen Lin and Shree K. Nayar}, title = {Coded aperture pairs for depth from defocus}, booktitle = {{IEEE} 12th International Conference on Computer Vision, {ICCV} 2009, Kyoto, Japan, September 27 - October 4, 2009}, pages = {325--332}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCV.2009.5459268}, doi = {10.1109/ICCV.2009.5459268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhouLN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/HeJLHCLL09, author = {Qi He and Daxin Jiang and Zhen Liao and Steven C. H. Hoi and Kuiyu Chang and Ee{-}Peng Lim and Hang Li}, editor = {Yannis E. Ioannidis and Dik Lun Lee and Raymond T. Ng}, title = {Web Query Recommendation via Sequential Query Prediction}, booktitle = {Proceedings of the 25th International Conference on Data Engineering, {ICDE} 2009, March 29 2009 - April 2 2009, Shanghai, China}, pages = {1443--1454}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDE.2009.71}, doi = {10.1109/ICDE.2009.71}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/HeJLHCLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ChechikLNCDESS09, author = {Marsha Chechik and Winnie Lai and Shiva Nejati and Jordi Cabot and Zinovy Diskin and Steve M. Easterbrook and Mehrdad Sabetzadeh and Rick Salay}, title = {Relationship-based change propagation: {A} case study}, booktitle = {{ICSE} Workshop on Modeling in Software Engineering, MiSE 2009, Vancouver, BC, Canada, May 17-18, 2009}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MISE.2009.5069890}, doi = {10.1109/MISE.2009.5069890}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/ChechikLNCDESS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/MenziesWBH09, author = {Tim Menzies and Steve Williams and Barry W. Boehm and Jairus Hihn}, title = {How to avoid drastic software process change (using stochastic stability)}, booktitle = {31st International Conference on Software Engineering, {ICSE} 2009, May 16-24, 2009, Vancouver, Canada, Proceedings}, pages = {540--550}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSE.2009.5070552}, doi = {10.1109/ICSE.2009.5070552}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/MenziesWBH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/SchneiderT09, author = {Steve A. Schneider and Helen Treharne}, editor = {Michael Leuschel and Heike Wehrheim}, title = {Changing System Interfaces Consistently: {A} New Refinement Strategy for CSP{\(\vert\)}{\(\vert\)}B}, booktitle = {Integrated Formal Methods, 7th International Conference, {IFM} 2009, D{\"{u}}sseldorf, Germany, February 16-19, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5423}, pages = {103--117}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00255-7\_8}, doi = {10.1007/978-3-642-00255-7\_8}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifm/SchneiderT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LimCMRRW09, author = {Kevin T. Lim and Jichuan Chang and Trevor N. Mudge and Parthasarathy Ranganathan and Steven K. Reinhardt and Thomas F. Wenisch}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Disaggregated memory for expansion and sharing in blade servers}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {267--278}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555789}, doi = {10.1145/1555754.1555789}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LimCMRRW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KimLCLCKSB09, author = {Daeyeon Kim and Yoonmyung Lee and Jin Cai and Isaac Lauer and Leland Chang and Steven J. Koester and Dennis Sylvester and David T. Blaauw}, editor = {J{\"{o}}rg Henkel and Ali Keshavarzi and Naehyuck Chang and Tahir Ghani}, title = {Low power circuit design based on heterojunction tunneling transistors (HETTs)}, booktitle = {Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009}, pages = {219--224}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1594233.1594287}, doi = {10.1145/1594233.1594287}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/KimLCLCKSB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/TripathiSZTKHXL09, author = {Neha Tripathi and Hock Soon Seah and Steven John Zuiker and Margaret Tan and Heather Kvill and Tomas Yuen Ka Ho and Chang Ke Xin and Yixiang Lu and Yuefeng Li}, editor = {Susanne Boll and Steven C. H. Hoi and Jiebo Luo and Rong Jin and Irwin King and Dong Xu}, title = {VisuaPedia: a social media based visual encyclopedia}, booktitle = {Proceedings of the first {SIGMM} workshop on Social media, WSM@MM 2009, Beijing, China, October 23, 2009}, pages = {89--96}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1631144.1631161}, doi = {10.1145/1631144.1631161}, timestamp = {Wed, 15 Dec 2021 17:59:55 +0100}, biburl = {https://dblp.org/rec/conf/mm/TripathiSZTKHXL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobility/ChangZ09, author = {Loh Zhi Chang and Steven Zhiying Zhou}, title = {Robust pre-processing techniques for {OCR} applications on mobile devices}, booktitle = {Proceedings of the 6th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2009, Nice, France, September 2-4, 2009}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1710035.1710095}, doi = {10.1145/1710035.1710095}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobility/ChangZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/Easterbrook09, author = {Steve M. Easterbrook}, editor = {Shail Arora and Gary T. Leavens}, title = {First international workshop on software research and climate change}, booktitle = {Companion to the 24th Annual {ACM} {SIGPLAN} Conference on Object-Oriented Programming, Systems, Languages, and Applications, {OOPSLA} 2009, October 25-29, 2009, Orlando, Florida, {USA}}, pages = {1057--1058}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1639950.1640087}, doi = {10.1145/1639950.1640087}, timestamp = {Mon, 12 Jul 2021 15:34:15 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/Easterbrook09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/GatrellCH99a, author = {Matt Gatrell and Steve Counsell and Tracy Hall}, editor = {Andy Zaidman and Giuliano Antoniol and St{\'{e}}phane Ducasse}, title = {Design Patterns and Change Proneness: {A} Replication Using Proprietary C{\#} Software}, booktitle = {16th Working Conference on Reverse Engineering, {WCRE} 2009, 13-16 October 2009, Lille, France}, pages = {160--164}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/WCRE.2009.31}, doi = {10.1109/WCRE.2009.31}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcre/GatrellCH99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/JonesGKWZT08, author = {Quentin Jones and Sukeshini A. Grandhi and Samer Karam and Steve Whittaker and Changqing Zhou and Loren G. Terveen}, title = {Geographic 'Place' and 'Community Information' Preferences}, journal = {Comput. Support. Cooperative Work.}, volume = {17}, number = {2-3}, pages = {137--167}, year = {2008}, url = {https://doi.org/10.1007/s10606-007-9038-3}, doi = {10.1007/S10606-007-9038-3}, timestamp = {Mon, 11 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cscw/JonesGKWZT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChanSM08, author = {Wai{-}Sun Chan and Michael Stevenson and Kieran McGlade}, title = {Do general practitioners change how they use the computer during consultations with a significant psychological component?}, journal = {Int. J. Medical Informatics}, volume = {77}, number = {8}, pages = {534--538}, year = {2008}, url = {https://doi.org/10.1016/j.ijmedinf.2007.10.005}, doi = {10.1016/J.IJMEDINF.2007.10.005}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChanSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiuHSKC08, author = {Yu{-}Chin Liu and Ping{-}Yu Hsu and Gwo{-}Ji Sheen and Steve Ku and Kai{-}Wen Chang}, title = {Simultaneous determination of view selection and update policy with stochastic query and response time constraints}, journal = {Inf. Sci.}, volume = {178}, number = {18}, pages = {3491--3509}, year = {2008}, url = {https://doi.org/10.1016/j.ins.2008.05.021}, doi = {10.1016/J.INS.2008.05.021}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/LiuHSKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/EhrlichmanMMS08, author = {Richard S. Ehrlichman and Christina R. Maxwell and Sonalee Majumdar and Steven J. Siegel}, title = {Deviance-elicited Changes in Event-related Potentials are Attenuated by Ketamine in Mice}, journal = {J. Cogn. Neurosci.}, volume = {20}, number = {8}, pages = {1403--1414}, year = {2008}, url = {https://doi.org/10.1162/jocn.2008.20097}, doi = {10.1162/JOCN.2008.20097}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/EhrlichmanMMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZargariNSMKCGVH08, author = {Masoud Zargari and Lalitkumar Nathawad and Hirad Samavati and Srenik S. Mehta and Alireza Kheirkhahi and Phoebe Chen and Ke Gong and Babak Vakili{-}Amini and Justin A. Hwang and Mike Shuo{-}Wei Chen and Manolis Terrovitis and Brian J. Kaczynski and Sotirios Limotyrakis and Michael P. Mack and Haitao Gan and MeeLan Lee and Richard Chang and Hakan Dogan and Shahram Abdollahi{-}Alibeik and Burcin Baytekin and Keith Onodera and Suni Mendis and Andrew Chang and Yashar Rajavi and Steve Hung{-}Min Jen and David K. Su and Bruce A. Wooley}, title = {A Dual-Band {CMOS} {MIMO} Radio SoC for {IEEE} 802.11n Wireless {LAN}}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {12}, pages = {2882--2895}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2005742}, doi = {10.1109/JSSC.2008.2005742}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ZargariNSMKCGVH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GiorgioWDJJSMSJ08, author = {Antonio Giorgio and Kate E. Watkins and Gwena{\"{e}}lle Douaud and A. C. James and S. James and Nicola De Stefano and Paul M. Matthews and Steve M. Smith and Heidi Johansen{-}Berg}, title = {Changes in white matter microstructure during adolescence}, journal = {NeuroImage}, volume = {39}, number = {1}, pages = {52--61}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2007.07.043}, doi = {10.1016/J.NEUROIMAGE.2007.07.043}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GiorgioWDJJSMSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShuterBGAW08, author = {Borys Shuter and Yeh Ing Berne and Steven Graham and Chris Au and Shih{-}Chang Wang}, title = {Reproducibility of brain tissue volumes in longitudinal studies: Effects of changes in signal-to-noise ratio and scanner software}, journal = {NeuroImage}, volume = {41}, number = {2}, pages = {371--379}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2008.02.003}, doi = {10.1016/J.NEUROIMAGE.2008.02.003}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ShuterBGAW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/KundajeXLLZZL08, author = {Anshul Kundaje and Xiantong Xin and Changgui Lan and Steve Lianoglou and Mei Zhou and Li Zhang and Christina S. Leslie}, title = {A Predictive Model of the Oxygen and Heme Regulatory Network in Yeast}, journal = {PLoS Comput. Biol.}, volume = {4}, number = {11}, year = {2008}, url = {https://doi.org/10.1371/journal.pcbi.1000224}, doi = {10.1371/JOURNAL.PCBI.1000224}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/KundajeXLLZZL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/VodovotzCBCA08, author = {Yoram Vodovotz and M{\'{a}}rie Csete and John Bartels and Steven Chang and Gary An}, title = {Translational Systems Biology of Inflammation}, journal = {PLoS Comput. Biol.}, volume = {4}, number = {4}, year = {2008}, url = {https://doi.org/10.1371/journal.pcbi.1000014}, doi = {10.1371/JOURNAL.PCBI.1000014}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/VodovotzCBCA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/GulatiKSKB08, author = {Divya Gulati and Changkyu Kim and Simha Sethumadhavan and Stephen W. Keckler and Doug Burger}, title = {Multitasking workload scheduling on flexible core chip multiprocessors}, journal = {{SIGARCH} Comput. Archit. News}, volume = {36}, number = {2}, pages = {46--55}, year = {2008}, url = {https://doi.org/10.1145/1399972.1399981}, doi = {10.1145/1399972.1399981}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/GulatiKSKB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChangLMSX08, author = {Shih{-}Fu Chang and Jiebo Luo and Stephen J. Maybank and Dan Schonfeld and Dong Xu}, title = {An Introduction to the Special Issue on Event Analysis in Videos}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {18}, number = {11}, pages = {1469--1472}, year = {2008}, url = {https://doi.org/10.1109/TCSVT.2008.2007023}, doi = {10.1109/TCSVT.2008.2007023}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/ChangLMSX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/DenefRDS08, author = {Sebastian Denef and Leonardo Ramirez and Tobias Dyrks and Gunnar Stevens}, editor = {Johann van der Schijff and Gary Marsden}, title = {Handy navigation in ever-changing spaces: an ethnographic study of firefighting practices}, booktitle = {Proceedings of the Conference on Designing Interactive Systems, Cape Town, South Africa, February 25-27, 2008}, pages = {184--192}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1394445.1394465}, doi = {10.1145/1394445.1394465}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/DenefRDS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/GulatiKSKB08, author = {Divya Gulati and Changkyu Kim and Simha Sethumadhavan and Stephen W. Keckler and Doug Burger}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Multitasking workload scheduling on flexible-core chip multiprocessors}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {187--196}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454142}, doi = {10.1145/1454115.1454142}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/GulatiKSKB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/TheilerA08, author = {James Theiler and Steven M. Adler{-}Golden}, title = {Detection of ephemeral changes in sequences of images}, booktitle = {37th {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2008, Washington, DC, USA, 15-17 October 2008, Proceedings}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/AIPR.2008.4906469}, doi = {10.1109/AIPR.2008.4906469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aipr/TheilerA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YiCMS08, author = {Jingang Yi and Steven Chang and Kee Moon and Yang Shi}, title = {Dynamic modeling of an L-shape {PMN-PT} piezo-based manipulator}, booktitle = {American Control Conference, {ACC} 2008, Seattle, WA, USA, 11-13 June 2008}, pages = {3755--3760}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACC.2008.4587078}, doi = {10.1109/ACC.2008.4587078}, timestamp = {Fri, 03 Dec 2021 13:02:23 +0100}, biburl = {https://dblp.org/rec/conf/amcc/YiCMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/DrewG08, author = {Touby Drew and Steve Goetz}, editor = {Teodiano Freire Bastos{-}Filho and Hugo Gamboa}, title = {Vision of the Virtual Programmer - Steps Towards Change in Instrument Systems for Implantable Medical Devices}, booktitle = {Proceedings of the First International Conference on Biomedical Electronics and Devices, {BIODEVICES} 2008, Funchal, Madeira, Portugal, January 28-31, 2008, Volume 1}, pages = {156--159}, publisher = {{INSTICC} - Institute for Systems and Technologies of Information, Control and Communication}, year = {2008}, timestamp = {Mon, 30 Sep 2024 21:31:02 +0200}, biburl = {https://dblp.org/rec/conf/biostec/DrewG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/BiskerOPCC08, author = {Solomon Bisker and Hector Ouilhet and Steve Pomeroy and Agnes Chang and Federico Casalegno}, editor = {Mary Czerwinski and Arnold M. Lund and Desney S. Tan}, title = {Re-thinking fashion trade shows: creating conversations through mobile tagging}, booktitle = {Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, {CHI} 2008, Florence, Italy, April 5-10, 2008}, pages = {3351--3356}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1358628.1358856}, doi = {10.1145/1358628.1358856}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/BiskerOPCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HoiLC08, author = {Steven C. H. Hoi and Wei Liu and Shih{-}Fu Chang}, title = {Semi-supervised distance metric learning for Collaborative Image Retrieval}, booktitle = {2008 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2008), 24-26 June 2008, Anchorage, Alaska, {USA}}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CVPR.2008.4587351}, doi = {10.1109/CVPR.2008.4587351}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HoiLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/PigeonC08, author = {Steven Pigeon and St{\'{e}}phane Coulombe}, title = {Very Low Cost Algorithms for Predicting the File Size of {JPEG} Images Subject to Changes of Quality Factor and Scaling}, booktitle = {2008 Data Compression Conference {(DCC} 2008), 25-27 March 2008, Snowbird, UT, {USA}}, pages = {538}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DCC.2008.85}, doi = {10.1109/DCC.2008.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcc/PigeonC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SubramanianCVHJ08, author = {Vivek Subramanian and Josephine B. Chang and Alejandro de la Fuente Vornbrock and Daniel C. Huang and Lakshmi Jagannathan and Frank Liao and Brian Mattis and Steven E. Molesa and David R. Redinger and Daniel Soltman and Steven K. Volkman and Qintao Zhang}, editor = {William Redman{-}White and Anthony J. Walton}, title = {Printed electronics for low-cost electronic systems: Technology status and application development}, booktitle = {{ESSCIRC} 2008 - 34th European Solid-State Circuits Conference, Edinburgh, Scotland, UK, 15-19 September 2008}, pages = {17--24}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ESSCIRC.2008.4681785}, doi = {10.1109/ESSCIRC.2008.4681785}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SubramanianCVHJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LimRCPMR08, author = {Kevin T. Lim and Parthasarathy Ranganathan and Jichuan Chang and Chandrakant D. Patel and Trevor N. Mudge and Steven K. Reinhardt}, title = {Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments}, booktitle = {35th International Symposium on Computer Architecture {(ISCA} 2008), June 21-25, 2008, Beijing, China}, pages = {315--326}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISCA.2008.37}, doi = {10.1109/ISCA.2008.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/LimRCPMR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NathawadZSMKCGVHCTKLMGLABOMCJSW08, author = {Lalitkumar Nathawad and Masoud Zargari and Hirad Samavati and Srenik S. Mehta and Alireza Kheirkhahi and Phoebe Chen and Ke Gong and Babak Vakili{-}Amini and Justin A. Hwang and Mike Shuo{-}Wei Chen and Manolis Terrovitis and Brian J. Kaczynski and Sotirios Limotyrakis and Michael P. Mack and Haitao Gan and MeeLan Lee and Shahram Abdollahi{-}Alibeik and Burcin Baytekin and Keith Onodera and Sunetra Mendis and Andrew Chang and Steve H. Jen and David K. Su and Bruce A. Wooley}, title = {A Dual-Band {CMOS} {MIMO} Radio SoC for {IEEE} 802.11n Wireless {LAN}}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {358--359}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523205}, doi = {10.1109/ISSCC.2008.4523205}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NathawadZSMKCGVHCTKLMGLABOMCJSW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/BoriahKSPK08, author = {Shyam Boriah and Vipin Kumar and Michael S. Steinbach and Christopher Potter and Steven A. Klooster}, editor = {Ying Li and Bing Liu and Sunita Sarawagi}, title = {Land cover change detection: a case study}, booktitle = {Proceedings of the 14th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Las Vegas, Nevada, USA, August 24-27, 2008}, pages = {857--865}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1401890.1401993}, doi = {10.1145/1401890.1401993}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/BoriahKSPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/ReisGKW08, author = {Charles Reis and Steven D. Gribble and Tadayoshi Kohno and Nicholas C. Weaver}, editor = {Jon Crowcroft and Michael Dahlin}, title = {Detecting In-Flight Page Changes with Web Tripwires}, booktitle = {5th {USENIX} Symposium on Networked Systems Design {\&} Implementation, {NSDI} 2008, April 16-18, 2008, San Francisco, CA, USA, Proceedings}, pages = {31--44}, publisher = {{USENIX} Association}, year = {2008}, url = {http://www.usenix.org/events/nsdi08/tech/full\_papers/reis/reis.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nsdi/ReisGKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/Stevenson08, author = {Duncan Stevenson}, editor = {Nicola J. Bidwell}, title = {Training and process change: a collaborative telehealth case study}, booktitle = {Proceedings of the 20th Australasian Computer-Human Interaction Conference, {OZCHI} 2008: Designing for Habitus and Habitat, Cairns, Australia, December 8-12, 2008}, series = {{ACM} International Conference Proceeding Series}, volume = {287}, pages = {65--72}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517744.1517765}, doi = {10.1145/1517744.1517765}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ozchi/Stevenson08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scss/ClarkST08, author = {Stephen O. Clark and Steven T. Shorrock and Nic Turley}, editor = {Felix Redmill and Tom Anderson}, title = {Human Factors Safety Assurance for Changing {ATM} Systems}, booktitle = {Improvements in System Safety - Proceedings of the Sixteenth Safety-Critical Systems Symposium, Brighton, UK, February 5-7, 2008}, pages = {155--173}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-1-84800-100-8\_10}, doi = {10.1007/978-1-84800-100-8\_10}, timestamp = {Fri, 15 May 2020 12:05:38 +0200}, biburl = {https://dblp.org/rec/conf/scss/ClarkST08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChangLQZHL08, author = {Shan Chang and Qingxi Li and Yong Qi and Jizhong Zhao and Yuan He and Xue Liu}, editor = {Tarek F. Abdelzaher and Margaret Martonosi and Adam Wolisz}, title = {Safety assurance for archeologists using sensor network}, booktitle = {Proceedings of the 6th International Conference on Embedded Networked Sensor Systems, SenSys 2008, Raleigh, NC, USA, November 5-7, 2008}, pages = {359--360}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1460412.1460451}, doi = {10.1145/1460412.1460451}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChangLQZHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/tf/08/BoriahKSTPK08, author = {Shyam Boriah and Vipin Kumar and Michael S. Steinbach and Pang{-}Ning Tan and Christopher Potter and Steven A. Klooster}, editor = {Hillol Kargupta and Jiawei Han and Philip S. Yu and Rajeev Motwani and Vipin Kumar}, title = {Detecting Ecosystem Disturbances and Land Cover Change Using Data Mining}, booktitle = {Next Generation of Data Mining}, series = {Chapman and Hall / {CRC} Data Mining and Knowledge Discovery Series}, publisher = {{CRC} Press / Chapman and Hall / Taylor {\&} Francis}, year = {2008}, url = {https://doi.org/10.1201/9781420085877.ch2}, doi = {10.1201/9781420085877.CH2}, timestamp = {Fri, 29 Apr 2022 17:40:48 +0200}, biburl = {https://dblp.org/rec/books/tf/08/BoriahKSTPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/LiuYCG07, author = {Changwen Liu and Mark D. Yarvis and W. Steven Conner and Xingang Guo}, title = {Guaranteed on-demand discovery of node-disjoint paths in ad hoc networks}, journal = {Comput. Commun.}, volume = {30}, number = {14-15}, pages = {2917--2930}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2007.05.028}, doi = {10.1016/J.COMCOM.2007.05.028}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/LiuYCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cominfsys/ChangFHM07, author = {Hyeong Soo Chang and Michael C. Fu and Jiaqiao Hu and Steven I. Marcus}, title = {A survey of some simulation-based algorithms for Markov decision processes}, journal = {Commun. Inf. Syst.}, volume = {7}, number = {1}, pages = {59--92}, year = {2007}, url = {https://doi.org/10.4310/cis.2007.v7.n1.a4}, doi = {10.4310/CIS.2007.V7.N1.A4}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cominfsys/ChangFHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmsj/WardABOW07, author = {Christopher Ward and Vijay Aggarwal and Melissa J. Buco and Emi Olsson and Steve Weinberger}, title = {Integrated change and configuration management}, journal = {{IBM} Syst. J.}, volume = {46}, number = {3}, pages = {459--478}, year = {2007}, url = {https://doi.org/10.1147/sj.463.0459}, doi = {10.1147/SJ.463.0459}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmsj/WardABOW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isj/ChuS07, author = {Catherine Chu and Steve Smithson}, title = {E-business and organizational change: a structurational approach}, journal = {Inf. Syst. J.}, volume = {17}, number = {4}, pages = {369--389}, year = {2007}, url = {https://doi.org/10.1111/j.1365-2575.2007.00258.x}, doi = {10.1111/J.1365-2575.2007.00258.X}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isj/ChuS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KashnerHHMHLJCGH07, author = {T. Michael Kashner and Robert Hinson and Gloria J. Holland and Don D. Mickey and Keith Hoffman and Lisa Lind and Linda D. Johnson and Barbara K. Chang and Richard M. Golden and Steven S. Henley}, title = {Technical Brief: {A} Data Accounting System for Clinical Investigators}, journal = {J. Am. Medical Informatics Assoc.}, volume = {14}, number = {4}, pages = {394--396}, year = {2007}, url = {https://doi.org/10.1197/jamia.M2218}, doi = {10.1197/JAMIA.M2218}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KashnerHHMHLJCGH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/logcom/ShapiroLL07, author = {Steven Shapiro and Yves Lesp{\'{e}}rance and Hector J. Levesque}, title = {Goal Change in the Situation Calculus}, journal = {J. Log. Comput.}, volume = {17}, number = {5}, pages = {983--1018}, year = {2007}, url = {https://doi.org/10.1093/logcom/exm050}, doi = {10.1093/LOGCOM/EXM050}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/logcom/ShapiroLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/GratzKSHSKB07, author = {Paul Gratz and Changkyu Kim and Karthikeyan Sankaralingam and Heather Hanson and Premkishore Shivakumar and Stephen W. Keckler and Doug Burger}, title = {On-Chip Interconnection Networks of the {TRIPS} Chip}, journal = {{IEEE} Micro}, volume = {27}, number = {5}, pages = {41--50}, year = {2007}, url = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.90}, doi = {10.1109/MM.2007.90}, timestamp = {Thu, 08 Nov 2007 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/GratzKSHSKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/ChangMMRS07, author = {Britton Chang and Thomas A. Manteuffel and Stephen F. McCormick and John W. Ruge and B. Sheehan}, title = {Spatial Multigrid for Isotropic Neutron Transport}, journal = {{SIAM} J. Sci. Comput.}, volume = {29}, number = {5}, pages = {1900--1917}, year = {2007}, url = {https://doi.org/10.1137/060661363}, doi = {10.1137/060661363}, timestamp = {Fri, 28 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamsc/ChangMMRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigcas/JensenCG07, author = {Bradley K. Jensen and Melinda Cline and Carl Stephen Guynes}, title = {HIPPA, privacy and organizational change: a challenge for management}, journal = {{SIGCAS} Comput. Soc.}, volume = {37}, number = {1}, pages = {12--17}, year = {2007}, url = {https://doi.org/10.1145/1273353.1273354}, doi = {10.1145/1273353.1273354}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigcas/JensenCG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangFHM07, author = {Hyeong Soo Chang and Michael C. Fu and Jiaqiao Hu and Steven I. Marcus}, title = {An Asymptotically Efficient Simulation-Based Algorithm for Finite Horizon Stochastic Dynamic Programming}, journal = {{IEEE} Trans. Autom. Control.}, volume = {52}, number = {1}, pages = {89--94}, year = {2007}, url = {https://doi.org/10.1109/TAC.2006.887917}, doi = {10.1109/TAC.2006.887917}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangFHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangFHM07a, author = {Hyeong Soo Chang and Michael C. Fu and Jiaqiao Hu and Steven I. Marcus}, title = {Recursive Learning Automata Approach to Markov Decision Processes}, journal = {{IEEE} Trans. Autom. Control.}, volume = {52}, number = {7}, pages = {1349--1355}, year = {2007}, url = {https://doi.org/10.1109/TAC.2007.900859}, doi = {10.1109/TAC.2007.900859}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangFHM07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/McIntoshOCM07, author = {Richard McIntosh and Geraint Wyn Owen and Steve J. Culley and Tony Mileham}, title = {Changeover Improvement: Reinterpreting Shingo's "SMED" Methodology}, journal = {{IEEE} Trans. Engineering Management}, volume = {54}, number = {1}, pages = {98--111}, year = {2007}, url = {https://doi.org/10.1109/TEM.2006.889070}, doi = {10.1109/TEM.2006.889070}, timestamp = {Fri, 17 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/McIntoshOCM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HuhKSZBK07, author = {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler}, title = {A {NUCA} Substrate for Flexible {CMP} Cache Sharing}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {8}, pages = {1028--1040}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1091}, doi = {10.1109/TPDS.2007.1091}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HuhKSZBK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alt/BusuttilK07, author = {Steven Busuttil and Yuri Kalnishkan}, editor = {Marcus Hutter and Rocco A. Servedio and Eiji Takimoto}, title = {Online Regression Competitive with Changing Predictors}, booktitle = {Algorithmic Learning Theory, 18th International Conference, {ALT} 2007, Sendai, Japan, October 1-4, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4754}, pages = {181--195}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75225-7\_17}, doi = {10.1007/978-3-540-75225-7\_17}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/alt/BusuttilK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChangFM07, author = {Hyeong Soo Chang and Michael C. Fu and Steven I. Marcus}, title = {Adversarial multi-armed bandit approach to two-person zero-sum Markov games}, booktitle = {46th {IEEE} Conference on Decision and Control, {CDC} 2007, New Orleans, LA, USA, December 12-14, 2007}, pages = {127--132}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CDC.2007.4434044}, doi = {10.1109/CDC.2007.4434044}, timestamp = {Fri, 04 Mar 2022 13:27:03 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChangFM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SunterR07, author = {Stephen K. Sunter and Aubin Roy}, title = {Testing SerDes beyond 4 Gbps - changing priorities}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {135--138}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405698}, doi = {10.1109/CICC.2007.4405698}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SunterR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanXLHC07, author = {Shuicheng Yan and Dong Xu and Stephen Lin and Thomas S. Huang and Shih{-}Fu Chang}, title = {Element Rearrangement for Tensor-Based Subspace Learning}, booktitle = {2007 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2007), 18-23 June 2007, Minneapolis, Minnesota, {USA}}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CVPR.2007.382984}, doi = {10.1109/CVPR.2007.382984}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YanXLHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhouL07, author = {Changyin Zhou and Stephen Lin}, title = {Removal of Image Artifacts Due to Sensor Dust}, booktitle = {2007 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2007), 18-23 June 2007, Minneapolis, Minnesota, {USA}}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CVPR.2007.383260}, doi = {10.1109/CVPR.2007.383260}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhouL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecml/BusuttilK07, author = {Steven Busuttil and Yuri Kalnishkan}, editor = {Joost N. Kok and Jacek Koronacki and Ram{\'{o}}n L{\'{o}}pez de M{\'{a}}ntaras and Stan Matwin and Dunja Mladenic and Andrzej Skowron}, title = {Weighted Kernel Regression for Predicting Changing Dependencies}, booktitle = {Machine Learning: {ECML} 2007, 18th European Conference on Machine Learning, Warsaw, Poland, September 17-21, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4701}, pages = {535--542}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74958-5\_50}, doi = {10.1007/978-3-540-74958-5\_50}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/ecml/BusuttilK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YenLLCM07, author = {Hong{-}Hsu Yen and Frank Yeong{-}Sung Lin and Steven S. W. Lee and Hsiao{-}Tse Chang and Biswanath Mukherjee}, title = {Optical {WDM} Network Planning Using Heterogeneous Multi-granularity OXCs}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {2300--2306}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.386}, doi = {10.1109/ICC.2007.386}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/YenLLCM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbss/Easterbrook07, author = {Steve M. Easterbrook}, title = {Scale Changes Everything: Understanding the Requirements for Systems of Systems}, booktitle = {Sixth International {IEEE} Conference on Commercial-off-the-Shelf (COTS)-Based Software Systems, ICCBSS'07, Banff, Alberta, Canada, February 26 - March 2, 2007}, pages = {16}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCBSS.2007.32}, doi = {10.1109/ICCBSS.2007.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccbss/Easterbrook07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/JinKE07, author = {Changlong Jin and Hakil Kim and Stephen J. Elliott}, editor = {Kil{-}Hyun Nam and Gwangsoo Rhee}, title = {Liveness Detection of Fingerprint Based on Band-Selective Fourier Spectrum}, booktitle = {Information Security and Cryptology - {ICISC} 2007, 10th International Conference, Seoul, Korea, November 29-30, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4817}, pages = {168--179}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76788-6\_14}, doi = {10.1007/978-3-540-76788-6\_14}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icisc/JinKE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/CurryGLVB07, author = {Chris Curry and Robert L. Grossman and David Locke and Steve Vejcik and Joseph Bugajski}, editor = {Pavel Berkhin and Rich Caruana and Xindong Wu}, title = {Detecting changes in large data sets of payment card data: a case study}, booktitle = {Proceedings of the 13th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, San Jose, California, USA, August 12-15, 2007}, pages = {1018--1022}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1281192.1281303}, doi = {10.1145/1281192.1281303}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/kdd/CurryGLVB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KimSGRGBK07, author = {Changkyu Kim and Simha Sethumadhavan and M. S. Govindan and Nitya Ranganathan and Divya Gulati and Doug Burger and Stephen W. Keckler}, title = {Composable Lightweight Processors}, booktitle = {40th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-40} 2007), 1-5 December 2007, Chicago, Illinois, {USA}}, pages = {381--394}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MICRO.2007.41}, doi = {10.1109/MICRO.2007.41}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/KimSGRGBK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/um/StevensGB07, author = {Ronald H. Stevens and Trysha Galloway and Chris Berka}, editor = {Cristina Conati and Kathleen F. McCoy and Georgios Paliouras}, title = {EEG-Related Changes in Cognitive Workload, Engagement and Distraction as Students Acquire Problem Solving Skills}, booktitle = {User Modeling 2007, 11th International Conference, {UM} 2007, Corfu, Greece, June 25-29, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4511}, pages = {187--196}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73078-1\_22}, doi = {10.1007/978-3-540-73078-1\_22}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/um/StevensGB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/ShapiroB07, author = {Steven Shapiro and Gerhard Brewka}, editor = {Giacomo Bonanno and James P. Delgrande and J{\'{e}}r{\^{o}}me Lang and Hans Rott}, title = {Dynamic Interactions Between Goals and Beliefs}, booktitle = {Formal Models of Belief Change in Rational Agents, 26.08. - 30.08.2007}, series = {Dagstuhl Seminar Proceedings}, volume = {07351}, publisher = {Internationales Begegnungs- und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl, Germany}, year = {2007}, url = {http://drops.dagstuhl.de/opus/volltexte/2007/1199}, timestamp = {Thu, 10 Jun 2021 13:02:04 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/ShapiroB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc4808, author = {Steven M. Bellovin}, title = {Key Change Strategies for {TCP-MD5}}, journal = {{RFC}}, volume = {4808}, pages = {1--8}, year = {2007}, url = {https://doi.org/10.17487/RFC4808}, doi = {10.17487/RFC4808}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rfc/rfc4808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsci/DagermanMH06, author = {Karen Stevens Dagerman and Maryellen C. MacDonald and Michael W. Harm}, title = {Aging and the Use of Context in Ambiguity Resolution: Complex Changes From Simple Slowing}, journal = {Cogn. Sci.}, volume = {30}, number = {2}, pages = {311--345}, year = {2006}, url = {https://doi.org/10.1207/s15516709cog0000\_46}, doi = {10.1207/S15516709COG0000\_46}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsci/DagermanMH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/db/GuanSCA06, author = {Liming Guan and Steve G. Sutton and C. Janie Chang and Vicky Arnold}, title = {Further evidence on shareholder wealth effects of announcements for newly created {CIO} positions}, journal = {Data Base}, volume = {37}, number = {2-3}, pages = {176--191}, year = {2006}, url = {https://doi.org/10.1145/1161345.1161365}, doi = {10.1145/1161345.1161365}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/db/GuanSCA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/PearsonN06, author = {Matthew Pearson and Steven Naylor}, title = {Changing contexts: Teacher professional development and {ICT} pedagogy}, journal = {Educ. Inf. Technol.}, volume = {11}, number = {3-4}, pages = {283--291}, year = {2006}, url = {https://doi.org/10.1007/s10639-006-9013-5}, doi = {10.1007/S10639-006-9013-5}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/PearsonN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/JooBL06, author = {Changhee Joo and Saewoong Bahk and Steven S. Lumetta}, title = {A hybrid active queue management for stability and fast adaptation}, journal = {J. Commun. Networks}, volume = {8}, number = {1}, pages = {93--105}, year = {2006}, url = {https://doi.org/10.1109/JCN.2006.6182909}, doi = {10.1109/JCN.2006.6182909}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/JooBL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GoldmanHKZCMSJS06, author = {Morris B. Goldman and Linda Heidinger and Kirti Kulkarni and David C. Zhu and Andrew Chien and Donald G. McLaren and Javaid Shah and Charles E. Coffey Jr. and Sadia Sharif and E. Elinor Chen and Stephen J. Uftring and Steven L. Small and Ana Solodkin and Ramani S. Pilla}, title = {Changes in the amplitude and timing of the hemodynamic response associated with prepulse inhibition of acoustic startle}, journal = {NeuroImage}, volume = {32}, number = {3}, pages = {1375--1384}, year = {2006}, url = {https://doi.org/10.1016/j.neuroimage.2006.04.228}, doi = {10.1016/J.NEUROIMAGE.2006.04.228}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GoldmanHKZCMSJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nhm/AldersonCRUW06, author = {David L. Alderson and Hyunseok Chang and Matthew Roughan and Steve Uhlig and Walter Willinger}, title = {The many facets of internet topology and traffic}, journal = {Networks Heterog. Media}, volume = {1}, number = {4}, pages = {569--600}, year = {2006}, url = {https://doi.org/10.3934/nhm.2006.1.569}, doi = {10.3934/NHM.2006.1.569}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nhm/AldersonCRUW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/KimLMMR06, author = {Sang Dong Kim and Chang{-}Ock Lee and Thomas A. Manteuffel and Stephen F. McCormick and Oliver R{\"{o}}hrle}, title = {First-order system least squares for the Oseen equations}, journal = {Numer. Linear Algebra Appl.}, volume = {13}, number = {7}, pages = {523--542}, year = {2006}, url = {https://doi.org/10.1002/nla.485}, doi = {10.1002/NLA.485}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/KimLMMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChengQCPA06, author = {Ying Cheng and Chang Qian and Mariesa L. Crow and Steve Pekarek and Stanley Atcitty}, title = {A Comparison of Diode-Clamped and Cascaded Multilevel Converters for a {STATCOM} With Energy Storage}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {53}, number = {5}, pages = {1512--1521}, year = {2006}, url = {https://doi.org/10.1109/TIE.2006.882022}, doi = {10.1109/TIE.2006.882022}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChengQCPA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/Manson06, author = {Steven M. Manson}, title = {Land use in the southern Yucat{\'{a}}n peninsular region of Mexico: Scenarios of population and institutional change}, journal = {Comput. Environ. Urban Syst.}, volume = {30}, number = {3}, pages = {230--253}, year = {2006}, url = {https://doi.org/10.1016/j.compenvurbsys.2005.01.009}, doi = {10.1016/J.COMPENVURBSYS.2005.01.009}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/urban/Manson06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/ShenOF06, author = {Changsong Shen and Steve Oldridge and Sidney S. Fels}, title = {Open Source Vision Library (OpenVL) Based Local Positioning System}, booktitle = {Advanced Video and Signal Based Surveillance, 2006 {IEEE} International Conference on Video and Signal Based Surveillance (AVSS'06), 22-24 November 2006, Sydney, Australia}, pages = {105}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/AVSS.2006.88}, doi = {10.1109/AVSS.2006.88}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/ShenOF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/FangCOW06, author = {Changpeng Fang and Steve Carr and Soner {\"{O}}nder and Zhenlin Wang}, editor = {Alan Mycroft and Andreas Zeller}, title = {Path-Based Reuse Distance Analysis}, booktitle = {Compiler Construction, 15th International Conference, {CC} 2006, Held as Part of the Joint European Conferences on Theory and Practice of Software, {ETAPS} 2006, Vienna, Austria, March 30-31, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3923}, pages = {32--46}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11688839\_4}, doi = {10.1007/11688839\_4}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cc/FangCOW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/Chang0M06, author = {Hyeong Soo Chang and Michael C. Fu and Steven I. Marcus}, title = {Adversarial Multi-Armed Bandit Approach to Stochastic Optimization}, booktitle = {45th {IEEE} Conference on Decision and Control, {CDC} 2006, San Diego, CA, USA, December 13-15, 2006}, pages = {5681--5686}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CDC.2006.377724}, doi = {10.1109/CDC.2006.377724}, timestamp = {Fri, 04 Mar 2022 13:26:30 +0100}, biburl = {https://dblp.org/rec/conf/cdc/Chang0M06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SinghKPGF06, author = {Vijay P. Singh and Dinesh K. Kumar and Barbara Polus and Sonia Lo Guidice and Steve Fraser}, title = {Changes in {SEMG} during the Long Duration Cycling Exercise}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {6161--6164}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260212}, doi = {10.1109/IEMBS.2006.260212}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SinghKPGF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/MorphetFBG06, author = {Steven B. Morphet and James W. Fawcett and S. Kanat Bolazar and Murat K. Gungor}, title = {Neural Net Analysis of the Propensity for Change in Large Software Systems}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2006, Vancouver, BC, Canada, July 16-21, 2006}, pages = {1329--1333}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FUZZY.2006.1681882}, doi = {10.1109/FUZZY.2006.1681882}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/MorphetFBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GratzKMKB06, author = {Paul Gratz and Changkyu Kim and Robert G. McDonald and Stephen W. Keckler and Doug Burger}, title = {Implementation and Evaluation of On-Chip Network Architectures}, booktitle = {24th International Conference on Computer Design {(ICCD} 2006), 1-4 October 2006, San Jose, CA, {USA}}, pages = {477--484}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICCD.2006.4380859}, doi = {10.1109/ICCD.2006.4380859}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GratzKMKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/WangKYC06, author = {Tzu{-}Ming Wang and Ming{-}Dou Ker and Steve Yeh and Ya{-}Chun Chang}, title = {Low-Power Wordline Voltage Generator for Low-Voltage Flash Memory}, booktitle = {13th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2006, Nice, France, December 10-13, 2006}, pages = {220--223}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICECS.2006.379765}, doi = {10.1109/ICECS.2006.379765}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/WangKYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/FangCOW06, author = {Changpeng Fang and Steve Carr and Soner {\"{O}}nder and Zhenlin Wang}, editor = {Gregory K. Egan and Yoichi Muraoka}, title = {Feedback-directed memory disambiguation through store distance analysis}, booktitle = {Proceedings of the 20th Annual International Conference on Supercomputing, {ICS} 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006}, pages = {278--287}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1183401.1183440}, doi = {10.1145/1183401.1183440}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/FangCOW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/MorphetFBG06, author = {Steven B. Morphet and James W. Fawcett and S. Kanat Bolazar and Murat K. Gungor}, title = {Neural Net Analysis of the Propensity for Change in Large Software Systems}, booktitle = {Proceedings of the International Joint Conference on Neural Networks, {IJCNN} 2006, part of the {IEEE} World Congress on Computational Intelligence, {WCCI} 2006, Vancouver, BC, Canada, 16-21 July 2006}, pages = {2606--2610}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IJCNN.2006.247138}, doi = {10.1109/IJCNN.2006.247138}, timestamp = {Tue, 10 Aug 2021 14:29:47 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/MorphetFBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HoiLC06, author = {Steven C. H. Hoi and Michael R. Lyu and Edward Y. Chang}, editor = {Tina Eliassi{-}Rad and Lyle H. Ungar and Mark Craven and Dimitrios Gunopulos}, title = {Learning the unified kernel machines for classification}, booktitle = {Proceedings of the Twelfth {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Philadelphia, PA, USA, August 20-23, 2006}, pages = {187--196}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1150402.1150426}, doi = {10.1145/1150402.1150426}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HoiLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SankaralingamNMDDGGGHKLRSSSKB06, author = {Karthikeyan Sankaralingam and Ramadass Nagarajan and Robert G. McDonald and Rajagopalan Desikan and Saurabh Drolia and M. S. Govindan and Paul Gratz and Divya Gulati and Heather Hanson and Changkyu Kim and Haiming Liu and Nitya Ranganathan and Simha Sethumadhavan and Sadia Sharif and Premkishore Shivakumar and Stephen W. Keckler and Doug Burger}, title = {Distributed Microarchitectural Protocols in the {TRIPS} Prototype Processor}, booktitle = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}}, pages = {480--491}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MICRO.2006.19}, doi = {10.1109/MICRO.2006.19}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/SankaralingamNMDDGGGHKLRSSSKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/GrahamBHBBB06, author = {Connor Graham and Peter Benda and Steve Howard and James Balmford and Nicole Bishop and Ron Borland}, editor = {Toni Robertson}, title = {\emph{"heh - keeps me off the smokes..."}: probing technology support for personal change}, booktitle = {Proceedings of the 2006 Australasian Computer-Human Interaction Conference, {OZCHI} 2006, Sydney, Australia, November 20-24, 2006}, series = {{ACM} International Conference Proceeding Series}, volume = {206}, pages = {221--228}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1228175.1228214}, doi = {10.1145/1228175.1228214}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ozchi/GrahamBHBBB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SubramanianCHLMRV06, author = {Vivek Subramanian and Paul C. Chang and Daniel C. Huang and Josephine B. Lee and Steven E. Molesa and David R. Redinger and Steven K. Volkman}, title = {All-Printed {RFID} Tags: Materials, Devices, and Circuit Implications}, booktitle = {19th International Conference on {VLSI} Design {(VLSI} Design 2006), 3-7 January 2006, Hyderabad, India}, pages = {709--714}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VLSID.2006.34}, doi = {10.1109/VLSID.2006.34}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/SubramanianCHLMRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc4551, author = {Alexey Melnikov and Steve Hole}, title = {{IMAP} Extension for Conditional {STORE} Operation or Quick Flag Changes Resynchronization}, journal = {{RFC}}, volume = {4551}, pages = {1--25}, year = {2006}, url = {https://doi.org/10.17487/RFC4551}, doi = {10.17487/RFC4551}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rfc/rfc4551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ParkHMS05, author = {Cheolwoo Park and F{\'{e}}lix Hern{\'{a}}ndez{-}Campos and J. S. Marron and F. Donelson Smith}, title = {Long-range dependence in a changing Internet traffic mix}, journal = {Comput. Networks}, volume = {48}, number = {3}, pages = {401--422}, year = {2005}, url = {https://doi.org/10.1016/j.comnet.2004.11.018}, doi = {10.1016/J.COMNET.2004.11.018}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/ParkHMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CarballoZCSKWAWK05, author = {Juan Antonio Carballo and Yervant Zorian and Raul Camposano and Andrzej J. Strojwas and John Kibarian and Dennis Wassung and Alex Alexanian and Steve Wigley and Neil Kelly}, title = {Guest Editors' Introduction: {DFM} Drives Changes in Design Flow}, journal = {{IEEE} Des. Test Comput.}, volume = {22}, number = {3}, pages = {200--205}, year = {2005}, url = {https://doi.org/10.1109/MDT.2005.61}, doi = {10.1109/MDT.2005.61}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CarballoZCSKWAWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbis/SuttonA05, author = {Steve G. Sutton and Vicky Arnold}, title = {The Sarbanes-Oxley Act and the changing role of the {CIO} and {IT} function}, journal = {Int. J. Bus. Inf. Syst.}, volume = {1}, number = {1/2}, pages = {118--128}, year = {2005}, url = {https://doi.org/10.1504/IJBIS.2005.007403}, doi = {10.1504/IJBIS.2005.007403}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbis/SuttonA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtm/HendersonMP05, author = {Joan Henderson and Rodney McAdam and Steven Parkinson}, title = {An innovative approach to evaluating organisational change}, journal = {Int. J. Technol. Manag.}, volume = {30}, number = {1/2}, pages = {11--31}, year = {2005}, url = {https://doi.org/10.1504/IJTM.2005.006343}, doi = {10.1504/IJTM.2005.006343}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtm/HendersonMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/ChangFHM05, author = {Hyeong Soo Chang and Michael C. Fu and Jiaqiao Hu and Steven I. Marcus}, title = {An Adaptive Sampling Algorithm for Solving Markov Decision Processes}, journal = {Oper. Res.}, volume = {53}, number = {1}, pages = {126--139}, year = {2005}, url = {https://doi.org/10.1287/opre.1040.0145}, doi = {10.1287/OPRE.1040.0145}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/ChangFHM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/RossiMSCCBBBS05, author = {Karen A. Rossi and Jay A. Markwalder and Steven P. Seitz and Chong{-}Hwan Chang and Sarah Cox and Michael D. Boisclair and Leonardo Brizuela and Stephen L. Brenner and Pieter F. W. Stouten}, title = {Understanding and modulating cyclin-dependent kinase inhibitor specificity: molecular modeling and biochemical evaluation of pyrazolopyrimidinones as CDK2/cyclin {A} and CDK4/cyclin {D1} inhibitors}, journal = {J. Comput. Aided Mol. Des.}, volume = {19}, number = {2}, pages = {111--122}, year = {2005}, url = {https://doi.org/10.1007/s10822-005-1778-x}, doi = {10.1007/S10822-005-1778-X}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/RossiMSCCBBBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/AylwardPFPRCM05, author = {Elizabeth H. Aylward and J. E. Park and K. M. Field and A. C. Parsons and Todd L. Richards and Steven C. Cramer and Andrew N. Meltzoff}, title = {Brain Activation during Face Perception: Evidence of a Developmental Change}, journal = {J. Cogn. Neurosci.}, volume = {17}, number = {2}, pages = {308--319}, year = {2005}, url = {https://doi.org/10.1162/0898929053124884}, doi = {10.1162/0898929053124884}, timestamp = {Mon, 06 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/AylwardPFPRCM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/SubramanianFCHL05, author = {Vivek Subramanian and Jean M. J. Fr{\'{e}}chet and Paul C. Chang and Daniel C. Huang and Josephine B. Lee and Steven E. Molesa and Amanda R. Murphy and David R. Redinger and Steven K. Volkman}, title = {Progress Toward Development of All-Printed {RFID} Tags: Materials, Processes, and Devices}, journal = {Proc. {IEEE}}, volume = {93}, number = {7}, pages = {1330--1338}, year = {2005}, url = {https://doi.org/10.1109/JPROC.2005.850305}, doi = {10.1109/JPROC.2005.850305}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/SubramanianFCHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/speech/ChangWG05, author = {Shuangyu Chang and Mirjam Wester and Steven Greenberg}, title = {An elitist approach to automatic articulatory-acoustic feature classification for phonetic characterization of spoken language}, journal = {Speech Commun.}, volume = {47}, number = {3}, pages = {290--311}, year = {2005}, url = {https://doi.org/10.1016/j.specom.2005.01.006}, doi = {10.1016/J.SPECOM.2005.01.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/speech/ChangWG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangLFM05, author = {Hyeong Soo Chang and Hong{-}Gi Lee and Michael C. Fu and Steven I. Marcus}, title = {Evolutionary policy iteration for solving Markov decision processes}, journal = {{IEEE} Trans. Autom. Control.}, volume = {50}, number = {11}, pages = {1804--1808}, year = {2005}, url = {https://doi.org/10.1109/TAC.2005.858644}, doi = {10.1109/TAC.2005.858644}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangLFM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/SnyderFCCCCGGHJKLLPSX05, author = {Lawrence Snyder and Miguel Andres Figliozzi and Jeffrey Michael Casello and Elaine J. Chang and Chi{-}Nan Chin and Frank Crittin and Laurie Garrow and Paulo Goncalves and Dennis Huisman and Wenlong Jin and Laura Sumi Kang and Zongzhi Li and Steven Logghe and Leon Peeters and Nicol{\'{a}}s E. Stier Moses and Weihua Xiao}, title = {{TSL} Dissertation Abstracts: 2004 Transportation Science and Logistics Section Dissertation Prize Competition}, journal = {Transp. Sci.}, volume = {39}, number = {3}, pages = {429--440}, year = {2005}, url = {https://doi.org/10.1287/trsc.1050.0128}, doi = {10.1287/TRSC.1050.0128}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/SnyderFCCCCGGHJKLLPSX05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/FangCOW05, author = {Changpeng Fang and Steve Carr and Soner {\"{O}}nder and Zhenlin Wang}, title = {Instruction Based Memory Distance Analysis and its Application}, booktitle = {14th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2005), 17-21 September 2005, St. Louis, MO, {USA}}, pages = {27--37}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/PACT.2005.26}, doi = {10.1109/PACT.2005.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/FangCOW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/Bankes05, author = {Steven Carl Bankes}, title = {Interactive Decision Support for Open Systems}, booktitle = {Challenges to Decision Support in a Changing World, Papers from the 2005 {AAAI} Spring Symposium, Technical Report SS-05-02, Stanford, California, USA, March 21-23, 2005}, pages = {3--9}, publisher = {{AAAI}}, year = {2005}, url = {http://www.aaai.org/Library/Symposia/Spring/2005/ss05-02-003.php}, timestamp = {Thu, 26 Sep 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/Bankes05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/StevensD05, author = {Peter Stevens and Hilary Davis}, title = {The angiosperm phylogeny Website - a tool for reference and teaching in a time of change}, booktitle = {Sparking Synergies: Bringing Research and Practice Together - Proceedings of the 68th ASIS{\&}T Annual Meeting, {ASIST} 2005, Charlotte, North Carolina, USA, October 28 - November 2, 2005}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {42}, number = {1}, publisher = {Wiley}, year = {2005}, url = {https://doi.org/10.1002/meet.14504201249}, doi = {10.1002/MEET.14504201249}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/StevensD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChengLKCPSSYHM05, author = {Chung{-}Kuan Cheng and Steve Lin and Andrew B. Kahng and Keh{-}Jeng Chang and Vijay Pitchumani and Toshiyuki Shibuya and Roberto Suaya and Zhiping Yu and Fook{-}Luen Heng and Don MacMillen}, editor = {Tingao Tang}, title = {Panel {I:} who is responsible for the design for manufacturability issues in the era of nano-technologies?}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120862}, doi = {10.1145/1120725.1120862}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChengLKCPSSYHM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChangFM05, author = {Hyeong Soo Chang and Michael C. Fu and Steven I. Marcus}, title = {Recursive Learning Automata for Control of Partially Observable Markov Decision Processes}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {6091--6096}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1583136}, doi = {10.1109/CDC.2005.1583136}, timestamp = {Fri, 04 Mar 2022 13:25:54 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChangFM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/HuhKSZBK05, author = {Jaehyuk Huh and Changkyu Kim and Hazim Shafi and Lixin Zhang and Doug Burger and Stephen W. Keckler}, editor = {Arvind and Larry Rudolph}, title = {A {NUCA} substrate for flexible {CMP} cache sharing}, booktitle = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, pages = {31--40}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149.1088154}, doi = {10.1145/1088149.1088154}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/HuhKSZBK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ShapiroLL05, author = {Steven Shapiro and Yves Lesp{\'{e}}rance and Hector J. Levesque}, editor = {Leslie Pack Kaelbling and Alessandro Saffiotti}, title = {Goal Change}, booktitle = {IJCAI-05, Proceedings of the Nineteenth International Joint Conference on Artificial Intelligence, Edinburgh, Scotland, UK, July 30 - August 5, 2005}, pages = {582--588}, publisher = {Professional Book Center}, year = {2005}, url = {http://ijcai.org/Proceedings/05/Papers/1114.pdf}, timestamp = {Tue, 20 Aug 2019 16:16:29 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ShapiroLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/BoerBGSST05, author = {Frank S. de Boer and Marcello M. Bonsangue and Luuk Groenewegen and Andries Stam and S. Stevens and Leendert W. N. van der Torre}, editor = {Du Zhang and Taghi M. Khoshgoftaar and Mei{-}Ling Shyu}, title = {Change impact analysis of enterprise architectures}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Information Reuse and Integration, {IRI} - 2005, August 15-17, 2005, Las Vegas Hilton, Las Vegas, NV, {USA}}, pages = {177--181}, publisher = {{IEEE} Systems, Man, and Cybernetics Society}, year = {2005}, url = {https://doi.org/10.1109/IRI-05.2005.1506470}, doi = {10.1109/IRI-05.2005.1506470}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iri/BoerBGSST05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/GrossmanSAEWZCVDNRALPS05, author = {Robert L. Grossman and Michal Sabala and Anushka Anand and Steve Eick and Leland Wilkinson and Pei Zhang and John Chaves and Steve Vejcik and John F. Dillenburg and Peter C. Nelson and Doug Rorem and Javid Alimohideen and Jason Leigh and Michael E. Papka and Rick L. Stevens}, title = {Real Time Change Detection and Alerts from Highway Traffic Data}, booktitle = {Proceedings of the {ACM/IEEE} {SC2005} Conference on High Performance Networking and Computing, November 12-18, 2005, Seattle, WA, USA, CD-Rom}, pages = {69}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/SC.2005.60}, doi = {10.1109/SC.2005.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/GrossmanSAEWZCVDNRALPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/Shapiro05, author = {Steven Shapiro}, editor = {James P. Delgrande and J{\'{e}}r{\^{o}}me Lang and Hans Rott and Jean{-}Marc Tallon}, title = {Belief Change with Noisy Sensing and Introspection}, booktitle = {Belief Change in Rational Agents: Perspectives from Artificial Intelligence, Philosophy, and Economics, 7.-12. August 2005}, series = {Dagstuhl Seminar Proceedings}, volume = {05321}, publisher = {Internationales Begegnungs- und Forschungszentrum f{\"{u}}r Informatik (IBFI), Schloss Dagstuhl, Germany}, year = {2005}, url = {http://drops.dagstuhl.de/opus/volltexte/2006/463}, timestamp = {Thu, 10 Jun 2021 13:02:06 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/Shapiro05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/Cook04, author = {Steven Cook}, title = {Finite-sample properties of modified unit root tests in the presence structural change}, journal = {Appl. Math. Comput.}, volume = {149}, number = {3}, pages = {625--640}, year = {2004}, url = {https://doi.org/10.1016/S0096-3003(03)00167-X}, doi = {10.1016/S0096-3003(03)00167-X}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/Cook04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChangL04, author = {Ching{-}Lung Chang and Steven Shi{-}Wei Lee}, title = {Design and application of Simple Data Link {(SDL)} framing protocol}, journal = {Comput. Electr. Eng.}, volume = {30}, number = {6}, pages = {441--452}, year = {2004}, url = {https://doi.org/10.1016/j.compeleceng.2004.03.001}, doi = {10.1016/J.COMPELECENG.2004.03.001}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/ChangL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/Grand04, author = {Steve Grand}, title = {Moving {AI} Out of Its Infancy: Changing Our Preconceptions}, journal = {{IEEE} Intell. Syst.}, volume = {19}, number = {6}, pages = {74--77}, year = {2004}, url = {https://doi.org/10.1109/MIS.2004.69}, doi = {10.1109/MIS.2004.69}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/Grand04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/Vinoski04, author = {Steve Vinoski}, title = {The More Things Change . . .}, journal = {{IEEE} Internet Comput.}, volume = {8}, number = {1}, pages = {87--89}, year = {2004}, url = {https://doi.org/10.1109/MIC.2004.1260709}, doi = {10.1109/MIC.2004.1260709}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/internet/Vinoski04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/LiC04, author = {Peter Ping Li and Tung{-}lung Steven Chang}, title = {A Holistic Framework of E-Business Strategy: The Case of Haier in China}, journal = {J. Glob. Inf. Manag.}, volume = {12}, number = {2}, pages = {44--62}, year = {2004}, url = {https://doi.org/10.4018/jgim.2004040103}, doi = {10.4018/JGIM.2004040103}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgim/LiC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShoaibLW04, author = {Mohammed Shoaib and Andrew S. Lowe and Steven C. R. Williams}, title = {Imaging localised dynamic changes in the nucleus accumbens following nicotine withdrawal in rats}, journal = {NeuroImage}, volume = {22}, number = {2}, pages = {847--854}, year = {2004}, url = {https://doi.org/10.1016/j.neuroimage.2004.01.026}, doi = {10.1016/J.NEUROIMAGE.2004.01.026}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ShoaibLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/HuangT04, author = {Shih{-}Yu Huang and Wei{-}Chang Tsai}, title = {A simple and efficient block motion estimation algorithm based on full-search array architecture}, journal = {Signal Process. Image Commun.}, volume = {19}, number = {10}, pages = {975--992}, year = {2004}, url = {https://doi.org/10.1016/j.image.2004.08.001}, doi = {10.1016/J.IMAGE.2004.08.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/HuangT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SankaralingamNLKHRBKMM04, author = {Karthikeyan Sankaralingam and Ramadass Nagarajan and Haiming Liu and Changkyu Kim and Jaehyuk Huh and Nitya Ranganathan and Doug Burger and Stephen W. Keckler and Robert G. McDonald and Charles R. Moore}, title = {{TRIPS:} {A} polymorphous architecture for exploiting ILP, TLP, and {DLP}}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {1}, number = {1}, pages = {62--93}, year = {2004}, url = {https://doi.org/10.1145/980152.980156}, doi = {10.1145/980152.980156}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/SankaralingamNLKHRBKMM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/NguyenBCKM04, author = {Uyen D. Nguyen and J. Steven Brown and Isaac A. Chang and Joseph Krycia and Mark S. Mirotznik}, title = {Numerical evaluation of heating of the human head due to magnetic resonance imaging}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {51}, number = {8}, pages = {1301--1309}, year = {2004}, url = {https://doi.org/10.1109/TBME.2004.827559}, doi = {10.1109/TBME.2004.827559}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/NguyenBCKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMmsp/FangCOW04, author = {Changpeng Fang and Steve Carr and Soner {\"{O}}nder and Zhenlin Wang}, editor = {Chen Ding and Stephen M. Blackburn}, title = {Reuse-distance-based miss-rate prediction on a per instruction basis}, booktitle = {Proceedings of the 2004 workshop on Memory System Performance, Washington, DC, USA, June 8, 2004}, pages = {60--68}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1065895.1065906}, doi = {10.1145/1065895.1065906}, timestamp = {Fri, 13 Jan 2023 16:32:26 +0100}, biburl = {https://dblp.org/rec/conf/ACMmsp/FangCOW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/MeiC04, author = {Hsing Mei and Steven Chang}, title = {{PP-COSE:} {A} {P2P} Community Search Scheme}, booktitle = {2004 International Conference on Computer and Information Technology {(CIT} 2004), 14-16 September 2004, Wuhan, China}, pages = {416--423}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/CIT.2004.1357231}, doi = {10.1109/CIT.2004.1357231}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/MeiC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/ShapiroP04, author = {Steven Shapiro and Maurice Pagnucco}, editor = {Ram{\'{o}}n L{\'{o}}pez de M{\'{a}}ntaras and Lorenza Saitta}, title = {Iterated Belief Change and Exogeneous Actions in the Situation Calculus}, booktitle = {Proceedings of the 16th Eureopean Conference on Artificial Intelligence, ECAI'2004, including Prestigious Applicants of Intelligent Systems, {PAIS} 2004, Valencia, Spain, August 22-27, 2004}, pages = {878--882}, publisher = {{IOS} Press}, year = {2004}, timestamp = {Fri, 11 May 2018 12:42:30 +0200}, biburl = {https://dblp.org/rec/conf/ecai/ShapiroP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/SheltonS04, author = {Brett E. Shelton and Reed Stevens}, editor = {Yasmin B. Kafai and Noel Enyedy and Bill Sandoval}, title = {Using Coordination Classes to Interpret Conceptual Change in Astronomical Thinking}, booktitle = {Embracing Diversity in the Learning Sciences: Proceedings of the 6th International Conference for the Learning Sciences, {ICLS} 2004, Los Angeles, CA, USA, June 22-26, 2004}, publisher = {International Society of the Learning Sciences}, year = {2004}, url = {https://repository.isls.org/handle/1/4052}, timestamp = {Tue, 11 May 2021 18:13:28 +0200}, biburl = {https://dblp.org/rec/conf/icls/SheltonS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/FraserACGFLT04, author = {Steven Fraser and Lougie Anderson and Ron Crocker and Richard P. Gabriel and Martin Fowler and Ricardo L{\'{o}}pez and Dave A. Thomas}, editor = {John M. Vlissides and Douglas C. Schmidt}, title = {Challenges in outsourcing and global development: how will your job change?}, booktitle = {Companion to the 19th Annual {ACM} {SIGPLAN} Conference on Object-Oriented Programming, Systems, Languages, and Applications, {OOPSLA} 2004, October 24-28, 2004, Vancouver, BC, Canada}, pages = {145--147}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1028664.1028722}, doi = {10.1145/1028664.1028722}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/oopsla/FraserACGFLT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jphonetics/GreenbergCHC03, author = {Steven Greenberg and Hannah Carvey and Leah Hitchcock and Shuangyu Chang}, title = {Temporal properties of spontaneous speech - a syllable-centric perspective}, journal = {J. Phonetics}, volume = {31}, number = {3-4}, pages = {465--485}, year = {2003}, url = {https://doi.org/10.1016/j.wocn.2003.09.005}, doi = {10.1016/J.WOCN.2003.09.005}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jphonetics/GreenbergCHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimBK03, author = {Changkyu Kim and Doug Burger and Stephen W. Keckler}, title = {Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches}, journal = {{IEEE} Micro}, volume = {23}, number = {6}, pages = {99--107}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1261393}, doi = {10.1109/MM.2003.1261393}, timestamp = {Wed, 06 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimBK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SankaralingamNLKHBKM03, author = {Karthikeyan Sankaralingam and Ramadass Nagarajan and Haiming Liu and Changkyu Kim and Jaehyuk Huh and Doug Burger and Stephen W. Keckler and Charles R. Moore}, title = {Exploiting ILP, TLP, and {DLP} with the Polymorphous {TRIPS} Architecture}, journal = {{IEEE} Micro}, volume = {23}, number = {6}, pages = {46--51}, year = {2003}, url = {https://doi.org/10.1109/MM.2003.1261386}, doi = {10.1109/MM.2003.1261386}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SankaralingamNLKHBKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/NgH03, author = {Pauline C. Ng and Steven Henikoff}, title = {{SIFT:} predicting amino acid changes that affect protein function}, journal = {Nucleic Acids Res.}, volume = {31}, number = {13}, pages = {3812--3814}, year = {2003}, url = {https://doi.org/10.1093/nar/gkg509}, doi = {10.1093/NAR/GKG509}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/NgH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangFMS03, author = {Hyeong Soo Chang and Pedram Jaefari Fard and Steven I. Marcus and Mark A. Shayman}, title = {Multitime scale Markov decision processes}, journal = {{IEEE} Trans. Autom. Control.}, volume = {48}, number = {6}, pages = {976--987}, year = {2003}, url = {https://doi.org/10.1109/TAC.2003.812782}, doi = {10.1109/TAC.2003.812782}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangFMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChangM03, author = {Hyeong Soo Chang and Steven I. Marcus}, title = {Two-person zero-sum Markov games: receding horizon approach}, journal = {{IEEE} Trans. Autom. Control.}, volume = {48}, number = {11}, pages = {1951--1961}, year = {2003}, url = {https://doi.org/10.1109/TAC.2003.819077}, doi = {10.1109/TAC.2003.819077}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChangM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/PeddleFJLW03, author = {Derek R. Peddle and Steven E. Franklin and Ryan L. Johnson and Mike B. Lavigne and Mike A. Wulder}, title = {Structural change detection in a disturbed conifer forest using a geometric optical reflectance model in multiple-forward mode}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {41}, number = {1}, pages = {163--166}, year = {2003}, url = {https://doi.org/10.1109/TGRS.2002.807756}, doi = {10.1109/TGRS.2002.807756}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/PeddleFJLW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/PaperCSM03, author = {David Paper and Ray Chang and Steven John Simon and Wai Yin Mok}, title = {Implementing a Management-Directed Information System}, booktitle = {9th Americas Conference on Information Systems, {AMCIS} 2003, Tampa, FL, USA, August 4-6, 2003}, pages = {223}, publisher = {Association for Information Systems}, year = {2003}, url = {http://aisel.aisnet.org/amcis2003/223}, timestamp = {Wed, 16 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcis/PaperCSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/Chang0M03, author = {Hyeong Soo Chang and Michael C. Fu and Steven I. Marcus}, title = {An asymptotically efficient algorithm for finite horizon stochastic dynamic programming problems}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {3818--3823}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1271744}, doi = {10.1109/CDC.2003.1271744}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/Chang0M03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csmr/GwizdalaJR03, author = {Steve Gwizdala and Yong Jiang and V{\'{a}}clav Rajlich}, title = {JTracker - {A} Tool for Change Propagation in Java}, booktitle = {7th European Conference on Software Maintenance and Reengineering {(CSMR} 2003), 26-28 March 2003, Benevento, Italy, Proceedings}, pages = {223--229}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CSMR.2003.1192430}, doi = {10.1109/CSMR.2003.1192430}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csmr/GwizdalaJR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/ChangG03, author = {Shuangyu Chang and Steven Greenberg}, title = {Syllable-proximity evaluation in automatic speech recognition using fuzzy measures and a fuzzy integral}, booktitle = {The 12th {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2003, St. Louis, Missouri, USA, 25-28 May 2003}, pages = {828--833}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/FUZZ.2003.1206537}, doi = {10.1109/FUZZ.2003.1206537}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/ChangG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MannMF03, author = {Steve Mann and Corey Manders and James Fung}, title = {The lightspace change constraint equation {(LCCE)} with practical application to estimation of the projectivity+gain transformation between multiple pictures of the same subject matter}, booktitle = {2003 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '03, Hong Kong, April 6-10, 2003}, pages = {481--484}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICASSP.2003.1199516}, doi = {10.1109/ICASSP.2003.1199516}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/MannMF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SankaralingamNLKHBKM03, author = {Karthikeyan Sankaralingam and Ramadass Nagarajan and Haiming Liu and Changkyu Kim and Jaehyuk Huh and Doug Burger and Stephen W. Keckler and Charles R. Moore}, editor = {Allan Gottlieb and Kai Li}, title = {Exploiting ILP, {TLP} and {DLP} with the Polymorphous {TRIPS} Architecture}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {422--433}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ISCA.2003.1207019}, doi = {10.1109/ISCA.2003.1207019}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/SankaralingamNLKHBKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/JooBL03, author = {Changhee Joo and Saewoong Bahk and Steven S. Lumetta}, title = {Hybrid Active Queue Management}, booktitle = {Proceedings of the Eighth {IEEE} Symposium on Computers and Communications {(ISCC} 2003), 30 June - 3 July 2003, Kiris-Kemer, Turkey}, pages = {999--1004}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCC.2003.1214246}, doi = {10.1109/ISCC.2003.1214246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/JooBL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/CarrFJMS03, author = {Steve Carr and Changpeng Fang and Tim Jozwowski and Jean Mayo and Ching{-}Kuang Shene}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Concurrent Mentor: {A} Visualization System for Distributed Programming Education}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 4}, pages = {1676--1682}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/CarrFJMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pppj/CounsellHJMM03, author = {Steve Counsell and Youssef Hassoun and Roger Johnson and Keith Mannock and Emilia Mendes}, editor = {James F. Power and John Waldron}, title = {Trends in Java code changes: the key to identification of refactorings?}, booktitle = {Proceedings of the 2nd International Symposium on Principles and Practice of Programming in Java, {PPPJ} 2003, Kilkenny City, Ireland, June 16-18, 2003}, series = {{ACM} International Conference Proceeding Series}, volume = {42}, pages = {45--48}, publisher = {{ACM}}, year = {2003}, url = {https://dl.acm.org/citation.cfm?id=957305}, timestamp = {Mon, 26 Nov 2018 15:05:58 +0100}, biburl = {https://dblp.org/rec/conf/pppj/CounsellHJMM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/Feiner03, author = {Steven Feiner}, title = {Taking It to the Streets: How Virtual Reality Can Change Mobile Computing}, booktitle = {{IEEE} Virtual Reality Conference 2003 {(VR} 2003), 22-26 March 2003, Los Angeles, CA, USA, Proceedings}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/VR.2003.1191114}, doi = {10.1109/VR.2003.1191114}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/Feiner03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/BojanicCF02, author = {Antonio N. Bojanic and Steven B. Caudill and Jon M. Ford}, title = {Small sample properties of ML, {COLS} and {DEA} estimators of frontier models in the presence of heteroscedasticity: {A} reply to Banker, Chang, and Cooper}, journal = {Eur. J. Oper. Res.}, volume = {136}, number = {2}, pages = {468--469}, year = {2002}, url = {https://doi.org/10.1016/S0377-2217(01)00025-X}, doi = {10.1016/S0377-2217(01)00025-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/BojanicCF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/SinghABBCGINNQSSW02, author = {Prabjit Singh and Steven J. Ahladas and Wiren Dale Becker and Frank E. Bosco and Joseph P. Corrado and Gary F. Goth and Sushumna Iruvanti and Matthew A. Nobile and Budy D. Notohardjono and John H. Quick and Edward J. Seminaro and Kwok M. Soohoo and Chang{-}yu Wu}, title = {A power, packaging, and cooling overview of the {IBM} eServer z900}, journal = {{IBM} J. Res. Dev.}, volume = {46}, number = {6}, pages = {711--738}, year = {2002}, url = {https://doi.org/10.1147/rd.466.0711}, doi = {10.1147/RD.466.0711}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/SinghABBCGINNQSSW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GeenSCL02, author = {John A. Geen and Steven J. Sherman and John F. Chang and Stephen R. Lewis}, title = {Single-chip surface micromachined integrated gyroscope with 50{\textdegree}/h Allan deviation}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {12}, pages = {1860--1866}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.804345}, doi = {10.1109/JSSC.2002.804345}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GeenSCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WareJNPCCTSZCMS03, author = {Doreen Ware and Pankaj Jaiswal and Junjian Ni and Xiaokang Pan and Kuan Y. Chang and Kenneth Clark and Leonid Teytelman and Steven Schmidt and Wei Zhao and Samuel Cartinhour and Susan McCouch and Lincoln Stein}, title = {Gramene: a resource for comparative grass genomics}, journal = {Nucleic Acids Res.}, volume = {30}, number = {1}, pages = {103--105}, year = {2002}, url = {https://doi.org/10.1093/nar/30.1.103}, doi = {10.1093/NAR/30.1.103}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WareJNPCCTSZCMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SmithZJCMFS02, author = {Stephen M. Smith and Yongyue Zhang and Mark Jenkinson and Jacqueline Chen and Paul M. Matthews and Antonio Federico and Nicola De Stefano}, title = {Accurate, Robust, and Automated Longitudinal and Cross-Sectional Brain Change Analysis}, journal = {NeuroImage}, volume = {17}, number = {1}, pages = {479--489}, year = {2002}, url = {https://doi.org/10.1006/nimg.2002.1040}, doi = {10.1006/NIMG.2002.1040}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/SmithZJCMFS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/McConnell02d, author = {Steve McConnell}, title = {Changing of the Guard}, journal = {{IEEE} Softw.}, volume = {19}, number = {6}, pages = {5--7}, year = {2002}, url = {http://doi.ieeecomputersociety.org/10.1109/MS.2002.10025}, doi = {10.1109/MS.2002.10025}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/McConnell02d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tis/SawyerS02, author = {Steve Sawyer and Richard Southwick}, title = {Temporal Issues in Information and Communication Technology-Enabled Organizational Change: Evidence From an Enterprise Systems Implementation}, journal = {Inf. Soc.}, volume = {18}, number = {4}, pages = {263--280}, year = {2002}, url = {https://doi.org/10.1080/01972240290075110}, doi = {10.1080/01972240290075110}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tis/SawyerS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEinteract/WeldonCWHWLS02, author = {R. David Weldon and Steven S. Chang and Hong Wang and Gerolf Hoflehner and Perry H. Wang and Daniel M. Lavery and John Paul Shen}, title = {Quantitative Evaluation of the Register Stack Engine and Optimizations for Future Itanium Processors}, booktitle = {6th Annual Workshop on Interaction between Compilers and Computer Architecture {(INTERACT-6} 2002), 3 February 2002, Boston, MA, {USA}}, pages = {57--67}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/INTERA.2002.995843}, doi = {10.1109/INTERA.2002.995843}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEinteract/WeldonCWHWLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KimBK02, author = {Changkyu Kim and Doug Burger and Stephen W. Keckler}, editor = {Kourosh Gharachorloo and David A. Wood}, title = {An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches}, booktitle = {Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002}, pages = {211--222}, publisher = {{ACM} Press}, year = {2002}, url = {https://doi.org/10.1145/605397.605420}, doi = {10.1145/605397.605420}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KimBK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChangFMS02, author = {Hyeong Soo Chang and Pedram Jaefari Fard and Steven I. Marcus and Mark A. Shayman}, title = {A model for multi-time scaled sequential decision making processes}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {3813--3818}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002.1184959}, doi = {10.1109/CDC.2002.1184959}, timestamp = {Tue, 08 Mar 2022 11:46:48 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChangFMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChangM02, author = {Hyeong Soo Chang and Steven I. Marcus}, title = {Receding horizon approach to Markov games for infinite horizon discounted cost}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {1380--1385}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002.1184710}, doi = {10.1109/CDC.2002.1184710}, timestamp = {Tue, 08 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChangM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChuangBLCLL02, author = {Henry Y. H. Chuang and David P. Birch and Li{-}Chang Liu and Jong{-}Chih Chien and Steven P. Levitan and Ching{-}Chung Li}, title = {A High Speed Shift-Invariant Wavelet Transform Chip for Video Compression}, booktitle = {2002 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2002), 25-26 April 2002, Pittsburgh, PA, {USA}}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISVLSI.2002.1016886}, doi = {10.1109/ISVLSI.2002.1016886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChuangBLCLL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HsuLLKL02, author = {Steven Hsu and Shih{-}Lien Lu and Shih{-}Chang Lai and Ram Krishnamurthy and Konrad Lai}, editor = {Erik R. Altman and Kemal Ebcioglu and Scott A. Mahlke and B. Ramakrishna Rau and Sanjay J. Patel}, title = {Dynamic addressing memory arrays with physical locality}, booktitle = {Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002}, pages = {161--170}, publisher = {{ACM/IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/MICRO.2002.1176247}, doi = {10.1109/MICRO.2002.1176247}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/HsuLLKL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/Hansen02, author = {Steve Hansen}, title = {Web information systems: the changing landscape of management models and web applications}, booktitle = {Proceedings of the 14th international conference on Software engineering and knowledge engineering, {SEKE} 2002, Ischia, Italy, July 15-19, 2002}, pages = {747--753}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/568760.568888}, doi = {10.1145/568760.568888}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seke/Hansen02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/CarrFJMS02, author = {Steve Carr and Changpeng Fang and Tim Jozwowski and Jean Mayo and Ching{-}Kuang Shene}, editor = {Judith L. Gersting and Henry MacKay Walker and Scott Grissom}, title = {A communication library to support concurrent programming courses}, booktitle = {Proceedings of the 33rd {SIGCSE} Technical Symposium on Computer Science Education, {SIGCSE} 2002, Cincinnati, Kentucky, USA, February 27 - March 3, 2002}, pages = {360--364}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/563340.563478}, doi = {10.1145/563340.563478}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/CarrFJMS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cais/AlterMSV01, author = {Steven Alter and M. Lynne Markus and Judy E. Scott and Iris Vessey}, title = {Does the Trend Toward E-Business Call for Changes in the Fundamental Concepts of Information Systems? {A} Debate}, journal = {Commun. Assoc. Inf. Syst.}, volume = {5}, pages = {10}, year = {2001}, url = {https://doi.org/10.17705/1cais.00510}, doi = {10.17705/1CAIS.00510}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cais/AlterMSV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/Vermeer01, author = {Ross Vermeer}, title = {The Virtual University: The Internet and Resource-based Learning: Steve Ryan, Bernard Scott, Howard Freeman and Daxa Patel, Kogan Page, London, 2000, 204 pp, {ISBN} 0 7494 2508 3, The Changing Face of Learning Technology Edited by David Squires, Gr{\'{a}}inne Conole and Gabriel Jacobs, University of Wales Press, Cardiff, 182pp, {ISBN} 0 7083 1681 6, Integrating Technology in Learning and Teaching Pat Maier and Adam Warren, Kogan Page, London, 2000, 162 pp, {ISBN} 0 7494 31806}, journal = {Comput. Educ.}, volume = {37}, number = {2}, pages = {179--182}, year = {2001}, url = {https://doi.org/10.1016/S0360-1315(01)00040-9}, doi = {10.1016/S0360-1315(01)00040-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/Vermeer01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiangDB01, author = {Hualou Liang and Mingzhou Ding and Steven L. Bressler}, title = {The detection of cognitive state transitions by stability changes in event-related cortical field potentials}, journal = {Neurocomputing}, volume = {38-40}, pages = {1423--1428}, year = {2001}, url = {https://doi.org/10.1016/S0925-2312(01)00515-X}, doi = {10.1016/S0925-2312(01)00515-X}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiangDB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/TheilhaberBJF01, author = {Joachim Theilhaber and Steven Bushnell and Amanda Jackson and Rainer Fuchs}, title = {Bayesian Estimation of Fold-Changes in the Analysis of Gene Expression: The {PFOLD} Algorithm}, journal = {J. Comput. Biol.}, volume = {8}, number = {6}, pages = {585--614}, year = {2001}, url = {https://doi.org/10.1089/106652701753307502}, doi = {10.1089/106652701753307502}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/TheilhaberBJF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/Horii01, author = {Steven C. Horii}, title = {Editorial: {A} Change of Leadership and a Thank You}, journal = {J. Digit. Imaging}, volume = {14}, number = {2}, pages = {51}, year = {2001}, url = {https://doi.org/10.1007/s10278-001-0001-4}, doi = {10.1007/S10278-001-0001-4}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/Horii01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/BerenjiSCS01, author = {Hamid R. Berenji and Sujit Saraf and Ping{-}Wei Chang and Steven Swanson}, title = {Pitch control of the space shuttle training aircraft}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {9}, number = {3}, pages = {542--551}, year = {2001}, url = {https://doi.org/10.1109/87.918906}, doi = {10.1109/87.918906}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/BerenjiSCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/KumarLRCGLWZCM01, author = {Keeranoor G. Kumar and James S. Lipscomb and A. Ramchandra and S. S. P. Chang and W. L. Gaddy and Ross H. Leung and Steve Wood and Liang{-}Jie Zhang and Jeane Chen and Jai Prakash Menon}, title = {The HotMedia architecture: progressive and interactive rich media for the Internet}, journal = {{IEEE} Trans. Multim.}, volume = {3}, number = {2}, pages = {253--267}, year = {2001}, url = {https://doi.org/10.1109/6046.923824}, doi = {10.1109/6046.923824}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/KumarLRCGLWZCM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/EickGKMM01, author = {Stephen G. Eick and Todd L. Graves and Alan F. Karr and J. S. Marron and Audris Mockus}, title = {Does Code Decay? Assessing the Evidence from Change Management Data}, journal = {{IEEE} Trans. Software Eng.}, volume = {27}, number = {1}, pages = {1--12}, year = {2001}, url = {https://doi.org/10.1109/32.895984}, doi = {10.1109/32.895984}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/EickGKMM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/GibsonCC01, author = {James S. Gibson and C.{-}C. Chang and Neil Y. Chen}, title = {Adaptive optics with a new modal decomposition of actuator and sensor spaces}, booktitle = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, pages = {4619--4625}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ACC.2001.945708}, doi = {10.1109/ACC.2001.945708}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/GibsonCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ct/BeynonCHMRRRRWW01, author = {Meurig Beynon and Yih{-}Chang Chen and Hsing{-}Wen Hseu and Soha Maad and Suwanna Rasmequan and Chris Roe and Jaratsri Rungrattanaubol and Steve Russ and Ashley Ward and Allan Wong}, editor = {Meurig Beynon and Chrystopher L. Nehaniv and Kerstin Dautenhahn}, title = {The Computer as Instrument}, booktitle = {Cognitive Technology: Instruments of Mind, 4th International Conference, {CT} 2001, Warwick, UK, August 6-9, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2117}, pages = {476--489}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44617-6\_42}, doi = {10.1007/3-540-44617-6\_42}, timestamp = {Mon, 05 Feb 2024 20:35:49 +0100}, biburl = {https://dblp.org/rec/conf/ct/BeynonCHMRRRRWW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HirvonenMWH01, author = {David J. Hirvonen and Bogdan Matei and Richard P. Wildes and Steven C. Hsu}, title = {Video to Reference Image Alignment in the Presence of Sparse Features and Appearance Change}, booktitle = {2001 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2001), with CD-ROM, 8-14 December 2001, Kauai, HI, {USA}}, pages = {366--373}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/CVPR.2001.990984}, doi = {10.1109/CVPR.2001.990984}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HirvonenMWH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChangGW01, author = {Shuangyu Chang and Steven Greenberg and Mirjam Wester}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {An elitist approach to articulatory-acoustic feature classification}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {1725--1728}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-404}, doi = {10.21437/EUROSPEECH.2001-404}, timestamp = {Thu, 22 Jun 2023 16:42:18 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChangGW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WesterGC01, author = {Mirjam Wester and Steven Greenberg and Shuangyu Chang}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {A dutch treatment of an elitist approach to articulatory-acoustic feature classification}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {1729--1732}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-405}, doi = {10.21437/EUROSPEECH.2001-405}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WesterGC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/McKeownCCFFGHJJKKPT01, author = {Kathleen R. McKeown and Shih{-}Fu Chang and James J. Cimino and Steven Feiner and Carol Friedman and Luis Gravano and Vasileios Hatzivassiloglou and Steven Johnson and Desmond A. Jordan and Judith Klavans and Andr{\'{e}} Kushniruk and Vimla L. Patel and Simone Teufel}, title = {PERSIVAL, a system for personalized search and summarization over multimedia healthcare information}, booktitle = {{ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2001, Roanoke, Virginia, USA, June 24-28, 2001, Proceedings}, pages = {331--340}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/379437.379722}, doi = {10.1145/379437.379722}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/McKeownCCFFGHJJKKPT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChangDRSSA01, author = {Henry Chang and Steve Dollens and Gordon W. Roberts and Charles E. Stroud and Mani Soma and Jacob A. Abraham}, title = {Analog and Mixed Signal Benchmark Circuit Development: Who Needs Them?}, booktitle = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, {USA}}, pages = {415--416}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/VTS.2001.10001}, doi = {10.1109/VTS.2001.10001}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChangDRSSA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/HelanderLD00, author = {Martin G. Helander and Steven E. Little and Colin G. Drury}, title = {Adaptation and Sensitivity to Postural Change in Sitting}, journal = {Hum. Factors}, volume = {42}, number = {4}, pages = {617--629}, year = {2000}, url = {https://doi.org/10.1518/001872000779698051}, doi = {10.1518/001872000779698051}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/HelanderLD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijais/Sutton00, author = {Steve G. Sutton}, title = {The changing face of accounting in an information technology dominated world}, journal = {Int. J. Account. Inf. Syst.}, volume = {1}, number = {1}, pages = {1--8}, year = {2000}, url = {https://doi.org/10.1016/S1467-0895(99)00002-0}, doi = {10.1016/S1467-0895(99)00002-0}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijais/Sutton00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitech/SerafeimidisS00, author = {Vassilis Serafeimidis and Steve Smithson}, title = {Information systems evaluation in practice: a case study of organizational change}, journal = {J. Inf. Technol.}, volume = {15}, number = {2}, pages = {93--105}, year = {2000}, url = {https://doi.org/10.1080/026839600344294}, doi = {10.1080/026839600344294}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jitech/SerafeimidisS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MetzgerCPBWA00, author = {Andre G. Metzger and Charles E. Chang and Ken D. Pedrotti and Steve M. Beccue and Keh{-}Chung Wang and Peter M. Asbeck}, title = {A 10-Gb/s high-isolation, 16{\texttimes}16 crosspoint switch implemented with AlGaAs/GaAs HBT's}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {4}, pages = {593--600}, year = {2000}, url = {https://doi.org/10.1109/4.839919}, doi = {10.1109/4.839919}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MetzgerCPBWA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/CaiLMM00, author = {Zhiqiang Cai and Chang{-}Ock Lee and Thomas A. Manteuffel and Stephen F. McCormick}, title = {First-Order System Least Squares For Linear Elasticity: Numerical Results}, journal = {{SIAM} J. Sci. Comput.}, volume = {21}, number = {5}, pages = {1706--1727}, year = {2000}, url = {https://doi.org/10.1137/S1064827598338640}, doi = {10.1137/S1064827598338640}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamsc/CaiLMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/CaiLMM00a, author = {Zhiqiang Cai and Chang{-}Ock Lee and Thomas A. Manteuffel and Stephen F. McCormick}, title = {First-Order System Least Squares for the Stokes and Linear Elasticity Equations: Further Results}, journal = {{SIAM} J. Sci. Comput.}, volume = {21}, number = {5}, pages = {1728--1739}, year = {2000}, url = {https://doi.org/10.1137/S1064827598338652}, doi = {10.1137/S1064827598338652}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamsc/CaiLMM00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/GravesKMS00, author = {Todd L. Graves and Alan F. Karr and J. S. Marron and Harvey P. Siy}, title = {Predicting Fault Incidence Using Software Change History}, journal = {{IEEE} Trans. Software Eng.}, volume = {26}, number = {7}, pages = {653--661}, year = {2000}, url = {https://doi.org/10.1109/32.859533}, doi = {10.1109/32.859533}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/GravesKMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChangG00, author = {Chieh{-}C. Chang and James Steven Gibson}, title = {Parallel control loops based on spatial subband processing for adaptive optics}, booktitle = {American Control Conference, {ACC} 2000, Chicago, Illinois, USA, 28-30 June, 2000}, pages = {2113--2117}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ACC.2000.879574}, doi = {10.1109/ACC.2000.879574}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ChangG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/AlterMSEV00, author = {Steven Alter and M. Lynne Markus and Judy E. Scott and Phillip Ein{-}Dor and Iris Vessey}, editor = {Soon Ang and Helmut Krcmar and Wanda J. Orlikowski and Peter Weill and Janice I. DeGross}, title = {Does the trend toward e-business call for changes in fundamental concepts of information systems? (Debate)}, booktitle = {Proceedings of the Twenty-First International Conference on Information Systems, {ICIS} 2000, Brisbane, Australia, December 10-13, 2000}, pages = {707--709}, publisher = {Association for Information Systems}, year = {2000}, url = {http://aisel.aisnet.org/icis2000/79}, timestamp = {Wed, 28 Nov 2018 12:57:14 +0100}, biburl = {https://dblp.org/rec/conf/icis/AlterMSEV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChangSG00, author = {Shuangyu Chang and Lokendra Shastri and Steven Greenberg}, title = {Automatic phonetic transcription of spontaneous speech (american English)}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {330--333}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-817}, doi = {10.21437/ICSLP.2000-817}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChangSG00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/CarterDLKC00, author = {Nicholas P. Carter and William J. Dally and Whay Sing Lee and Stephen W. Keckler and Andrew Chang}, editor = {Mateo Valero and Kazuki Joe and Masaru Kitsuregawa and Hidehiko Tanaka}, title = {Processor Mechanisms for Software Shared Memory}, booktitle = {High Performance Computing, Third International Symposium, {ISHPC} 2000, Tokyo, Japan, October 16-18, 2000. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1940}, pages = {120--133}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-39999-2\_11}, doi = {10.1007/3-540-39999-2\_11}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/CarterDLKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kr/ShapiroPLL00, author = {Steven Shapiro and Maurice Pagnucco and Yves Lesp{\'{e}}rance and Hector J. Levesque}, editor = {Anthony G. Cohn and Fausto Giunchiglia and Bart Selman}, title = {Iterated Belief Change in the Situation Calculus}, booktitle = {{KR} 2000, Principles of Knowledge Representation and Reasoning Proceedings of the Seventh International Conference, Breckenridge, Colorado, USA, April 11-15, 2000}, pages = {527--538}, publisher = {Morgan Kaufmann}, year = {2000}, timestamp = {Tue, 20 Jun 2023 09:03:42 +0200}, biburl = {https://dblp.org/rec/conf/kr/ShapiroPLL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/Cunningham00, author = {Steve Cunningham}, editor = {Lillian (Boots) Cassel and Nell B. Dale and Henry MacKay Walker and Susan M. Haller}, title = {Powers of 10: the case for changing the first course in computer graphics}, booktitle = {Proceedings of the 31st {SIGCSE} Technical Symposium on Computer Science Education, {SIGCSE} 2000, Austin, Texas, USA, March 7-12, 2000}, pages = {46--49}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330908.331809}, doi = {10.1145/330908.331809}, timestamp = {Tue, 23 Mar 2021 10:54:19 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/Cunningham00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenRB00, author = {Yih{-}Chang Chen and Steve Russ and Meurig Beynon}, title = {Participative process modelling}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: "Cybernetics Evolving to Systems, Humans, Organizations, and their Complex Interactions", Sheraton Music City Hotel, Nashville, Tennessee, USA, 8-11 October 2000}, pages = {619--624}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICSMC.2000.885063}, doi = {10.1109/ICSMC.2000.885063}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenRB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/BurdBD00, author = {Elizabeth Burd and Steven Bradley and John Davey}, title = {Studying the Process of Software Change: An Analysis of Software Evolution}, booktitle = {Proceedings of the Seventh Working Conference on Reverse Engineering, WCRE'00, Brisbane, Australia, November 23-25, 2000}, pages = {232--239}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/WCRE.2000.891474}, doi = {10.1109/WCRE.2000.891474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/BurdBD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/PaepckeBCCG99, author = {Andreas Paepcke and Michelle Q. Wang Baldonado and Kevin Chen{-}Chuan Chang and Steve B. Cousins and Hector Garcia{-}Molina}, title = {Using Distributed Objects to Build the Stanford Digital Library Infobus}, journal = {Computer}, volume = {32}, number = {2}, pages = {80--87}, year = {1999}, url = {https://doi.org/10.1109/2.745724}, doi = {10.1109/2.745724}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/PaepckeBCCG99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iahe/ScollinT99, author = {Patrick A. Scollin and Steven F. Tello}, title = {Implementing Distance Learning: Frameworks for Change}, journal = {Internet High. Educ.}, volume = {2}, number = {1}, pages = {11--20}, year = {1999}, url = {https://doi.org/10.1016/S1096-7516(99)00002-0}, doi = {10.1016/S1096-7516(99)00002-0}, timestamp = {Thu, 17 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iahe/ScollinT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jodl/GreeneTPSOMJ99, author = {Stephan Greene and Egemen Tanin and Catherine Plaisant and Ben Shneiderman and Lola Olsen and Gene Major and Steve Johns}, title = {The End of Zero-hit Queries: Query Previews for NASA's Global Change Master Directory}, journal = {Int. J. Digit. Libr.}, volume = {2}, number = {2-3}, pages = {79--90}, year = {1999}, url = {https://doi.org/10.1007/s007990050039}, doi = {10.1007/S007990050039}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jodl/GreeneTPSOMJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KecklerCLCD99, author = {Stephen W. Keckler and Andrew Chang and Whay Sing Lee and Sandeep Chatterjee and William J. Dally}, title = {Concurrent Event Handling through Multithreading}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {9}, pages = {903--916}, year = {1999}, url = {https://doi.org/10.1109/12.795220}, doi = {10.1109/12.795220}, timestamp = {Thu, 16 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KecklerCLCD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/IgelnikPLS99, author = {Boris Igelnik and Yoh{-}Han Pao and Steven R. LeClair and Chang{-}Yun Shen}, title = {The ensemble approach to neural-network learning and generalization}, journal = {{IEEE} Trans. Neural Networks}, volume = {10}, number = {1}, pages = {19--30}, year = {1999}, url = {https://doi.org/10.1109/72.737490}, doi = {10.1109/72.737490}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/IgelnikPLS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esann/LiehrPKLM99, author = {Stefan Liehr and Klaus Pawelzik and Jens Kohlmorgen and Steven Lemm and Klaus{-}Robert M{\"{u}}ller}, title = {Hidden Markov gating for prediction of change points in switching dynamical systems}, booktitle = {7th European Symposium on Artificial Neural Networks, {ESANN} 1999, Bruges, Belgium, April 21-23, 1999, Proceedings}, pages = {405--410}, year = {1999}, url = {https://www.esann.org/sites/default/files/proceedings/legacy/es1999-32.pdf}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esann/LiehrPKLM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangB99, author = {Tsung{-}Yuan Chang and Steven B. Bibyk}, title = {Exact analysis of second order bandpass delta-sigma modulator with sinusoidal inputs}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {372--375}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780736}, doi = {10.1109/ISCAS.1999.780736}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/SmailagicSBIDEHC99, author = {Asim Smailagic and Daniel P. Siewiorek and Leonard J. Bass and Robert A. Iannucci and Anton T. Dahbura and Steve Eddleston and Bob Hanson and Ed Chang}, title = {MoCCa: {A} Mobile Communication and Computing Architecture}, booktitle = {Third International Symposium on Wearable Computers {(ISWC} 1999), San Francisco, California, USA, 18-19 October 1999, Proceedings}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISWC.1999.806657}, doi = {10.1109/ISWC.1999.806657}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/SmailagicSBIDEHC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/re/WielsE99, author = {Virginie Wiels and Steve M. Easterbrook}, title = {Formal Modeling of Space Shuttle Software Change Requests using {SCR}}, booktitle = {4th {IEEE} International Symposium on Requirements Engineering {(RE} '99), 7-11 June 1999, Limerick, Ireland}, pages = {114--122}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISRE.1999.777991}, doi = {10.1109/ISRE.1999.777991}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/re/WielsE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wetice/StevesCK99, author = {Michelle Potts Steves and Wo Chang and Amy J. Knutilla}, title = {Supporting Manufacturing Process Analysis and Trouble Shooting with {ACTS}}, booktitle = {8th Workshop on Enabling Technologies {(WETICE} '99), Infrastructure for Collaborative Enterprises, 16-18 June 1999, Stanford, CA, USA, Proceedings}, pages = {126--133}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ENABL.1999.805187}, doi = {10.1109/ENABL.1999.805187}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wetice/StevesCK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/McKeownFDC98, author = {Kathleen R. McKeown and Steven Feiner and Mukesh Dalal and Shih{-}Fu Chang}, title = {Generating Multimedia Briefings: Coordinating Language and Illustration}, journal = {Artif. Intell.}, volume = {103}, number = {1-2}, pages = {95--116}, year = {1998}, url = {https://doi.org/10.1016/S0004-3702(98)00072-1}, doi = {10.1016/S0004-3702(98)00072-1}, timestamp = {Fri, 18 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/McKeownFDC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/LeeDKCC98, author = {Whay Sing Lee and William J. Dally and Stephen W. Keckler and Nicholas P. Carter and Andrew Chang}, title = {An Efficient, Protected Message Interface}, journal = {Computer}, volume = {31}, number = {11}, pages = {69--75}, year = {1998}, url = {https://doi.org/10.1109/2.730739}, doi = {10.1109/2.730739}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/LeeDKCC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigcse/Cunningham98, author = {Steve Cunningham}, title = {Outside the box: the changing shape of the computing world}, journal = {{ACM} {SIGCSE} Bull.}, volume = {30}, number = {4}, pages = {4--7}, year = {1998}, url = {https://doi.org/10.1145/306286.306290}, doi = {10.1145/306286.306290}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigcse/Cunningham98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JusticeVTDRHSPRSLMKRNWHLWGMLB98, author = {Christopher Justice and Eric F. Vermote and John R. Townshend and Ruth S. DeFries and David P. Roy and Dorothy K. Hall and Vincent V. Salomonson and Jeffrey L. Privette and George A. Riggs and Alan H. Strahler and Wolfgang Lucht and Ranga B. Myneni and Yuri Knyazikhin and Steven W. Running and Ramakrishna R. Nemani and Zhengming Wan and Alfredo R. Huete and Wim van Leeuwen and Robert E. Wolfe and Louis Giglio and Jan{-}Peter Muller and Philip Lewis and Michael J. Barnsley}, title = {The Moderate Resolution Imaging Spectroradiometer {(MODIS):} land remote sensing for global change research}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {36}, number = {4}, pages = {1228--1249}, year = {1998}, url = {https://doi.org/10.1109/36.701075}, doi = {10.1109/36.701075}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/JusticeVTDRHSPRSLMKRNWHLWGMLB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/DLunaYMCLGLHTRS98, author = {Lionel J. D'Luna and Paul Yang and Dean W. Mueller and Kelly B. Cameron and Huan{-}Chang Liu and David Gee and Fang Lu and Robert A. Hawley and Steve Tsubota and Charles Reames and Henry Samueli}, title = {A dual-channel {QAM/QPSK} receiver {IC} with integrated cable set-top box functionality}, booktitle = {Proceedings of the {IEEE} 1998 Custom Integrated Circuits Conference, {CICC} 1998, Santa Clara, CA, USA, May 11-14, 1998}, pages = {351--354}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/CICC.1998.694997}, doi = {10.1109/CICC.1998.694997}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/DLunaYMCLGLHTRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChangDKCL98, author = {Andrew Chang and William J. Dally and Stephen W. Keckler and Nicholas P. Carter and Whay Sing Lee}, title = {The effects of explicitly parallel mechanisms on the multi-ALU processor cluster pipeline}, booktitle = {International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX, {USA}}, pages = {474--481}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICCD.1998.727091}, doi = {10.1109/ICCD.1998.727091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChangDKCL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KecklerDMCCL98, author = {Stephen W. Keckler and William J. Dally and Daniel Maskit and Nicholas P. Carter and Andrew Chang and Whay Sing Lee}, editor = {Mateo Valero and Gurindar S. Sohi and Doug DeGroot}, title = {Exploiting Fine-grain Thread Level Parallelism on the {MIT} Multi-ALU Processor}, booktitle = {Proceedings of the 25th Annual International Symposium on Computer Architecture, {ISCA} 1998, Barcelona, Spain, June 27 - July 1, 1998}, pages = {306--317}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCA.1998.694790}, doi = {10.1109/ISCA.1998.694790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KecklerDMCCL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medoc/MoscheisenBCGKP98, author = {Martin R{\"{o}}scheisen and Michelle Q. Wang Baldonado and Kevin Chen{-}Chuan Chang and Luis Gravano and Steven P. Ketchpel and Andreas Paepcke}, editor = {Andreas Barth and Michael Breu and Albert Endres and Arnoud de Kemp}, title = {The Stanford InfoBus and Its Service Layers: Augmenting the Internet with High-Level Information Management Protocols}, booktitle = {Digital Libraries in Computer Science: The MeDoc Approach}, series = {Lecture Notes in Computer Science}, volume = {1392}, pages = {213--230}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0052526}, doi = {10.1007/BFB0052526}, timestamp = {Mon, 08 Mar 2021 16:42:25 +0100}, biburl = {https://dblp.org/rec/conf/medoc/MoscheisenBCGKP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/clsr/Saxby97, author = {Stephen Saxby}, title = {The times they are A-changing}, journal = {Comput. Law Secur. Rev.}, volume = {13}, number = {1}, pages = {2}, year = {1997}, url = {https://doi.org/10.1016/S0267-3649(97)81185-5}, doi = {10.1016/S0267-3649(97)81185-5}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/clsr/Saxby97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/FilloKDCCGL97, author = {Marco Fillo and Stephen W. Keckler and William J. Dally and Nicholas P. Carter and Andrew Chang and Yevgeny Gurevich and Whay Sing Lee}, title = {The M-machine multicomputer}, journal = {Int. J. Parallel Program.}, volume = {25}, number = {3}, pages = {183--212}, year = {1997}, url = {https://doi.org/10.1007/BF02700035}, doi = {10.1007/BF02700035}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/FilloKDCCGL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/LaValleS97, author = {Steven M. LaValle and Rajeev Sharma}, title = {On Motion Planning in Changing, Partially Predictable Environments}, journal = {Int. J. Robotics Res.}, volume = {16}, number = {6}, pages = {775--805}, year = {1997}, url = {https://doi.org/10.1177/027836499701600605}, doi = {10.1177/027836499701600605}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/LaValleS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ism/LyleZ97, author = {Steven W. Lyle and Robert A. Zawacki}, title = {Centers Of ExcellenceEmpowering People to Manage Change}, journal = {Inf. Syst. Manag.}, volume = {14}, number = {1}, pages = {26--29}, year = {1997}, url = {https://doi.org/10.1080/10580539708907026}, doi = {10.1080/10580539708907026}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ism/LyleZ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/ChangTCSMFHL97, author = {Henry Chang and Carl D. Tait and Norman H. Cohen and Moshe Shapiro and Steve Mastrianni and Rick Floyd and Barron C. Housel and David B. Lindquist}, editor = {L{\'{a}}szl{\'{o}} Pap and Karen Sohraby and David B. Johnson and Christopher Rose}, title = {Web Browsing in a Wireless Environment: Disconnected and Asynchronous Operation in ARTour Web Express}, booktitle = {{MOBICOM} '97, Proceedings of the Third Annual {ACM/IEEE} International Conference on Mobile Computing and Networking, Budapest, Hungary, September 26-30, 1997}, pages = {260--269}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/262116.262154}, doi = {10.1145/262116.262154}, timestamp = {Mon, 01 Jul 2019 18:18:38 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/ChangTCSMFHL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LinG96, author = {Chang{-}Li Lin and Sheng{-}Uei Guan}, title = {The design and architecture of a video library system}, journal = {{IEEE} Commun. Mag.}, volume = {34}, number = {1}, pages = {86--91}, year = {1996}, url = {https://doi.org/10.1109/35.482251}, doi = {10.1109/35.482251}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LinG96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/LowJHHRRW96, author = {Janet Low and Jim Johnson and Patrick A. V. Hall and Fiona Hovenden and Janet Rachel and Hugh Robinson and Steve Woolgar}, title = {Read this and change the way you feel about software engineering}, journal = {Inf. Softw. Technol.}, volume = {38}, number = {2}, pages = {77--87}, year = {1996}, url = {https://doi.org/10.1016/0950-5849(95)01046-7}, doi = {10.1016/0950-5849(95)01046-7}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/LowJHHRRW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigchi/ODonnellD96, author = {Paddy O'Donnell and Stephen W. Draper}, title = {How machine delays change user strategies}, journal = {{ACM} {SIGCHI} Bull.}, volume = {28}, number = {2}, pages = {39--42}, year = {1996}, url = {https://doi.org/10.1145/226650.226665}, doi = {10.1145/226650.226665}, timestamp = {Thu, 17 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigchi/ODonnellD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/Orlowski96, author = {Steve Orlowski}, editor = {Josef Pieprzyk and Jennifer Seberry}, title = {The Changing Face of Information Technology Security}, booktitle = {Information Security and Privacy, First Australasian Conference, ACISP'96, Wollongong, NSW, Australia, June 24-26, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1172}, pages = {1--13}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0023282}, doi = {10.1007/BFB0023282}, timestamp = {Sun, 02 Jun 2019 21:26:44 +0200}, biburl = {https://dblp.org/rec/conf/acisp/Orlowski96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KudvaGJN96, author = {Prabhakar Kudva and Ganesh Gopalakrishnan and Hans M. Jacobson and Steven M. Nowick}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Synthesis for Hazard-free Customized {CMOS} Complex-Gate Networks Under Multiple-Input Changes}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {77--82}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240534}, doi = {10.1145/240518.240534}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KudvaGJN96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eps/BankesL96, author = {Steve Bankes and Robert J. Lempert}, editor = {Lawrence J. Fogel and Peter J. Angeline and Thomas B{\"{a}}ck}, title = {Adaptive Strategies for Abating Climate Change: An Example of Policy Analysis for Complex Adaptive Systems}, booktitle = {Proceedings of the Fifth Annual Conference on Evolutionary Programming, {EP} 1996, San Diego, CA, USA, February 29 - March 2, 1996}, pages = {17--25}, publisher = {{MIT} Press}, year = {1996}, timestamp = {Thu, 01 Aug 2019 10:52:22 +0200}, biburl = {https://dblp.org/rec/conf/eps/BankesL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ShihLFC96, author = {Timothy K. Shih and Steven K. C. Lo and Szu{-}Jan Fu and Julian B. Chang}, title = {Using Interval Temporal Logic and Inference Rules for the Automatic Generation of Multimedia Presentations}, booktitle = {Proceedings of the {IEEE} International Conference on Multimedia Computing and Systems, {ICMCS} 1996, Hiroshima, Japan, June 17-23, 1996}, pages = {425--428}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/MMCS.1996.535008}, doi = {10.1109/MMCS.1996.535008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ShihLFC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/WhitebreadJ95, author = {Kenneth R. Whitebread and Steve Jameson}, title = {Information Discovery in High-Volume, Frequently Changing Data}, journal = {{IEEE} Expert}, volume = {10}, number = {5}, pages = {51--53}, year = {1995}, url = {https://doi.org/10.1109/64.464933}, doi = {10.1109/64.464933}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/expert/WhitebreadJ95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/LavenderTCA95, author = {Steven A. Lavender and James S. Thomas and Dennis Chang and Gunnar B. J. Andersson}, title = {Effect of Lifting Belts, Foot Movement, and Lift Asymmetry on Trunk Motions}, journal = {Hum. Factors}, volume = {37}, number = {4}, pages = {844--853}, year = {1995}, url = {https://doi.org/10.1518/001872095778995562}, doi = {10.1518/001872095778995562}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/LavenderTCA95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/KoburgerCAABBBCDGHHHLLLMMNNSTWW95, author = {Charles W. Koburger III and William F. Clark and James W. Adkisson and Eric Adler and Paul E. Bakeman and Albert S. Bergendahl and Alan B. Botula and W. Chang and Bijan Davari and John H. Givens and Howard H. Hansen and Steven J. Holmes and David V. Horak and Chung Hon Lam and Jerome B. Lasky and Stephen E. Luce and Randy W. Mann and Glen L. Miles and James S. Nakos and Edward J. Nowak and Ghavam G. Shahidi and Yuan Taur and Francis R. White and Matthew R. Wordeman}, title = {A half-micron {CMOS} logic generation}, journal = {{IBM} J. Res. Dev.}, volume = {39}, number = {1-2}, pages = {215--228}, year = {1995}, url = {https://doi.org/10.1147/rd.391.0215}, doi = {10.1147/RD.391.0215}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/KoburgerCAABBBCDGHHHLLLMMNNSTWW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MillsBCCJLR95, author = {James K. Mills and Philip J. Baines and Thomas Chang and Steven Chew and Trevor Jones and Stephen Lam and Adi Rabadi}, title = {Development of a robot control test platform}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {2}, number = {4}, pages = {21--28}, year = {1995}, url = {https://doi.org/10.1109/100.476626}, doi = {10.1109/100.476626}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MillsBCCJLR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NowickD95, author = {Steven M. Nowick and David L. Dill}, title = {Exact two-level minimization of hazard-free logic with multiple-input changes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {8}, pages = {986--997}, year = {1995}, url = {https://doi.org/10.1109/43.402498}, doi = {10.1109/43.402498}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NowickD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/TsaiYC95, author = {Jeffrey J. P. Tsai and Steve Jennhwa Yang and Yao{-}Hsiung Chang}, title = {Timing Constraint Petri Nets and Their Application to Schedulability Analysis of Real-Time System Specifications}, journal = {{IEEE} Trans. Software Eng.}, volume = {21}, number = {1}, pages = {32--49}, year = {1995}, url = {https://doi.org/10.1109/32.341845}, doi = {10.1109/32.341845}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/TsaiYC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/SerafeimidisS95, author = {Vassilis Serafeimidis and Steve Smithson}, editor = {Georgios I. Doukidis and Robert D. Galliers and Tawfik Jelassi and Helmut Krcmar and Frank Land}, title = {The management of change for a rigorous appraisal of {IT} investment: The case of a}, booktitle = {Proceedings of the Third European Conference on Information Systems, {ECIS} 1995, Athens, Greece, 1995}, pages = {221--234}, year = {1995}, timestamp = {Mon, 05 Dec 2016 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/SerafeimidisS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FilloKDCCGL95, author = {Marco Fillo and Stephen W. Keckler and William J. Dally and Nicholas P. Carter and Andrew Chang and Yevgeny Gurevich and Whay Sing Lee}, editor = {Trevor N. Mudge and Kemal Ebcioglu}, title = {The M-Machine multicomputer}, booktitle = {Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29 - December 1, 1995}, pages = {146--156}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/MICRO.1995.476822}, doi = {10.1109/MICRO.1995.476822}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/FilloKDCCGL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/HanksMG95, author = {Steve Hanks and David Madigan and Jonathan Gavrin}, editor = {Philippe Besnard and Steve Hanks}, title = {Probabilistic Temporal Reasoning with Endogenous Change}, booktitle = {{UAI} '95: Proceedings of the Eleventh Annual Conference on Uncertainty in Artificial Intelligence, Montreal, Quebec, Canada, August 18-20, 1995}, pages = {245--254}, publisher = {Morgan Kaufmann}, year = {1995}, url = {https://dslpitt.org/uai/displayArticleDetails.jsp?mmnu=1\&smnu=2\&article\_id=440\&proceeding\_id=11}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uai/HanksMG95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/HanksM94, author = {Steve Hanks and Drew V. McDermott}, title = {Modeling a Dynamic and Uncertain World {I:} Symbolic and Probabilistic Reasoning About Change}, journal = {Artif. Intell.}, volume = {66}, number = {1}, pages = {1--55}, year = {1994}, url = {https://doi.org/10.1016/0004-3702(94)90002-7}, doi = {10.1016/0004-3702(94)90002-7}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/HanksM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/BrownC94, author = {Steven H. Brown and Robert D. Coney}, title = {Research Paper: Changes in Physicians' Computer Anxiety and Attitudes Related to Clinical Information System Use}, journal = {J. Am. Medical Informatics Assoc.}, volume = {1}, number = {5}, pages = {381--394}, year = {1994}, url = {https://doi.org/10.1136/jamia.1994.95153426}, doi = {10.1136/JAMIA.1994.95153426}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/BrownC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/LiuB94, author = {Yong Liu and Steven D. Blostein}, title = {Quickest detection of an abrupt change in a random sequence with finite time change}, journal = {{IEEE} Trans. Inf. Theory}, volume = {40}, number = {6}, pages = {1985--1993}, year = {1994}, url = {https://doi.org/10.1109/18.340471}, doi = {10.1109/18.340471}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/LiuB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cds/Vestal94, author = {Steve Vestal}, title = {Mode changes in a real-time architecture description language}, booktitle = {Second International Workshop on Configurable Distributed Systems, 1994, Proceedings, Pittsburgh, {PA} , USA, 21-23 March, 1994}, pages = {136--146}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/IWCDS.1994.289928}, doi = {10.1109/IWCDS.1994.289928}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cds/Vestal94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jits/ChangHZVTS93, author = {Kwang Soo Chang and J. Karl Hedrick and Wei{-}Bin Zhang and Pravin Varaiya and Masayoshi Tomizuka and Steven E. Shladover}, title = {Automated Highway System Experiments in the Path Program}, journal = {J. Intell. Transp. Syst.}, volume = {1}, number = {1}, pages = {63--87}, year = {1993}, url = {https://doi.org/10.1080/10248079308903783}, doi = {10.1080/10248079308903783}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jits/ChangHZVTS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/SchiffC92, author = {Steven J. Schiff and Taeun Chang}, title = {Differentiation of linearly correlated noise from chaos in a biologic system using surrogate data}, journal = {Biol. Cybern.}, volume = {67}, number = {5}, pages = {387--393}, year = {1992}, url = {https://doi.org/10.1007/BF00200982}, doi = {10.1007/BF00200982}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/SchiffC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NowickD92, author = {Steven M. Nowick and David L. Dill}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Exact two-level minimization of hazard-free logic with multiple-input changes}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {626--630}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279301}, doi = {10.1109/ICCAD.1992.279301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NowickD92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8-3/SilverAEST92, author = {Mark S. Silver and Steven L. Alter and Joyce J. Elam and Charles B. Stabell and Dov Te'eni}, editor = {Tawfik Jelassi and Michael R. Klein and W. M. Mayon{-}White}, title = {Decision Support Systems: Balancing Directed and Nondirected Change}, booktitle = {Decision Support Systems: Experiences and Expectations, Proceedings of the {IFIP} {TC8/WG8.3} Working Conference on Decision Support Systems: Experiences and Expectations, Fontainebleau, France, 30 June - 3 July 1992}, series = {{IFIP} Transactions}, volume = {{A-9}}, pages = {51--56}, publisher = {North-Holland}, year = {1992}, timestamp = {Thu, 21 Mar 2002 12:39:27 +0100}, biburl = {https://dblp.org/rec/conf/ifip8-3/SilverAEST92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigchi/TealR91, author = {Steven L. Teal and Alexander I. Rudnicky}, title = {Changes in User Task Strategy due to System response delay}, journal = {{ACM} {SIGCHI} Bull.}, volume = {23}, number = {4}, pages = {47--48}, year = {1991}, url = {https://doi.org/10.1145/126729.1056054}, doi = {10.1145/126729.1056054}, timestamp = {Tue, 22 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigchi/TealR91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/Blostein91, author = {Steven D. Blostein}, title = {Quickest detection of a time-varying change in distribution}, journal = {{IEEE} Trans. Inf. Theory}, volume = {37}, number = {4}, pages = {1116--1122}, year = {1991}, url = {https://doi.org/10.1109/18.87003}, doi = {10.1109/18.87003}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/Blostein91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BlosteinL91, author = {Steven D. Blostein and Yong Liu}, title = {On sequentially detecting an abrupt change in a stochastic process}, booktitle = {1991 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '91, Toronto, Ontario, Canada, May 14-17, 1991}, pages = {1341--1344}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICASSP.1991.150663}, doi = {10.1109/ICASSP.1991.150663}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/BlosteinL91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/Roberts90, author = {Steve Roberts}, title = {Datalink's {PANMAN} - managing networks in a period of change}, journal = {Comput. Commun.}, volume = {13}, number = {3}, pages = {170--173}, year = {1990}, url = {https://doi.org/10.1016/0140-3664(90)90103-N}, doi = {10.1016/0140-3664(90)90103-N}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/Roberts90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinDY89, author = {Ichiang Lin and David Hung{-}Chang Du and Steve H.{-}C. Yen}, editor = {Donald E. Thomas}, title = {Gate Matrix Layout Synthesis with Two-Dimensional Folding}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {37--42}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74390}, doi = {10.1145/74382.74390}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinDY89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChangMA84, author = {Hyokang Chang and Steven Gregory Morton and Bhagwati Prasad Agrawal}, title = {Line, Trunk, and Service Circuit Test System of {ITT} System 1240}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {2}, number = {2}, pages = {374--380}, year = {1984}, url = {https://doi.org/10.1109/JSAC.1984.1146065}, doi = {10.1109/JSAC.1984.1146065}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChangMA84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/AndersonCTFD79, author = {William H. Anderson and C. H. J. Chang and Mark A. Tarlton and Steven L. Fritz and Samuel J. Dwyer III}, title = {An interactive computer graphics system for the computed tomographic breast scanner {(CT/M)}}, booktitle = {The {IEEE} Computer Society's Third International Computer Software and Applications Conference, {COMPSAC} 1979, 6-8 November, 1979, Chicago, Illinois, {USA}}, pages = {350--354}, publisher = {{IEEE}}, year = {1979}, url = {https://doi.org/10.1109/CMPSAC.1979.762517}, doi = {10.1109/CMPSAC.1979.762517}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/AndersonCTFD79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.