Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Ning Cai"
@article{DBLP:journals/access/GuoYWCZLLY24, author = {Jie Guo and Xiaohuan Yu and Shaoyu Wang and Ailong Cai and Zhizhong Zheng and Ningning Liang and Lei Li and Bin Yan}, title = {Low-Rank Tensor Train and Self-Similarity Based Spectral {CT} Reconstruction}, journal = {{IEEE} Access}, volume = {12}, pages = {56368--56382}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3273900}, doi = {10.1109/ACCESS.2023.3273900}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GuoYWCZLLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangNLZ24, author = {Suwei Wang and Yang Ning and Xuemei Li and Caiming Zhang}, title = {Saliency Detection via Manifold Ranking on Multi-Layer Graph}, journal = {{IEEE} Access}, volume = {12}, pages = {6615--6627}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3347812}, doi = {10.1109/ACCESS.2023.3347812}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangNLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuCLWLY24, author = {Xiaohuan Yu and Ailong Cai and Ningning Liang and Shaoyu Wang and Lei Li and Bin Yan}, title = {Volume Conservation Constrained Multi-Material Reconstruction for Inconsistent Spectral {CT} Imaging}, journal = {{IEEE} Access}, volume = {12}, pages = {58128--58142}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3261661}, doi = {10.1109/ACCESS.2023.3261661}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuCLWLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/FengZSZYCN24, author = {Xue Feng and Siyi Zhu and Yanfei Shen and Huaiping Zhu and Molei Yan and Guolong Cai and Gangmin Ning}, title = {Multi-organ spatiotemporal information aware model for sepsis mortality prediction}, journal = {Artif. Intell. Medicine}, volume = {147}, pages = {102746}, year = {2024}, url = {https://doi.org/10.1016/j.artmed.2023.102746}, doi = {10.1016/J.ARTMED.2023.102746}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/FengZSZYCN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/CaiTPWYRCH24, author = {Xiaopei Cai and Xueyang Tang and Shuo Pan and Yi Wang and Hai Yan and Yuheng Ren and Ning Chen and Yue Hou}, title = {Intelligent recognition of defects in high-speed railway slab track with limited dataset}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {39}, number = {6}, pages = {911--928}, year = {2024}, url = {https://doi.org/10.1111/mice.13109}, doi = {10.1111/MICE.13109}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacie/CaiTPWYRCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/XuDYXXLZXW24, author = {Kequan Xu and Caixia Dai and Jialing Yang and Jia Xu and Chuqi Xia and Jinze Li and Cheng Zhang and Ning Xu and Tiangen Wu}, title = {Disulfidptosis-related lncRNA signatures assess immune microenvironment and drug sensitivity in hepatocellular carcinoma}, journal = {Comput. Biol. Medicine}, volume = {169}, pages = {107930}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.107930}, doi = {10.1016/J.COMPBIOMED.2024.107930}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/XuDYXXLZXW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/YangGWCNG24, author = {Yong Yang and Yiming Guo and Wenjing Wang and Bingxin Cai and Huanhuan Ning and Huoliang Gong}, title = {Harsh parenting and smartphone addiction: The mediating role of core self-evaluation and the moderating role of nature connectedness}, journal = {Comput. Hum. Behav.}, volume = {152}, pages = {108049}, year = {2024}, url = {https://doi.org/10.1016/j.chb.2023.108049}, doi = {10.1016/J.CHB.2023.108049}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/YangGWCNG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/ZhangNCSBL24, author = {Cuihong Zhang and Jing Ning and Jianwen Cai and James E. Squires and Steven H. Belle and Ruosha Li}, title = {Dynamic risk score modeling for multiple longitudinal risk factors and survival}, journal = {Comput. Stat. Data Anal.}, volume = {189}, pages = {107837}, year = {2024}, url = {https://doi.org/10.1016/j.csda.2023.107837}, doi = {10.1016/J.CSDA.2023.107837}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/ZhangNCSBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/ChenZ24, author = {Ningning Chen and Huibiao Zhu}, title = {A proof system of the CaIT calculus}, journal = {Frontiers Comput. Sci.}, volume = {18}, number = {2}, pages = {182401}, year = {2024}, url = {https://doi.org/10.1007/s11704-022-2258-3}, doi = {10.1007/S11704-022-2258-3}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcsc/ChenZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/SunCZX24, author = {Siqing Sun and Defu Cai and Hai{-}Tao Zhang and Ning Xing}, title = {Reinforcement Learning-Based {MAS} Interception in Antagonistic Environments}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {11}, number = {1}, pages = {270--272}, year = {2024}, url = {https://doi.org/10.1109/JAS.2023.123798}, doi = {10.1109/JAS.2023.123798}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/SunCZX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/LiLSCZFMW24, author = {Linge Li and Xiaoqin Liu and Feiyu Shi and Yihua Cai and Ying Zhang and Ping Fang and Chao Mu and Ningquan Weng}, title = {Foggy image restoration using deep sub-pixel reconstruction network}, journal = {{IET} Image Process.}, volume = {18}, number = {3}, pages = {707--721}, year = {2024}, url = {https://doi.org/10.1049/ipr2.12979}, doi = {10.1049/IPR2.12979}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/LiLSCZFMW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/XuZC24, author = {Ning Xu and Zimo Zhou and Hao Cai}, title = {A {DTI} registration algorithm based on spectral representation}, journal = {{IET} Image Process.}, volume = {18}, number = {3}, pages = {796--806}, year = {2024}, url = {https://doi.org/10.1049/ipr2.12986}, doi = {10.1049/IPR2.12986}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/XuZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/YiZZ0HXZ24, author = {Yugen Yi and Haoming Zhang and Ningyi Zhang and Wei Zhou and Xiaomei Huang and Gengsheng Xie and Caixia Zheng}, title = {{SFS-AGGL:} Semi-Supervised Feature Selection Integrating Adaptive Graph with Global and Local Information}, journal = {Inf.}, volume = {15}, number = {1}, pages = {57}, year = {2024}, url = {https://doi.org/10.3390/info15010057}, doi = {10.3390/INFO15010057}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/YiZZ0HXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/CaiNDD24, author = {Zhenyang Cai and Jin Ning and Zhiheng Ding and Bin Duo}, title = {Additional Self-Attention Transformer With Adapter for Thick Haze Removal}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {21}, pages = {1--5}, year = {2024}, url = {https://doi.org/10.1109/LGRS.2024.3368430}, doi = {10.1109/LGRS.2024.3368430}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/CaiNDD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/NingLCXHHD24, author = {Ting Ning and Can Lin and Guofa Cai and Kengyuan Xie and Jiguang He and Chongwen Huang and M{\'{e}}rouane Debbah}, title = {Energy Buffer-Aided Wireless-Powered Relaying System for Self-Sustainable Implant {WBAN}}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {5}, pages = {2302--2318}, year = {2024}, url = {https://doi.org/10.1109/OJCOMS.2024.3385369}, doi = {10.1109/OJCOMS.2024.3385369}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/NingLCXHHD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PengZCWW24, author = {Fulai Peng and Ningling Zhang and Cai Chen and Fengxia Wu and Weidong Wang}, title = {Ensemble Extreme Learning Machine Method for Hemoglobin Estimation Based on PhotoPlethysmoGraphic Signals}, journal = {Sensors}, volume = {24}, number = {6}, pages = {1736}, year = {2024}, url = {https://doi.org/10.3390/s24061736}, doi = {10.3390/S24061736}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PengZCWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tai/ZhaoWCLWW24, author = {Jiang Zhao and Yibo Wang and Zhihao Cai and Ningjun Liu and Kun Wu and Yingxun Wang}, title = {Learning Visual Representation for Autonomous Drone Navigation via a Contrastive World Model}, journal = {{IEEE} Trans. Artif. Intell.}, volume = {5}, number = {3}, pages = {1263--1276}, year = {2024}, url = {https://doi.org/10.1109/TAI.2023.3283488}, doi = {10.1109/TAI.2023.3283488}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tai/ZhaoWCLWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/JiaCWY24, author = {Di Jia and Peng Cai and Qian Wang and Ninghua Yang}, title = {A Transformer-Based Architecture for High-Resolution Stereo Matching}, journal = {{IEEE} Trans. Computational Imaging}, volume = {10}, pages = {83--92}, year = {2024}, url = {https://doi.org/10.1109/TCI.2024.3350884}, doi = {10.1109/TCI.2024.3350884}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tci/JiaCWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/PangSC24, author = {Yang Pang and Ningfang Song and Qingzhong Cai}, title = {A Novel Method for Gyro Bias Estimation of On-Board Inertial Navigation System Prior to Launch of Autonomous Drone}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {5459--5468}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3333922}, doi = {10.1109/TII.2023.3333922}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/PangSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/NingWLCCGLJ24, author = {Xiaojun Ning and Jing Wang and Youfang Lin and Xiyang Cai and Haobin Chen and Haijun Gou and Xiaoli Li and Ziyu Jia}, title = {MetaEmotionNet: Spatial-Spectral-Temporal-Based Attention 3-D Dense Network With Meta-Learning for {EEG} Emotion Recognition}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3338676}, doi = {10.1109/TIM.2023.3338676}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/NingWLCCGLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WangRCWLLY24, author = {Yizhong Wang and Junru Ren and Ailong Cai and Shaoyu Wang and Ningning Liang and Lei Li and Bin Yan}, title = {Hybrid-Domain Integrative Transformer Iterative Network for Spectral {CT} Imaging}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3379388}, doi = {10.1109/TIM.2024.3379388}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/WangRCWLLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/CaiLSCYYZM24, author = {Taotao Cai and Qi Lei and Quan Z. Sheng and Ningning Cui and Shuiqiao Yang and Jian Yang and Wei Emma Zhang and Adnan Mahmood}, title = {Reconnecting the Estranged Relationships: Optimizing the Influence Propagation in Evolving Networks}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {36}, number = {5}, pages = {2151--2165}, year = {2024}, url = {https://doi.org/10.1109/TKDE.2023.3316268}, doi = {10.1109/TKDE.2023.3316268}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/CaiLSCYYZM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosem/SunDGLCWCW24, author = {Chang{-}Ai Sun and Hepeng Dai and Ning Geng and Huai Liu and Tsong Yueh Chen and Peng Wu and Yan Cai and Jinqiu Wang}, title = {An Interleaving Guided Metamorphic Testing Approach for Concurrent Programs}, journal = {{ACM} Trans. Softw. Eng. Methodol.}, volume = {33}, number = {1}, pages = {8:1--8:21}, year = {2024}, url = {https://doi.org/10.1145/3607182}, doi = {10.1145/3607182}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tosem/SunDGLCWCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangX0J24, author = {Chaoyun Wang and Jingmin Xin and Nanning Zheng and Caigui Jiang}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {GSO-Net: Grid Surface Optimization via Learning Geometric Constraints}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {8163--8171}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i8.28656}, doi = {10.1609/AAAI.V38I8.28656}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangX0J24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YuJLHWLCLLTZZXH24, author = {Tianyu Yu and Chengyue Jiang and Chao Lou and Shen Huang and Xiaobin Wang and Wei Liu and Jiong Cai and Yangning Li and Yinghui Li and Kewei Tu and Hai{-}Tao Zheng and Ningyu Zhang and Pengjun Xie and Fei Huang and Yong Jiang}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {SeqGPT: An Out-of-the-Box Large Language Model for Open Domain Sequence Understanding}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {19458--19467}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i17.29917}, doi = {10.1609/AAAI.V38I17.29917}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YuJLHWLCLLTZZXH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10941, author = {Shiyu Wang and Yihao Feng and Tian Lan and Ning Yu and Yu Bai and Ran Xu and Huan Wang and Caiming Xiong and Silvio Savarese}, title = {Text2Data: Low-Resource Data Generation with Textual Control}, journal = {CoRR}, volume = {abs/2402.10941}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10941}, doi = {10.48550/ARXIV.2402.10941}, eprinttype = {arXiv}, eprint = {2402.10941}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10941.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03689, author = {Kaidi Chen and Ben Chen and Dehong Gao and Huangyu Dai and Wen Jiang and Wei Ning and Shanqing Yu and Libin Yang and Xiaoyan Cai}, title = {General2Specialized LLMs Translation for E-commerce}, journal = {CoRR}, volume = {abs/2403.03689}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03689}, doi = {10.48550/ARXIV.2403.03689}, eprinttype = {arXiv}, eprint = {2403.03689}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17297, author = {Zheng Cai and Maosong Cao and Haojiong Chen and Kai Chen and Keyu Chen and Xin Chen and Xun Chen and Zehui Chen and Zhi Chen and Pei Chu and Xiaoyi Dong and Haodong Duan and Qi Fan and Zhaoye Fei and Yang Gao and Jiaye Ge and Chenya Gu and Yuzhe Gu and Tao Gui and Aijia Guo and Qipeng Guo and Conghui He and Yingfan Hu and Ting Huang and Tao Jiang and Penglong Jiao and Zhenjiang Jin and Zhikai Lei and Jiaxing Li and Jingwen Li and Linyang Li and Shuaibin Li and Wei Li and Yining Li and Hongwei Liu and Jiangning Liu and Jiawei Hong and Kaiwen Liu and Kuikun Liu and Xiaoran Liu and Chengqi Lv and Haijun Lv and Kai Lv and Li Ma and Runyuan Ma and Zerun Ma and Wenchang Ning and Linke Ouyang and Jiantao Qiu and Yuan Qu and Fukai Shang and Yunfan Shao and Demin Song and Zifan Song and Zhihao Sui and Peng Sun and Yu Sun and Huanze Tang and Bin Wang and Guoteng Wang and Jiaqi Wang and Jiayu Wang and Rui Wang and Yudong Wang and Ziyi Wang and Xingjian Wei and Qizhen Weng and Fan Wu and Yingtong Xiong and et al.}, title = {InternLM2 Technical Report}, journal = {CoRR}, volume = {abs/2403.17297}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17297}, doi = {10.48550/ARXIV.2403.17297}, eprinttype = {arXiv}, eprint = {2403.17297}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17297.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/ZhangXPYWG23, author = {Linhua Zhang and Ning Xiong and Xinghao Pan and Xiaodong Yue and Peng Wu and Caiping Guo}, title = {Improved Object Detection Method Utilizing YOLOv7-Tiny for Unmanned Aerial Vehicle Photographic Imagery}, journal = {Algorithms}, volume = {16}, number = {11}, pages = {520}, year = {2023}, url = {https://doi.org/10.3390/a16110520}, doi = {10.3390/A16110520}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithms/ZhangXPYWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/CaiWCTXW23, author = {Yufeng Cai and Wenya Wang and Yicong Chen and Haijun Tan and Ning Xie and Jiangzhou Wang}, title = {Multiple Cooperative Attackers for Tag-Based Physical Layer Authentication}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {7}, pages = {165--171}, year = {2023}, url = {https://doi.org/10.1109/MCOM.007.2200402}, doi = {10.1109/MCOM.007.2200402}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/CaiWCTXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/DingNLMC23, author = {Ming Ding and Jing Ning and Xiuyan Liu and Runze Mi and Yan Cai}, title = {Stenotic geometry effects on airflow dynamics and respiration for central airway obstruction}, journal = {Comput. Methods Programs Biomed.}, volume = {241}, pages = {107760}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107760}, doi = {10.1016/J.CMPB.2023.107760}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/DingNLMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LiuJHHCC23, author = {Ning Liu and Chunbo Jia and Bingnan Hou and Changsheng Hou and Yingwen Chen and Zhiping Cai}, title = {6Search: {A} reinforcement learning-based traceroute approach for efficient IPv6 topology discovery}, journal = {Comput. Networks}, volume = {235}, pages = {109987}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109987}, doi = {10.1016/J.COMNET.2023.109987}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LiuJHHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/ZhangWTL23, author = {Ning Zhang and Xiaoye Wang and Caiyuan Tong and Wenxue Li}, title = {Semi-global exponential stability of stochastic nonlinear functional sampling systems by emulation approach}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {125}, pages = {107336}, year = {2023}, url = {https://doi.org/10.1016/j.cnsns.2023.107336}, doi = {10.1016/J.CNSNS.2023.107336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/ZhangWTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/NingWXCZYL23, author = {Xin Ning and Xinran Wang and Shaohui Xu and Weiwei Cai and Liping Zhang and Lina Yu and Wenfa Li}, title = {A review of research on co-training}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {18}, year = {2023}, url = {https://doi.org/10.1002/cpe.6276}, doi = {10.1002/CPE.6276}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/NingWXCZYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvm/MuCCG23, author = {Tai{-}Jiang Mu and Hao{-}Xiang Chen and Junxiong Cai and Ning Guo}, title = {Neural 3D reconstruction from sparse views using geometric priors}, journal = {Comput. Vis. Media}, volume = {9}, number = {4}, pages = {687--697}, year = {2023}, url = {https://doi.org/10.1007/s41095-023-0337-5}, doi = {10.1007/S41095-023-0337-5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvm/MuCCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvm/WangGDL23, author = {Qian Wang and Cai Guo and Hong{-}Ning Dai and Ping Li}, title = {Stroke-GAN Painter: Learning to paint artworks using stroke-style generative adversarial networks}, journal = {Comput. Vis. Media}, volume = {9}, number = {4}, pages = {787--806}, year = {2023}, url = {https://doi.org/10.1007/s41095-022-0287-3}, doi = {10.1007/S41095-022-0287-3}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cvm/WangGDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ChenCBY23, author = {Hui Chen and Wen Cui and Caihui Bo and Ning Yang}, title = {Point cloud simplification for the boundary preservation based on extracted four features}, journal = {Displays}, volume = {78}, pages = {102414}, year = {2023}, url = {https://doi.org/10.1016/j.displa.2023.102414}, doi = {10.1016/J.DISPLA.2023.102414}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ChenCBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/GuCCLZZZ23, author = {Chunyan Gu and Tao Cheng and Ning Cai and Wei{-}Feng Li and Gan Zhang and Xin{-}Gen Zhou and Dongyan Zhang}, title = {Assessing narrow brown leaf spot severity and fungicide efficacy in rice using low altitude {UAV} imaging}, journal = {Ecol. Informatics}, volume = {77}, pages = {102208}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102208}, doi = {10.1016/J.ECOINF.2023.102208}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/GuCCLZZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ChenZ23, author = {Ningning Chen and Huibiao Zhu}, title = {IoT Modeling and Verification: From the CaIT Calculus to {UPPAAL}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {106}, number = {9}, pages = {1507--1518}, year = {2023}, url = {https://doi.org/10.1587/transinf.2022edp7223}, doi = {10.1587/TRANSINF.2022EDP7223}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ChenZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/NingSTCX23, author = {Fangwei Ning and Yan Shi and Xiaomeng Tong and Maolin Cai and Weiqing Xu}, title = {Manufacturing cost estimation based on similarity}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {36}, number = {8}, pages = {1238--1253}, year = {2023}, url = {https://doi.org/10.1080/0951192x.2023.2165160}, doi = {10.1080/0951192X.2023.2165160}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/NingSTCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/SunCZ23, author = {Mengke Sun and Zongyan Cai and Ningning Zhao}, title = {Design of intelligent manufacturing system based on digital twin for smart shop floors}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {36}, number = {4}, pages = {542--566}, year = {2023}, url = {https://doi.org/10.1080/0951192x.2022.2128212}, doi = {10.1080/0951192X.2022.2128212}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/SunCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/LiaoCGWX23, author = {Ningna Liao and Qiang Cai and Harish Garg and Guiwu Wei and Xinrui Xu}, title = {Novel Gained and Lost Dominance Score Method Based on Cumulative Prospect Theory for Group Decision-Making Problems in Probabilistic Hesitant Fuzzy Environment}, journal = {Int. J. Fuzzy Syst.}, volume = {25}, number = {4}, pages = {1414--1428}, year = {2023}, url = {https://doi.org/10.1007/s40815-022-01440-7}, doi = {10.1007/S40815-022-01440-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/LiaoCGWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/WangCWLD23, author = {Zeyuan Wang and Qiang Cai and Gui{-}Wu Wei and Ningna Liao and Dexue Deng}, title = {Green Supplier Selection Using {QUALIFLEX} Method Based on Cumulative Prospective Theory Under Probabilistic Hesitant Fuzzy Circumstance}, journal = {Int. J. Fuzzy Syst.}, volume = {25}, number = {8}, pages = {3293--3304}, year = {2023}, url = {https://doi.org/10.1007/s40815-023-01621-y}, doi = {10.1007/S40815-023-01621-Y}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/WangCWLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangWLSHWW23, author = {Min Zhang and Jie{-}Sheng Wang and Yu Liu and Hao{-}Ming Song and Jia{-}Ning Hou and Yu{-}Cai Wang and Min Wang}, title = {Multi-objective optimization algorithm based on clustering guided binary equilibrium optimizer and {NSGA-III} to solve high-dimensional feature selection problem}, journal = {Inf. Sci.}, volume = {648}, pages = {119638}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.119638}, doi = {10.1016/J.INS.2023.119638}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhangWLSHWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamc/SongL23, author = {Caihong Song and Ning Li}, title = {Dynamic analysis and bifurcation control of a fractional-order cassava mosaic disease model}, journal = {J. Appl. Math. Comput.}, volume = {69}, number = {2}, pages = {1705--1730}, year = {2023}, url = {https://doi.org/10.1007/s12190-022-01809-9}, doi = {10.1007/S12190-022-01809-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamc/SongL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/GuoSWZHSW23, author = {Fu{-}Jun Guo and Wei{-}Zhong Sun and Jie{-}Sheng Wang and Min Zhang and Jia{-}Ning Hou and Hao{-}Ming Song and Yu{-}Cai Wang}, title = {Feature Selection and Support Vector Machine Classification method for Banknote Dirtiness Recognition Based on Marine Predator Algorithm with Mathematical Functions}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {3}, pages = {4315--4336}, year = {2023}, url = {https://doi.org/10.3233/JIFS-230459}, doi = {10.3233/JIFS-230459}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/GuoSWZHSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/HouZWWS23, author = {Jia{-}Ning Hou and Min Zhang and Jie{-}Sheng Wang and Yu{-}Cai Wang and Hao{-}Ming Song}, title = {A ReliefF guided binary equalization optimizer based on Z-type and reverse Z-type transfer functions for feature selection}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {3}, pages = {4689--4714}, year = {2023}, url = {https://doi.org/10.3233/JIFS-230081}, doi = {10.3233/JIFS-230081}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/HouZWWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WangCW0L23, author = {Jing Wang and Qiang Cai and Hongjun Wang and Guiwu Wei and Ningna Liao}, title = {An integrated decision-making methodology for green supplier selection based on the improved {IVIF-CPT-MABAC} method}, journal = {J. Intell. Fuzzy Syst.}, volume = {44}, number = {5}, pages = {8535--8560}, year = {2023}, url = {https://doi.org/10.3233/JIFS-224206}, doi = {10.3233/JIFS-224206}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/WangCW0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/NingSCX23, author = {Fangwei Ning and Yan Shi and Maolin Cai and Weiqing Xu}, title = {Part machining feature recognition based on a deep learning method}, journal = {J. Intell. Manuf.}, volume = {34}, number = {2}, pages = {809--821}, year = {2023}, url = {https://doi.org/10.1007/s10845-021-01827-7}, doi = {10.1007/S10845-021-01827-7}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jim/NingSCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/FengLZWAC23, author = {Weiyang Feng and Siyu Lin and Ning Zhang and Gongpu Wang and Bo Ai and Lin Cai}, title = {Joint {C-V2X} Based Offloading and Resource Allocation in Multi-Tier Vehicular Edge Computing System}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {2}, pages = {432--445}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2022.3227081}, doi = {10.1109/JSAC.2022.3227081}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/FengLZWAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/CheYGD0023, author = {Aolin Che and Jinghua Yang and Cai Guo and Hong{-}Ning Dai and Haoran Xie and Ping Li}, title = {{AEGAN:} Generating imperceptible face synthesis via autoencoder-based generative adversarial network}, journal = {Comput. Animat. Virtual Worlds}, volume = {34}, number = {3-4}, year = {2023}, url = {https://doi.org/10.1002/cav.2160}, doi = {10.1002/CAV.2160}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvca/CheYGD0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/GuoWDL23, author = {Cai Guo and Qian Wang and Hong{-}Ning Dai and Ping Li}, title = {Multi-stage feature-fusion dense network for motion deblurring}, journal = {J. Vis. Commun. Image Represent.}, volume = {90}, pages = {103717}, year = {2023}, url = {https://doi.org/10.1016/j.jvcir.2022.103717}, doi = {10.1016/J.JVCIR.2022.103717}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/GuoWDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/XuYTCHHSB23, author = {Yinan Xu and Zihao Yu and Dan Tang and Ye Cai and Dandan Huan and Wei He and Ninghui Sun and Yungang Bao}, title = {Toward Developing High-Performance {RISC-V} Processors Using Agile Methodology}, journal = {{IEEE} Micro}, volume = {43}, number = {4}, pages = {98--106}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3273562}, doi = {10.1109/MM.2023.3273562}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/XuYTCHHSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhaoYXLLWZBLLFNZW23, author = {Hongying Zhao and Xiangzhe Yin and Haotian Xu and Kailai Liu and Wangyang Liu and Lixia Wang and Caiyu Zhang and Lin Bo and Xicheng Lan and Shihua Lin and Ke Feng and Shangwei Ning and Yunpeng Zhang and Li Wang}, title = {LncTarD 2.0: an updated comprehensive database for experimentally-supported functional lncRNA-target regulations in human diseases}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {199--207}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac984}, doi = {10.1093/NAR/GKAC984}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ZhaoYXLLWZBLLFNZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ZhangXCZWW23, author = {Qiao Zhang and Tao Xiang and Yifei Cai and Zhichao Zhao and Ning Wang and Hongyi Wu}, title = {Privacy-Preserving Machine Learning as a Service: Challenges and Opportunities}, journal = {{IEEE} Netw.}, volume = {37}, number = {6}, pages = {214--223}, year = {2023}, url = {https://doi.org/10.1109/MNET.127.2200342}, doi = {10.1109/MNET.127.2200342}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ZhangXCZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ZhangJHZXCW23, author = {Ning Zhang and Hongyang Jia and Qingchun Hou and Ziyang Zhang and Tian Xia and Xiao Cai and Jiaxin Wang}, title = {Data-Driven Security and Stability Rule in High Renewable Penetrated Power System Operation}, journal = {Proc. {IEEE}}, volume = {111}, number = {7}, pages = {788--805}, year = {2023}, url = {https://doi.org/10.1109/JPROC.2022.3192719}, doi = {10.1109/JPROC.2022.3192719}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ZhangJHZXCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CaiLCDYL23, author = {Wuxu Cai and Yanxiong Liu and Yilan Chen and Zhipeng Dong and Hanxiao Yuan and Ningning Li}, title = {A Seabed Terrain Feature Extraction Transformer for the Super-Resolution of the Digital Bathymetric Model}, journal = {Remote. Sens.}, volume = {15}, number = {20}, pages = {4906}, year = {2023}, url = {https://doi.org/10.3390/rs15204906}, doi = {10.3390/RS15204906}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/CaiLCDYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YueLWWCDNLZWX23, author = {Xinan Yue and Fanyu Liu and Junyi Wang and Yonghui Wang and Yihui Cai and Feng Ding and Baiqi Ning and Mingyuan Li and Ning Zhang and Zhongqiu Wang and Su Xu}, title = {On the Ion Line Calibration by Plasma Line in {ISR} Measurements}, journal = {Remote. Sens.}, volume = {15}, number = {6}, pages = {1553}, year = {2023}, url = {https://doi.org/10.3390/rs15061553}, doi = {10.3390/RS15061553}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YueLWWCDNLZWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/YangWCL23, author = {Ningning Yang and Zhijian Wang and Wenan Cai and Yanfeng Li}, title = {Data Regeneration Based on Multiple Degradation Processes for Remaining Useful Life Estimation}, journal = {Reliab. Eng. Syst. Saf.}, volume = {229}, pages = {108867}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2022.108867}, doi = {10.1016/J.RESS.2022.108867}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/YangWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/LuCLCGW23, author = {Ning Lu and Yinghao Cai and Tao Lu and Xiaoge Cao and Weiyan Guo and Shuo Wang}, title = {Picking out the Impurities: Attention-based Push-Grasping in Dense Clutter}, journal = {Robotica}, volume = {41}, number = {2}, pages = {470--485}, year = {2023}, url = {https://doi.org/10.1017/S0263574722000297}, doi = {10.1017/S0263574722000297}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/robotica/LuCLCGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LeiCLWHWW23, author = {Fan Lei and Qiang Cai and Ningna Liao and Guiwu Wei and Yan He and Jiang Wu and Cun Wei}, title = {{TODIM-VIKOR} method based on hybrid weighted distance under probabilistic uncertain linguistic information and its application in medical logistics center site selection}, journal = {Soft Comput.}, volume = {27}, number = {13}, pages = {8541--8559}, year = {2023}, url = {https://doi.org/10.1007/s00500-023-08132-w}, doi = {10.1007/S00500-023-08132-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LeiCLWHWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/SongXWWLZH23, author = {Hao{-}Ming Song and Cheng Xing and Jie{-}Sheng Wang and Yu{-}Cai Wang and Yu Liu and Jun{-}Hua Zhu and Jia{-}Ning Hou}, title = {Improved pelican optimization algorithm with chaotic interference factor and elementary mathematical function}, journal = {Soft Comput.}, volume = {27}, number = {15}, pages = {10607--10646}, year = {2023}, url = {https://doi.org/10.1007/s00500-023-08205-w}, doi = {10.1007/S00500-023-08205-W}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/SongXWWLZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/HongYL00H23, author = {Rui Hong and Feng Yao and Tianjun Liao and Lining Xing and Zhaoquan Cai and Feng Hou}, title = {Growing neural gas assisted evolutionary many-objective optimization for handling irregular Pareto fronts}, journal = {Swarm Evol. Comput.}, volume = {78}, pages = {101273}, year = {2023}, url = {https://doi.org/10.1016/j.swevo.2023.101273}, doi = {10.1016/J.SWEVO.2023.101273}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/HongYL00H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/NingXNZ0CLJ23, author = {Xin Ning and Shaohui Xu and Fangzhe Nan and Qingliang Zeng and Chen Wang and Weiwei Cai and Weijun Li and Yizhang Jiang}, title = {Face Editing Based on Facial Recognition Features}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {15}, number = {2}, pages = {774--783}, year = {2023}, url = {https://doi.org/10.1109/TCDS.2022.3182650}, doi = {10.1109/TCDS.2022.3182650}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/NingXNZ0CLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/JinCLYWZHY23, author = {Xing Jin and Weichong Chen and Ximing Li and Ningyuan Yin and Caihua Wan and Mingkun Zhao and Xiufeng Han and Zhiyi Yu}, title = {High-Reliability, Reconfigurable, and Fully Non-volatile Full-Adder Based on {SOT-MTJ} for Image Processing Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {2}, pages = {781--785}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3213747}, doi = {10.1109/TCSII.2022.3213747}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/JinCLYWZHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/CaiGJGNQN23, author = {Weiwei Cai and Ming Gao and Yizhang Jiang and Xiaoqing Gu and Xin Ning and Pengjiang Qian and Tongguang Ni}, title = {Hierarchical Domain Adaptation Projective Dictionary Pair Learning Model for {EEG} Classification in IoMT Systems}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {4}, pages = {1559--1567}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2022.3176656}, doi = {10.1109/TCSS.2022.3176656}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/CaiGJGNQN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/CaiGDNBQ23, author = {Weiwei Cai and Ming Gao and Yao Ding and Xin Ning and Xiao Bai and Pengjiang Qian}, title = {Stereo Attention Cross-Decoupling Fusion-Guided Federated Neural Learning for Hyperspectral Image Classification}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3320044}, doi = {10.1109/TGRS.2023.3320044}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/CaiGDNBQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/CaiNZBJLQ23, author = {Weiwei Cai and Xin Ning and Guoxiong Zhou and Xiao Bai and Yizhang Jiang and Wei Li and Pengjiang Qian}, title = {A Novel Hyperspectral Image Classification Model Using Bole Convolution With Three-Direction Attention Mechanism: Small Sample and Unbalanced Learning}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--17}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2022.3201056}, doi = {10.1109/TGRS.2022.3201056}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/CaiNZBJLQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/CaiQDBNHB23, author = {Weiwei Cai and Pengjiang Qian and Yao Ding and Meiqiao Bi and Xin Ning and Danfeng Hong and Xiao Bai}, title = {Graph-Structured Convolution-Guided Continuous Context Threshold-Aware Networks for Hyperspectral Image Classification}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--18}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3323530}, doi = {10.1109/TGRS.2023.3323530}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/CaiQDBNHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/NingCZLW23, author = {Zhou Ning and Nian Cai and Jiabin Zhao and Wenjian Li and Han Wang}, title = {Error Compensation for Optical Encoder Based on Variational Mode Decomposition With a Coarse-to-Fine Selection Scheme}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3235460}, doi = {10.1109/TIM.2023.3235460}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/NingCZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/FengWPYLSFCN23, author = {Xue Feng and Daoyuan Wang and Qing Pan and Molei Yan and Xiaoqing Liu and Yanfei Shen and Luping Fang and Guolong Cai and Gangmin Ning}, title = {Reinforcement Learning Model for Managing Noninvasive Ventilation Switching Policy}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {8}, pages = {4120--4130}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3274568}, doi = {10.1109/JBHI.2023.3274568}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/FengWPYLSFCN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WangGDX23, author = {Qian Wang and Cai Guo and Hong{-}Ning Dai and Min Xia}, title = {Variant-Depth Neural Networks for Deblurring Traffic Images in Intelligent Transportation Systems}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {6}, pages = {5792--5802}, year = {2023}, url = {https://doi.org/10.1109/TITS.2023.3255839}, doi = {10.1109/TITS.2023.3255839}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/WangGDX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/CuiQCLYCZ23, author = {Ningning Cui and Kang Qian and Taotao Cai and Jianxin Li and Xiaochun Yang and Jie Cui and Hong Zhong}, title = {Towards Multi-User, Secure, and Verifiable {\textdollar}k{\textdollar}NN Query in Cloud Database}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {9}, pages = {9333--9349}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2023.3237879}, doi = {10.1109/TKDE.2023.3237879}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/CuiQCLYCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/CaiG23, author = {Ninghe M. Cai and Netta Gurari}, title = {Perception of Torque is Impacted by a Subset of Features Related to the Motor Command}, journal = {{IEEE} Trans. Haptics}, volume = {16}, number = {2}, pages = {194--203}, year = {2023}, url = {https://doi.org/10.1109/TOH.2023.3249473}, doi = {10.1109/TOH.2023.3249473}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toh/CaiG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/CaiMGDG23, author = {Ninghe M. Cai and Eileen G. Medina and Stefani Gonzalez and Alan Duong and Netta Gurari}, title = {Impact of Arm Dominance and Location on Detecting Electrotactile Stimuli During Voluntary Motor Activation in Older Adults}, journal = {{IEEE} Trans. Haptics}, volume = {16}, number = {4}, pages = {484--490}, year = {2023}, url = {https://doi.org/10.1109/TOH.2023.3268203}, doi = {10.1109/TOH.2023.3268203}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toh/CaiMGDG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/CaiLCHDZZ23, author = {Zhongteng Cai and Junyuan Liang and Wuhui Chen and Zicong Hong and Hong{-}Ning Dai and Jianting Zhang and Zibin Zheng}, title = {Benzene: Scaling Blockchain With Cooperation-Based Sharding}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {34}, number = {2}, pages = {639--654}, year = {2023}, url = {https://doi.org/10.1109/TPDS.2022.3227198}, doi = {10.1109/TPDS.2022.3227198}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/CaiLCHDZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0003NY023, author = {Yi Cai and Xuefei Ning and Huazhong Yang and Yu Wang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Ensemble-in-One: Ensemble Learning within Random Gated Networks for Enhanced Adversarial Robustness}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {14738--14747}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i12.26722}, doi = {10.1609/AAAI.V37I12.26722}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0003NY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ShiNGZL0DY023, author = {Xiangsheng Shi and Xuefei Ning and Lidong Guo and Tianchen Zhao and Enshu Liu and Yi Cai and Yuhan Dong and Huazhong Yang and Yu Wang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Memory-Oriented Structural Pruning for Efficient Image Restoration}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {2245--2253}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i2.25319}, doi = {10.1609/AAAI.V37I2.25319}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ShiNGZL0DY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/QinCJYLZLHDWXQL23, author = {Yujia Qin and Zihan Cai and Dian Jin and Lan Yan and Shihao Liang and Kunlun Zhu and Yankai Lin and Xu Han and Ning Ding and Huadong Wang and Ruobing Xie and Fanchao Qi and Zhiyuan Liu and Maosong Sun and Jie Zhou}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {WebCPM: Interactive Web Search for Chinese Long-form Question Answering}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {8968--8988}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.499}, doi = {10.18653/V1/2023.ACL-LONG.499}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/QinCJYLZLHDWXQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/CaiN23, author = {Zehua Cai and Donghong Ning}, title = {Vibration control of semi-active suspension with cam mechanism-based nonlinear stiffness structure}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {511--516}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196118}, doi = {10.1109/AIM46323.2023.10196118}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/CaiN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/CaiXDYSZZOZD23, author = {Zhuotong Cai and Jingmin Xin and Siyuan Dong and Chenyu You and Peiwen Shi and Tianyi Zeng and Jiazhen Zhang and John A. Onofrey and Nanning Zheng and James S. Duncan}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Unsupervised Domain Adaptation by Cross-Prototype Contrastive Learning for Medical Image Segmentation}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {819--824}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10386055}, doi = {10.1109/BIBM58861.2023.10386055}, timestamp = {Thu, 14 Mar 2024 16:59:09 +0100}, biburl = {https://dblp.org/rec/conf/bibm/CaiXDYSZZOZD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiaoLZHQDRWDLWLLLLC23, author = {Wenxiong Liao and Zhengliang Liu and Yiyang Zhang and Xiaoke Huang and Fei Qi and Siqi Ding and Hui Ren and Zihao Wu and Haixing Dai and Sheng Li and Lingfei Wu and Ninghao Liu and Quanzheng Li and Tianming Liu and Xiang Li and Hongmin Cai}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Coarse-to-fine Knowledge Graph Domain Adaptation based on Distantly-supervised Iterative Training}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {1294--1299}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385649}, doi = {10.1109/BIBM58861.2023.10385649}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiaoLZHQDRWDLWLLLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/NingWTZ23, author = {Yang Ning and Dawei Wang and Tianming Tan and Caiming Zhang}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {{DCA:} Densely Cross-scale Attention Network for Anatomically-plausible Medical Image Segmentation}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {2153--2158}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385896}, doi = {10.1109/BIBM58861.2023.10385896}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/NingWTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caibda/KangZFN023, author = {Jiabao Kang and Shubo Zhang and Ping Feng and Jiahong Ning and Tingting Yang}, editor = {Gheorghe Grigoras and Pascal Lorenz}, title = {A Novel Algorithm for Wireless Marine Internet of Things}, booktitle = {Advances in Artificial Intelligence, Big Data and Algorithms - Proceedings of the 3rd International Conference on Artificial Intelligence, Big Data and Algorithms {(CAIBDA} 2023) - 16-18 June 2023, Zhengzhou, China}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {373}, pages = {500--509}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230847}, doi = {10.3233/FAIA230847}, timestamp = {Wed, 06 Dec 2023 14:36:43 +0100}, biburl = {https://dblp.org/rec/conf/caibda/KangZFN023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caibda/WangYLP23, author = {Yunfan Wang and Wenhao Ye and Ning Li and Liurong Pan}, editor = {Gheorghe Grigoras and Pascal Lorenz}, title = {Automated Security Policy Feasibility Assessment}, booktitle = {Advances in Artificial Intelligence, Big Data and Algorithms - Proceedings of the 3rd International Conference on Artificial Intelligence, Big Data and Algorithms {(CAIBDA} 2023) - 16-18 June 2023, Zhengzhou, China}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {373}, pages = {87--95}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230796}, doi = {10.3233/FAIA230796}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/caibda/WangYLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/NingYWH23, author = {Shuaiyao Ning and Caixia Yuan and Xiaojie Wang and Ling Huang}, title = {Importance Guided Query Focused Long-Input Summarization}, booktitle = {9th {IEEE} International Conference on Cloud Computing and Intelligent Systems, {CCIS} 2023, Dali, China, August 12-13, 2023}, pages = {295--299}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCIS59572.2023.10262911}, doi = {10.1109/CCIS59572.2023.10262911}, timestamp = {Mon, 16 Oct 2023 18:35:31 +0200}, biburl = {https://dblp.org/rec/conf/ccis/NingYWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csae/DingLGWF23, author = {Kai Ding and Jiamei Liu and Caiyuan Gu and Ning Wang and Xiaoyan Fan}, editor = {Ali Emrouznejad and Yong Yue and Hongbo Jiang}, title = {Research on Construction of Chinese Technology Literature Question Answering System Based on Knowledge Graph}, booktitle = {Proceedings of the 7th International Conference on Computer Science and Application Engineering, {CSAE} 2023, Virtual Event, China, October 17-19, 2023}, pages = {34:1--34:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3627915.3628091}, doi = {10.1145/3627915.3628091}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csae/DingLGWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/LiCX023, author = {Caiyan Li and Lizhen Cui and Yonghui Xu and Ning Liu}, editor = {Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Adriana S. Vivacqua and Daniel Schneider and Cheng Xie and Jinghui Zhang and Haibin Zhu and Kunkun Peng and Cl{\'{a}}udia Lage Rebello da Motta}, title = {Logic Event Graph Enhanced Narrative Generation}, booktitle = {26th International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2023, Rio de Janeiro, Brazil, May 24-26, 2023}, pages = {181--186}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CSCWD57460.2023.10152623}, doi = {10.1109/CSCWD57460.2023.10152623}, timestamp = {Tue, 06 Feb 2024 14:19:46 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/LiCX023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbs/LiangLCBL23, author = {Jisheng Liang and Zhe Liu and Wenxiang Cai and Yihang Bao and Guan Ning Lin}, title = {Transformers-RNP: Predicting the mutation effect on the stability of Protein-RNA complex with deep learning-based model}, booktitle = {Proceedings of the 12th International Conference on Bioinformatics and Biomedical Science, {ICBBS} 2023, Singapore, October 20-22, 2023}, pages = {1--6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3647817.3647818}, doi = {10.1145/3647817.3647818}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbs/LiangLCBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccmb/CaiZZ23, author = {Yingpeng Cai and Ningqian Zhang and Shimu Zhang}, title = {{GRU} and {LSTM} Based Adaptive Prediction Model of Crude Oil Prices: Post-Covid-19 and Russian Ukraine War}, booktitle = {Proceedings of the 6th International Conference on Computers in Management and Business, {ICCMB} 2023, Macau, China, January 13-15, 2023}, pages = {9--15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584816.3584818}, doi = {10.1145/3584816.3584818}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccmb/CaiZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/QinYXZCE0XX23, author = {Can Qin and Ning Yu and Chen Xing and Shu Zhang and Zeyuan Chen and Stefano Ermon and Yun Fu and Caiming Xiong and Ran Xu}, title = {GlueGen: Plug and Play Multi-modal Encoders for X-to-image Generation}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {23028--23039}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.02110}, doi = {10.1109/ICCV51070.2023.02110}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/QinYXZCE0XX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/Cai0NZ23, author = {Xunxin Cai and Meng Xiao and Zhiyuan Ning and Yuanchun Zhou}, editor = {Guihai Chen and Latifur Khan and Xiaofeng Gao and Meikang Qiu and Witold Pedrycz and Xindong Wu}, title = {Resolving the Imbalance Issue in Hierarchical Disciplinary Topic Inference via LLM-based Data Augmentation}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2023, Shanghai, China, December 1-4, 2023}, pages = {956--961}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDM58522.2023.00107}, doi = {10.1109/ICDM58522.2023.00107}, timestamp = {Tue, 13 Feb 2024 11:46:18 +0100}, biburl = {https://dblp.org/rec/conf/icdm/Cai0NZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/Cai0NZ23a, author = {Xunxin Cai and Meng Xiao and Zhiyuan Ning and Yuanchun Zhou}, editor = {Jihe Wang and Yi He and Thang N. Dinh and Christan Grant and Meikang Qiu and Witold Pedrycz}, title = {Resolving the Imbalance Issue in Hierarchical Disciplinary Topic Inference via LLM-based Data Augmentation}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2023 - Workshops, Shanghai, China, December 4, 2023}, pages = {1424--1429}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDMW60847.2023.00181}, doi = {10.1109/ICDMW60847.2023.00181}, timestamp = {Tue, 13 Feb 2024 13:22:54 +0100}, biburl = {https://dblp.org/rec/conf/icdm/Cai0NZ23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/RaoCZLCC23, author = {Yongsheng Rao and Ningxin Chen and Qixing Zhou and Siran Lei and Ruiqi Cai and Ruxian Chen}, title = {Design and Practice of Innovation Education Based on {DM-DCCSE} Model}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {279--286}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625722}, doi = {10.1145/3625704.3625722}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/RaoCZLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HeSCMC23, author = {Jinhong He and Zheyu Sun and Ningbo Cao and Delie Ming and Chao Cai}, title = {Target Attribute Perception Based {UAV} Real-Time Task Planning in Dynamic Environments}, booktitle = {{IROS}}, pages = {888--895}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341486}, doi = {10.1109/IROS55552.2023.10341486}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/HeSCMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaims/LiuLCLQHLC023, author = {Yang Liu and Siyu Li and Ailong Cai and Yongli Li and Xin Qi and Jinjin Hai and Ningning Liang and Jian Chen and Bin Yan}, title = {Vertebral Region Segmentation for {CT} Images via Semi-supervised Learning}, booktitle = {Proceedings of the 2023 4th International Symposium on Artificial Intelligence for Medicine Science, {ISAIMS} 2023, Chengdu, China, October 20-22, 2023}, pages = {130--135}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3644116.3644141}, doi = {10.1145/3644116.3644141}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isaims/LiuLCLQHLC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/XueKCZCONR23, author = {Tengfei Xue and Hazhar Sufi Karimi and William Consagra and Fan Zhang and Weidong Cai and Lauren J. O'Donnell and Lipeng Ning and Yogesh Rathi}, title = {A Deep Learning Framework for Estimating Multi-Fiber {PICASO} Model Parameters of Tissue Microstructure Using Diffusion {MRI}}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230707}, doi = {10.1109/ISBI53787.2023.10230707}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/XueKCZCONR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/NingCXH23, author = {Ting Ning and Guofa Cai and Kengyuan Xie and Jiguang He}, title = {An Adaptive Scheme for Energy Buffer-Aided Wireless-Powered Cooperative {NOMA} System}, booktitle = {2023 {IEEE} International Conferences on Internet of Things (iThings) and {IEEE} Green Computing {\&} Communications (GreenCom) and {IEEE} Cyber, Physical {\&} Social Computing (CPSCom) and {IEEE} Smart Data (SmartData) and {IEEE} Congress on Cybermatics (Cybermatics), Danzhou, China, December 17-21, 2023}, pages = {424--430}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData-Cybermatics60724.2023.00088}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA-CYBERMATICS60724.2023.00088}, timestamp = {Fri, 10 May 2024 11:45:44 +0200}, biburl = {https://dblp.org/rec/conf/ithings/NingCXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/SaitoXSLCA23, author = {Yoshiyuki Saito and Ningyi Xie and Jungpil Shin and Xinwei Lee and Dongsheng Cai and Nobuyoshi Asai}, title = {Iterative Refinement Quantum Amplitude Estimation}, booktitle = {16th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023}, pages = {202--209}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MCSoC60832.2023.00038}, doi = {10.1109/MCSOC60832.2023.00038}, timestamp = {Fri, 09 Feb 2024 20:38:48 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/SaitoXSLCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/CaiZLZCTYXZLDO23, author = {Zhuotong Cai and Tianyi Zeng and El{\'{e}}onore V. Lieffrig and Jiazhen Zhang and Fuyao Chen and Takuya Toyonaga and Chenyu You and Jingmin Xin and Nanning Zheng and Yihuan Lu and James S. Duncan and John A. Onofrey}, editor = {Ahmed Abdulkadir and Deepti R. Bathula and Nicha C. Dvornek and Sindhuja Tirumalai Govindarajan and Mohamad Habes and Vinod Kumar and Esten H. Leonardsen and Thomas Wolfers and Yiming Xiao}, title = {Cross-Attention for Improved Motion Correction in Brain {PET}}, booktitle = {Machine Learning in Clinical Neuroimaging - 6th International Workshop, {MLCN} 2023, Held in Conjunction with {MICCAI} 2023, Vancouver, BC, Canada, October 8, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14312}, pages = {34--45}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44858-4\_4}, doi = {10.1007/978-3-031-44858-4\_4}, timestamp = {Thu, 12 Oct 2023 07:58:20 +0200}, biburl = {https://dblp.org/rec/conf/miccai/CaiZLZCTYXZLDO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ShiWYPNLH23, author = {Runzhou Shi and Fuyan Wang and Chengjin Yu and Cailing Pu and Gaoning Ning and Huafeng Liu and Hongjie Hu}, editor = {Olivier Colliot and Ivana Isgum}, title = {A relative motion model for myocardial infarction detection}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653342}, doi = {10.1117/12.2653342}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ShiWYPNLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/QinZYFYZWNXSE0X23, author = {Can Qin and Shu Zhang and Ning Yu and Yihao Feng and Xinyi Yang and Yingbo Zhou and Huan Wang and Juan Carlos Niebles and Caiming Xiong and Silvio Savarese and Stefano Ermon and Yun Fu and Ran Xu}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {UniControl: {A} Unified Diffusion Model for Controllable Visual Generation In the Wild}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/862f45ccecb2275851bc8acebb8b4d65-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/QinZYFYZWNXSE0X23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/LeiCQZHLH23, author = {Ningjie Lei and Jinxiang Cai and Yixin Qian and Zhilong Zheng and Chao Han and Zhiyue Liu and Qingbao Huang}, editor = {Fei Liu and Nan Duan and Qingting Xu and Yu Hong}, title = {A Two-Stage Chinese Medical Video Retrieval Framework with {LLM}}, booktitle = {Natural Language Processing and Chinese Computing - 12th National {CCF} Conference, {NLPCC} 2023, Foshan, China, October 12-15, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14304}, pages = {211--220}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-44699-3\_19}, doi = {10.1007/978-3-031-44699-3\_19}, timestamp = {Wed, 11 Oct 2023 18:49:12 +0200}, biburl = {https://dblp.org/rec/conf/nlpcc/LeiCQZHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SnigirevRLRWMCS23, author = {Viacheslav Snigirev and Annina Riedhauser and Grigory Lihachev and Johann Riemensberger and Rui Ning Wang and Charles M{\"{o}}hl and Mikhail Churaev and Anat Siddharth and Guanhao Huang and Youri Popoff and Ute Drechsler and Daniele Caimi and Simon H{\"{o}}nl and Junqiu Liu and Paul Seidler and Tobias J. Kippenberg}, title = {Lithium-niobate-based narrow-linewidth frequency agile integrated lasers with petahertz frequency tuning rate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116321}, doi = {10.23919/OFC49934.2023.10116321}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/SnigirevRLRWMCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SunCLCL0S23, author = {Lin Sun and Bin Chen and Gordon Ning Liu and Yi Cai and Zhaohui Li and Chao Lu and Gangxiang Shen}, title = {On the Impact of Spatial Mode Dispersion for Strongly-Coupled Multicore Fiber Submarine Transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116916}, doi = {10.23919/OFC49934.2023.10116916}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SunCLCL0S23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SunL0Z23, author = {Caiming Sun and Binghui Li and Ning Ding and Aidong Zhang}, title = {High-resolution Radiation Characterization for an Uniformly Emitted SiNx Nanophotonic Phased Array}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117211}, doi = {10.23919/OFC49934.2023.10117211}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SunL0Z23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/YangWZCWPL23, author = {Haotian Yang and Fengxia Wu and Ningling Zhang and Cai Chen and Airong Wei and Fulai Peng and Ziyue Li}, title = {A Transfer Learning Method for Motor Imagery {EEG} Signals Classification Based on {CCSP} and Riemannian Tangent Space Mapping}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2023, Datong, China, July 17-20, 2023}, pages = {707--712}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RCAR58764.2023.10249485}, doi = {10.1109/RCAR58764.2023.10249485}, timestamp = {Sun, 01 Oct 2023 10:10:56 +0200}, biburl = {https://dblp.org/rec/conf/rcar/YangWZCWPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LiuCZX23, author = {Zhongyuan Liu and Kechao Cai and Jinbei Zhang and Ning Xin}, title = {An Online Caching Scheme for 360-Degree Videos at the Edge}, booktitle = {98th {IEEE} Vehicular Technology Conference, {VTC} Fall 2023, Hong Kong, SAR, China, October 10-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Fall60731.2023.10333413}, doi = {10.1109/VTC2023-FALL60731.2023.10333413}, timestamp = {Wed, 10 Jan 2024 16:12:42 +0100}, biburl = {https://dblp.org/rec/conf/vtc/LiuCZX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02650, author = {Manli Shu and Le Xue and Ning Yu and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Juan Carlos Niebles and Caiming Xiong and Ran Xu}, title = {Model-Agnostic Hierarchical Attention for 3D Object Detection}, journal = {CoRR}, volume = {abs/2301.02650}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02650}, doi = {10.48550/ARXIV.2301.02650}, eprinttype = {arXiv}, eprint = {2301.02650}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-13007, author = {Haixing Dai and Zhengliang Liu and Wenxiong Liao and Xiaoke Huang and Zihao Wu and Lin Zhao and Wei Liu and Ninghao Liu and Sheng Li and Dajiang Zhu and Hongmin Cai and Quanzheng Li and Dinggang Shen and Tianming Liu and Xiang Li}, title = {ChatAug: Leveraging ChatGPT for Text Data Augmentation}, journal = {CoRR}, volume = {abs/2302.13007}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.13007}, doi = {10.48550/ARXIV.2302.13007}, eprinttype = {arXiv}, eprint = {2302.13007}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-13007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09618, author = {Shu Zhang and Xinyi Yang and Yihao Feng and Can Qin and Chia{-}Chih Chen and Ning Yu and Zeyuan Chen and Huan Wang and Silvio Savarese and Stefano Ermon and Caiming Xiong and Ran Xu}, title = {{HIVE:} Harnessing Human Feedback for Instructional Visual Editing}, journal = {CoRR}, volume = {abs/2303.09618}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09618}, doi = {10.48550/ARXIV.2303.09618}, eprinttype = {arXiv}, eprint = {2303.09618}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-10056, author = {Can Qin and Ning Yu and Chen Xing and Shu Zhang and Zeyuan Chen and Stefano Ermon and Yun Fu and Caiming Xiong and Ran Xu}, title = {GlueGen: Plug and Play Multi-modal Encoders for X-to-image Generation}, journal = {CoRR}, volume = {abs/2303.10056}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.10056}, doi = {10.48550/ARXIV.2303.10056}, eprinttype = {arXiv}, eprint = {2303.10056}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-10056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-05084, author = {Xin Chen and Yuwen Qin and Weidong Zhao and Qiming Yang and Ningbo Cai and Kai Wu}, title = {A Self-attention Knowledge Domain Adaptation Network for Commercial Lithium-ion Batteries State-of-health Estimation under Shallow Cycles}, journal = {CoRR}, volume = {abs/2304.05084}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.05084}, doi = {10.48550/ARXIV.2304.05084}, eprinttype = {arXiv}, eprint = {2304.05084}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-05084.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06849, author = {Yujia Qin and Zihan Cai and Dian Jin and Lan Yan and Shihao Liang and Kunlun Zhu and Yankai Lin and Xu Han and Ning Ding and Huadong Wang and Ruobing Xie and Fanchao Qi and Zhiyuan Liu and Maosong Sun and Jie Zhou}, title = {WebCPM: Interactive Web Search for Chinese Long-form Question Answering}, journal = {CoRR}, volume = {abs/2305.06849}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06849}, doi = {10.48550/ARXIV.2305.06849}, eprinttype = {arXiv}, eprint = {2305.06849}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-08275, author = {Le Xue and Ning Yu and Shu Zhang and Junnan Li and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Jiajun Wu and Caiming Xiong and Ran Xu and Juan Carlos Niebles and Silvio Savarese}, title = {{ULIP-2:} Towards Scalable Multimodal Pre-training for 3D Understanding}, journal = {CoRR}, volume = {abs/2305.08275}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.08275}, doi = {10.48550/ARXIV.2305.08275}, eprinttype = {arXiv}, eprint = {2305.08275}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-08275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11147, author = {Can Qin and Shu Zhang and Ning Yu and Yihao Feng and Xinyi Yang and Yingbo Zhou and Huan Wang and Juan Carlos Niebles and Caiming Xiong and Silvio Savarese and Stefano Ermon and Yun Fu and Ran Xu}, title = {UniControl: {A} Unified Diffusion Model for Controllable Visual Generation In the Wild}, journal = {CoRR}, volume = {abs/2305.11147}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11147}, doi = {10.48550/ARXIV.2305.11147}, eprinttype = {arXiv}, eprint = {2305.11147}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-08785, author = {Ningyi Xie and Xinwei Lee and Dongsheng Cai and Yoshiyuki Saito and Nobuyoshi Asai and Hoong Chuin Lau}, title = {A Feasibility-Preserved Quantum Approximate Solver for the Capacitated Vehicle Routing Problem}, journal = {CoRR}, volume = {abs/2308.08785}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.08785}, doi = {10.48550/ARXIV.2308.08785}, eprinttype = {arXiv}, eprint = {2308.08785}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-08785.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10529, author = {Tianyu Yu and Chengyue Jiang and Chao Lou and Shen Huang and Xiaobin Wang and Wei Liu and Jiong Cai and Yangning Li and Yinghui Li and Kewei Tu and Hai{-}Tao Zheng and Ningyu Zhang and Pengjun Xie and Fei Huang and Yong Jiang}, title = {SeqGPT: An Out-of-the-box Large Language Model for Open Domain Sequence Understanding}, journal = {CoRR}, volume = {abs/2308.10529}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10529}, doi = {10.48550/ARXIV.2308.10529}, eprinttype = {arXiv}, eprint = {2308.10529}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10529.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01029, author = {Haiyan Zhao and Hanjie Chen and Fan Yang and Ninghao Liu and Huiqi Deng and Hengyi Cai and Shuaiqiang Wang and Dawei Yin and Mengnan Du}, title = {Explainability for Large Language Models: {A} Survey}, journal = {CoRR}, volume = {abs/2309.01029}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01029}, doi = {10.48550/ARXIV.2309.01029}, eprinttype = {arXiv}, eprint = {2309.01029}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01029.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05242, author = {Tianyang Zhong and Wei Zhao and Yutong Zhang and Yi Pan and Peixin Dong and Zuowei Jiang and Xiaoyan Kui and Youlan Shang and Li Yang and Yaonai Wei and Longtao Yang and Hao Chen and Huan Zhao and Yuxiao Liu and Ning Zhu and Yiwei Li and Yisong Wang and Jiaqi Yao and Jiaqi Wang and Ying Zeng and Lei He and Chao Zheng and Zhixue Zhang and Ming Li and Zhengliang Liu and Haixing Dai and Zihao Wu and Lu Zhang and Shu Zhang and Xiaoyan Cai and Xintao Hu and Shijie Zhao and Xi Jiang and Xin Zhang and Xiang Li and Dajiang Zhu and Lei Guo and Dinggang Shen and Junwei Han and Tianming Liu and Jun Liu and Tuo Zhang}, title = {ChatRadio-Valuer: {A} Chat Large Language Model for Generalizable Radiology Report Generation Based on Multi-institution and Multi-system Data}, journal = {CoRR}, volume = {abs/2310.05242}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05242}, doi = {10.48550/ARXIV.2310.05242}, eprinttype = {arXiv}, eprint = {2310.05242}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05318, author = {Xunxin Cai and Meng Xiao and Zhiyuan Ning and Yuanchun Zhou}, title = {Resolving the Imbalance Issue in Hierarchical Disciplinary Topic Inference via LLM-based Data Augmentation}, journal = {CoRR}, volume = {abs/2310.05318}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05318}, doi = {10.48550/ARXIV.2310.05318}, eprinttype = {arXiv}, eprint = {2310.05318}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-18799, author = {Artemis Panagopoulou and Le Xue and Ning Yu and Junnan Li and Dongxu Li and Shafiq Joty and Ran Xu and Silvio Savarese and Caiming Xiong and Juan Carlos Niebles}, title = {X-InstructBLIP: {A} Framework for aligning X-Modal instruction-aware representations to LLMs and Emergent Cross-modal Reasoning}, journal = {CoRR}, volume = {abs/2311.18799}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.18799}, doi = {10.48550/ARXIV.2311.18799}, eprinttype = {arXiv}, eprint = {2311.18799}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-18799.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-05256, author = {Zhengliang Liu and Hanqi Jiang and Tianyang Zhong and Zihao Wu and Chong Ma and Yiwei Li and Xiaowei Yu and Yutong Zhang and Yi Pan and Peng Shu and Yanjun Lyu and Lu Zhang and Junjie Yao and Peixin Dong and Chao Cao and Zhenxiang Xiao and Jiaqi Wang and Huan Zhao and Shaochen Xu and Yaonai Wei and Jingyuan Chen and Haixing Dai and Peilong Wang and Hao He and Zewei Wang and Xinyu Wang and Xu Zhang and Lin Zhao and Yiheng Liu and Kai Zhang and Liheng Yan and Lichao Sun and Jun Liu and Ning Qiang and Bao Ge and Xiaoyan Cai and Shijie Zhao and Xintao Hu and Yixuan Yuan and Gang Li and Shu Zhang and Xin Zhang and Xi Jiang and Tuo Zhang and Dinggang Shen and Quanzheng Li and Wei Liu and Xiang Li and Dajiang Zhu and Tianming Liu}, title = {Holistic Evaluation of {GPT-4V} for Biomedical Imaging}, journal = {CoRR}, volume = {abs/2312.05256}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.05256}, doi = {10.48550/ARXIV.2312.05256}, eprinttype = {arXiv}, eprint = {2312.05256}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-05256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiHYN22, author = {Lue Li and Caihong Han and Shengwei Yao and Liangshuo Ning}, title = {Variable Weights Combination {MIDAS} Model Based on {ELM} for Natural Gas Price Forecasting}, journal = {{IEEE} Access}, volume = {10}, pages = {52075--52093}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3174814}, doi = {10.1109/ACCESS.2022.3174814}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiHYN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LiZZZZWCFZZGC22, author = {Peng Li and Haoran Zhang and Wuxia Zhang and Yuanyuan Zhang and Lingmin Zhan and Ning Wang and Caiping Chen and Bangze Fu and Jinzhong Zhao and Xuezhong Zhou and Shuzhen Guo and Jianxin Chen}, title = {{TMNP:} a transcriptome-based multi-scale network pharmacology platform for herbal medicine}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab542}, doi = {10.1093/BIB/BBAB542}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/LiZZZZWCFZZGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/PanZWWGSGLZN22, author = {Xu Pan and Caiyu Zhang and Junwei Wang and Peng Wang and Yue Gao and Shipeng Shang and Shuang Guo and Xin Li and Hui Zhi and Shangwei Ning}, title = {Epigenome signature as an immunophenotype indicator prompts durable clinical immunotherapy benefits in lung adenocarcinoma}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab481}, doi = {10.1093/BIB/BBAB481}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/PanZWWGSGLZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/DengWWFWHWYHC22, author = {Xu Deng and Jing{-}jing Wang and Zhi{-}xin Wang and Hai{-}ning Fan and Hai{-}jiu Wang and Han{-}Sheng Huang and Kai{-}qaing Wang and Xiao{-}zhou Yang and Junwei Han and Yangdan Cairang}, title = {Effectiveness and safety of ultrasound-guided percutaneous microwave ablation for hepatic alveolar echinococcosis}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {27}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00752-2}, doi = {10.1186/S12880-022-00752-2}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/DengWWFWHWYHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/NingLDDYCCL22, author = {Zhengtong Ning and Lufeng Luo and XinMing Ding and Zhiqiang Dong and Bofeng Yang and Jinghui Cai and Weilin Chen and Qinghua Lu}, title = {Recognition of sweet peppers and planning the robotic picking sequence in high-density orchards}, journal = {Comput. Electron. Agric.}, volume = {196}, pages = {106878}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.106878}, doi = {10.1016/J.COMPAG.2022.106878}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/NingLDDYCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/CaiNFLKCYHXL22, author = {Chang Cai and Bingxu Ning and Xue Fan and Tianqi Liu and Lingyun Ke and Gengsheng Chen and Jian Yu and Ze He and Liewei Xu and Jie Liu}, title = {{SEU} sensitivity and large spacing {TMR} efficiency of Kintex-7 and Virtex-7 FPGAs}, journal = {Sci. China Inf. Sci.}, volume = {65}, number = {2}, year = {2022}, url = {https://doi.org/10.1007/s11432-020-3169-x}, doi = {10.1007/S11432-020-3169-X}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/CaiNFLKCYHXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/DiaoC22, author = {Chen Diao and Ning Cai}, title = {Temporal Variation Measure Analysis: An Improved Second-Order Difference Plot}, journal = {Complex.}, volume = {2022}, pages = {8265275:1--8265275:11}, year = {2022}, url = {https://doi.org/10.1155/2022/8265275}, doi = {10.1155/2022/8265275}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/DiaoC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/HuSFDC22, author = {Haidong Hu and Yandong Song and Pu Fan and Chen Diao and Ning Cai}, title = {A Backstepping Controller with the {RBF} Neural Network for Folding-Boom Aerial Work Platform}, journal = {Complex.}, volume = {2022}, pages = {4289111:1--4289111:9}, year = {2022}, url = {https://doi.org/10.1155/2022/4289111}, doi = {10.1155/2022/4289111}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/HuSFDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/YanC22, author = {Bo{-}Han Yan and Ning Cai}, title = {On Class Difference in Educational Aspirations and Educational Expectations: {A} CUCDS-Based Social Analysis}, journal = {Complex.}, volume = {2022}, pages = {4406232:1--4406232:11}, year = {2022}, url = {https://doi.org/10.1155/2022/4406232}, doi = {10.1155/2022/4406232}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/YanC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/GuoLXLCTL22, author = {Yeting Guo and Fang Liu and Nong Xiao and Zhaogeng Li and Zhiping Cai and Guoming Tang and Ning Liu}, title = {{PARA:} Performability-aware resource allocation on the edges for cloud-native services}, journal = {Int. J. Intell. Syst.}, volume = {37}, number = {11}, pages = {8523--8547}, year = {2022}, url = {https://doi.org/10.1002/int.22954}, doi = {10.1002/INT.22954}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/GuoLXLCTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/CaiCCYC22, author = {Mao Cai and Ning Cheng and Chunzheng Cao and Jianwei Yang and Yunjie Chen}, title = {Adaptive Hardness Indicator Softmax for Deep Face Recognition}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {36}, number = {4}, pages = {2256009:1--2256009:25}, year = {2022}, url = {https://doi.org/10.1142/S0218001422560092}, doi = {10.1142/S0218001422560092}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/CaiCCYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ZhouWHZQC22, author = {Yukun Zhou and Ningsheng Wu and Baidong Hu and Yitao Zhang and Jingyun Qiu and Weiming Cai}, title = {Implementation and Performance of Face Recognition Payment System Securely Encrypted by {SM4} Algorithm}, journal = {Inf.}, volume = {13}, number = {7}, pages = {316}, year = {2022}, url = {https://doi.org/10.3390/info13070316}, doi = {10.3390/INFO13070316}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/ZhouWHZQC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/CaiWL00022, author = {Yi Cai and Shaohua Wu and Jiping Luo and Jian Jiao and Ning Zhang and Qinyu Zhang}, title = {Age-Oriented Access Control in {GEO/LEO} Heterogeneous Network for Marine IoRT: {A} Deep Reinforcement Learning Approach}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {24}, pages = {24919--24932}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3194927}, doi = {10.1109/JIOT.2022.3194927}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/CaiWL00022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GaoLCLJ22, author = {Ning Gao and Le Liang and Donghong Cai and Xiao Li and Shi Jin}, title = {Coverage Control for {UAV} Swarm Communication Networks: {A} Distributed Learning Approach}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {20}, pages = {19854--19867}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3170123}, doi = {10.1109/JIOT.2022.3170123}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/GaoLCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/LuLSYZZLCXW22, author = {Chong Lu and Shien Liu and Weihua Shi and Jun Yu and Zhou Zhou and Xiaoxiao Zhang and Xiaoli Lu and Faji Cai and Ning Xia and Yikai Wang}, title = {Systemic evolutionary chemical space exploration for drug discovery}, journal = {J. Cheminformatics}, volume = {14}, number = {1}, pages = {19}, year = {2022}, url = {https://doi.org/10.1186/s13321-022-00598-4}, doi = {10.1186/S13321-022-00598-4}, timestamp = {Thu, 20 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/LuLSYZZLCXW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/NingWCZWRD22, author = {Shaohui Ning and Yansong Wang and Wenan Cai and Zhenlin Zhang and Yukun Wu and Yonglei Ren and Kangning Du}, title = {Research on Intelligent Fault Diagnosis of Rolling Bearing Based on Improved ShufflenetV2-LSTM}, journal = {J. Sensors}, volume = {2022}, pages = {1--13}, year = {2022}, url = {https://doi.org/10.1155/2022/8522206}, doi = {10.1155/2022/8522206}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/NingWCZWRD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/GuoWDWL22, author = {Cai Guo and Qian Wang and Hong{-}Ning Dai and Hao Wang and Ping Li}, title = {LNNet: Lightweight Nested Network for motion deblurring}, journal = {J. Syst. Archit.}, volume = {129}, pages = {102584}, year = {2022}, url = {https://doi.org/10.1016/j.sysarc.2022.102584}, doi = {10.1016/J.SYSARC.2022.102584}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/GuoWDWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/GuoWDL22, author = {Cai Guo and Qian Wang and Hong{-}Ning Dai and Ping Li}, title = {{VDN:} Variant-depth network for motion deblurring}, journal = {Comput. Animat. Virtual Worlds}, volume = {33}, number = {3-4}, year = {2022}, url = {https://doi.org/10.1002/cav.2066}, doi = {10.1002/CAV.2066}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvca/GuoWDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiuCLNJ22, author = {Runmin Liu and Weiwei Cai and Guangjun Li and Xin Ning and Yizhang Jiang}, title = {Hybrid Dilated Convolution Guided Feature Filtering and Enhancement Strategy for Hyperspectral Image Classification}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2021.3100407}, doi = {10.1109/LGRS.2021.3100407}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/LiuCLNJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XiongYYWWZWLCLH22, author = {Guo{-}Li Xiong and Zhi{-}Jiang Yang and Jia{-}Cai Yi and Ningning Wang and Lei Wang and Huimin Zhu and Chengkun Wu and Ai{-}Ping Lu and Xiang Chen and Shao Liu and Tingjun Hou and Dongsheng Cao}, title = {DDInter: an online drug-drug interaction database towards improving clinical decision-making and patient safety}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {1200--1207}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab880}, doi = {10.1093/NAR/GKAB880}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XiongYYWWZWLCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhengLWKLGWCLSX22, author = {Ning Zheng and Mei Li and Yang Wu and Challika Kaewborisuth and Zhen Li and Zhu Gui and Jinfeng Wu and Aoling Cai and Kangguang Lin and Kuan{-}Pin Su and Hongbing Xiang and Xuebi Tian and Anne Manyande and Fuqiang Xu and Jie Wang}, title = {A novel technology for \emph{in vivo} detection of cell type-specific neural connection with AQP1-encoding rAAV2-retro vector and metal-free {MRI}}, journal = {NeuroImage}, volume = {258}, pages = {119402}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119402}, doi = {10.1016/J.NEUROIMAGE.2022.119402}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhengLWKLGWCLSX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/TongLYZSLNXQC22, author = {Yuerong Tong and Jingyi Liu and Lina Yu and Liping Zhang and Linjun Sun and Weijun Li and Xin Ning and Jian Xu and Hong Qin and Qiang Cai}, title = {Technology investigation on time series classification and prediction}, journal = {PeerJ Comput. Sci.}, volume = {8}, pages = {e982}, year = {2022}, url = {https://doi.org/10.7717/peerj-cs.982}, doi = {10.7717/PEERJ-CS.982}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/peerj-cs/TongLYZSLNXQC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuoYWLC22, author = {Hongbin Luo and Cairong Yue and Ning Wang and Guangfei Luo and Si Chen}, title = {Correcting Underestimation and Overestimation in PolInSAR Forest Canopy Height Estimation Using Microwave Penetration Depth}, journal = {Remote. Sens.}, volume = {14}, number = {23}, pages = {6145}, year = {2022}, url = {https://doi.org/10.3390/rs14236145}, doi = {10.3390/RS14236145}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LuoYWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangYDNJKZLWYLC22, author = {Junyi Wang and Xinan Yue and Feng Ding and Baiqi Ning and Lin Jin and Changhai Ke and Ning Zhang and Junhao Luo and Yonghui Wang and Hanlin Yin and Mingyuan Li and Yihui Cai}, title = {The Effect of Space Objects on Ionospheric Observations: Perspective of {SYISR}}, journal = {Remote. Sens.}, volume = {14}, number = {20}, pages = {5092}, year = {2022}, url = {https://doi.org/10.3390/rs14205092}, doi = {10.3390/RS14205092}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WangYDNJKZLWYLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangYDNWLWLC22, author = {Ning Zhang and Xinan Yue and Feng Ding and Baiqi Ning and Junyi Wang and Junhao Luo and Yonghui Wang and Mingyuan Li and Yihui Cai}, title = {Initial Tropospheric Wind Observations by Sanya Incoherent Scatter Radar}, journal = {Remote. Sens.}, volume = {14}, number = {13}, pages = {3138}, year = {2022}, url = {https://doi.org/10.3390/rs14133138}, doi = {10.3390/RS14133138}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangYDNWLWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuYLQCWWYH22, author = {Gaogao Liu and Wenbo Yang and Peng Li and Guodong Qin and Jingjing Cai and Youming Wang and Shuai Wang and Ning Yue and Dongjie Huang}, title = {{MIMO} Radar Parallel Simulation System Based on {CPU/GPU} Architecture}, journal = {Sensors}, volume = {22}, number = {1}, pages = {396}, year = {2022}, url = {https://doi.org/10.3390/s22010396}, doi = {10.3390/S22010396}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuYLQCWWYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangWCZLZ22, author = {Zexin Wang and Yingxun Wang and Zhihao Cai and Jiang Zhao and Ningjun Liu and Yanqi Zhao}, title = {Unified Accurate Attitude Control for Dual-Tiltrotor {UAV} with Cyclic Pitch Using Actuator Dynamics Compensated {LADRC}}, journal = {Sensors}, volume = {22}, number = {4}, pages = {1559}, year = {2022}, url = {https://doi.org/10.3390/s22041559}, doi = {10.3390/S22041559}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangWCZLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/XiePCWH22, author = {Jiayuan Xie and Ningxin Peng and Yi Cai and Tao Wang and Qingbao Huang}, title = {Diverse Distractor Generation for Constructing High-Quality Multiple Choice Questions}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {30}, pages = {280--291}, year = {2022}, url = {https://doi.org/10.1109/TASLP.2021.3138706}, doi = {10.1109/TASLP.2021.3138706}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/XiePCWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbbis/ZhangSYDCCWN22, author = {Liping Zhang and Linjun Sun and Lina Yu and Xiaoli Dong and Jinchao Chen and Weiwei Cai and Chen Wang and Xin Ning}, title = {ARFace: Attention-Aware and Regularization for Face Recognition With Reinforcement Learning}, journal = {{IEEE} Trans. Biom. Behav. Identity Sci.}, volume = {4}, number = {1}, pages = {30--42}, year = {2022}, url = {https://doi.org/10.1109/TBIOM.2021.3104014}, doi = {10.1109/TBIOM.2021.3104014}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbbis/ZhangSYDCCWN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/GuCGJNQ22, author = {Xiaoqing Gu and Weiwei Cai and Ming Gao and Yizhang Jiang and Xin Ning and Pengjiang Qian}, title = {Multi-Source Domain Transfer Discriminative Dictionary Learning Modeling for Electroencephalogram-Based Emotion Recognition}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {9}, number = {6}, pages = {1604--1612}, year = {2022}, url = {https://doi.org/10.1109/TCSS.2022.3153660}, doi = {10.1109/TCSS.2022.3153660}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/GuCGJNQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/NingCWZ22, author = {Zepeng Ning and Bo Cai and Rui Weng and Lixian Zhang}, title = {Nonsynchronized State Estimation for Fuzzy Markov Jump Affine Systems With Switching Region Partitions}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {4}, pages = {2430--2439}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2020.3002938}, doi = {10.1109/TCYB.2020.3002938}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/NingCWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/NingCWZS22, author = {Zepeng Ning and Bo Cai and Rui Weng and Lixian Zhang and Shun{-}Feng Su}, title = {Stability and Control of Fuzzy Semi-Markov Jump Systems Under Unknown Semi-Markov Kernel}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {30}, number = {7}, pages = {2452--2465}, year = {2022}, url = {https://doi.org/10.1109/TFUZZ.2021.3083959}, doi = {10.1109/TFUZZ.2021.3083959}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/NingCWZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiXHZS22, author = {Ning Li and Mengdao Xing and Yaxin Hou and Shengwei Zhou and Guang{-}Cai Sun}, title = {Ship Focusing and Positioning Based on 2-D Ambiguity Resolving for Single-Channel {SAR} Mounted on High-Speed Maneuvering Platforms With Small Aperture}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--13}, year = {2022}, url = {https://doi.org/10.1109/tgrs.2022.3144334}, doi = {10.1109/TGRS.2022.3144334}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LiXHZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LinCXCLXS22, author = {Hao Lin and Jianlai Chen and Mengdao Xing and Xiaoxiang Chen and Ning Li and Yiyuan Xie and Guang{-}Cai Sun}, title = {Time-Domain Autofocus for Ultrahigh Resolution {SAR} Based on Azimuth Scaling Transformation}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3173660}, doi = {10.1109/TGRS.2022.3173660}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LinCXCLXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiuMZWQLGQL22, author = {Yaokai Liu and Lingling Ma and Yongguang Zhao and Ning Wang and Yonggang Qian and Wan Li and Caixia Gao and Shi Qiu and Chuanrong Li}, title = {A Spectrum Extension Approach for Radiometric Calibration of the Advanced Hyperspectral Imager Aboard the Gaofen-5 Satellite}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3083707}, doi = {10.1109/TGRS.2021.3083707}, timestamp = {Sun, 27 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LiuMZWQLGQL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/MaWLZHWWBGLT22, author = {Lingling Ma and Ning Wang and Yaokai Liu and Yongguang Zhao and Qijin Han and Xinhong Wang and Emma R. Woolliams and Marc Bouvet and Caixia Gao and Chuanrong Li and Lingli Tang}, title = {An In-Flight Radiometric Calibration Method Considering Adjacency Effects for High-Resolution Optical Sensors Over Artificial Targets}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--13}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2020.3040866}, doi = {10.1109/TGRS.2020.3040866}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/MaWLZHWWBGLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhangXSL22, author = {Jinsong Zhang and Mengdao Xing and Guang{-}Cai Sun and Ning Li}, title = {Oriented Gaussian Function-Based Box Boundary-Aware Vectors for Oriented Ship Detection in Multiresolution {SAR} Imagery}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--15}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3095386}, doi = {10.1109/TGRS.2021.3095386}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZhangXSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LiCNDW22, author = {Wenjian Li and Nian Cai and Zhou Ning and Yongchao Dong and Han Wang}, title = {Error Compensation for Optical Encoder via Local-Sinusoidal-Assisted Empirical Mode Decomposition With an Optimization Scheme}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {9}, pages = {9596--9604}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3112968}, doi = {10.1109/TIE.2021.3112968}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LiCNDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/CaiQCGB22, author = {Kunyang Cai and Ting Qu and Hong Chen and Bingzhao Gao and Ning Bian}, title = {Low-Cost Hybrid Multisensor Fusion Method and Implementation for Production Intelligent Vehicles}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3200434}, doi = {10.1109/TIM.2022.3200434}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/CaiQCGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/HayashiC22, author = {Masahito Hayashi and Ning Cai}, title = {Universal Classical-Quantum Superposition Coding and Universal Classical-Quantum Multiple Access Channel Coding}, journal = {{IEEE} Trans. Inf. Theory}, volume = {68}, number = {3}, pages = {1822--1850}, year = {2022}, url = {https://doi.org/10.1109/TIT.2021.3131575}, doi = {10.1109/TIT.2021.3131575}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/HayashiC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/OuyangHLCLLL22, author = {Ninglin Ouyang and Qingbao Huang and Pijian Li and Yi Cai and Bin Liu and Ho{-}fung Leung and Qing Li}, title = {Suppressing Biased Samples for Robust {VQA}}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {3405--3415}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3097502}, doi = {10.1109/TMM.2021.3097502}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/OuyangHLCLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/CaiYCCZYD22, author = {Ting Cai and Zhihua Yang and Yufei Chen and Wuhui Chen and Zibin Zheng and Yang Yu and Hong{-}Ning Dai}, title = {Cooperative Data Sensing and Computation Offloading in UAV-Assisted Crowdsensing With Multi-Agent Deep Reinforcement Learning}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {5}, pages = {3197--3211}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2021.3121690}, doi = {10.1109/TNSE.2021.3121690}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/CaiYCCZYD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/HuLGCHMM22, author = {Shi{-}Min Hu and Zheng{-}Ning Liu and Meng{-}Hao Guo and Junxiong Cai and Jiahui Huang and Tai{-}Jiang Mu and Ralph R. Martin}, title = {Subdivision-based Mesh Convolution Networks}, journal = {{ACM} Trans. Graph.}, volume = {41}, number = {3}, pages = {25:1--25:16}, year = {2022}, url = {https://doi.org/10.1145/3506694}, doi = {10.1145/3506694}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/HuLGCHMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ShenWZXLMC22, author = {Lingfeng Shen and Ning Wang and Zhengyu Zhu and Wei Xu and Yue Li and Xiaomin Mu and Lin Cai}, title = {UAV-Enabled Data Collection Over Clustered Machine-Type Communication Networks: {AEM} Modeling and Trajectory Planning}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {9}, pages = {10016--10032}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3181158}, doi = {10.1109/TVT.2022.3181158}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ShenWZXLMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/LuLZSZCYPS22, author = {Jijian Lu and Tianren Luo and Mingmin Zhang and Yuze Shen and Peng Zhao and Ning Cai and Xiaozhe Yang and Zhigeng Pan and Max Stephens}, title = {Examining the impact of {VR} and {MR} on future teachers' creativity performance and influencing factors by scene expansion in instruction designs}, journal = {Virtual Real.}, volume = {26}, number = {4}, pages = {1615--1636}, year = {2022}, url = {https://doi.org/10.1007/s10055-022-00652-4}, doi = {10.1007/S10055-022-00652-4}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/LuLZSZCYPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/PanLZCLMLPSL22, author = {Zhigeng Pan and Tianren Luo and Mingmin Zhang and Ning Cai and Yongheng Li and Jinda Miao and Zheng Li and Zhipeng Pan and Yuze Shen and Jijian Lu}, title = {MagicChem: a {MR} system based on needs theory for chemical experiments}, journal = {Virtual Real.}, volume = {26}, number = {1}, pages = {279--294}, year = {2022}, url = {https://doi.org/10.1007/s10055-021-00560-z}, doi = {10.1007/S10055-021-00560-Z}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/PanLZCLMLPSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiaccs/CaiZNXW22, author = {Yifei Cai and Qiao Zhang and Rui Ning and Chunsheng Xin and Hongyi Wu}, editor = {Yuji Suga and Kouichi Sakurai and Xuhua Ding and Kazue Sako}, title = {Hunter: HE-Friendly Structured Pruning for Efficient Privacy-Preserving Deep Learning}, booktitle = {{ASIA} {CCS} '22: {ACM} Asia Conference on Computer and Communications Security, Nagasaki, Japan, 30 May 2022 - 3 June 2022}, pages = {931--945}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3488932.3517401}, doi = {10.1145/3488932.3517401}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asiaccs/CaiZNXW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/becb/XuMLLRX22, author = {Yizhou Xu and Cai Meng and Yanggang Li and Ning Li and Longfei Ren and Kun Xia}, editor = {Shiping Wen and Cihui Yang}, title = {A Self-supervised 3D/2D Registration Method for Incomplete {DSA} Vessels}, booktitle = {Biomedical and Computational Biology - Second International Symposium, {BECB} 2022, Virtual Event, August 13-15, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13637}, pages = {13--31}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25191-7\_2}, doi = {10.1007/978-3-031-25191-7\_2}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/becb/XuMLLRX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HouZSWEZ22, author = {Fan Hou and Cai Zhao and Ningling Su and Jiening Wang and Linning E and Wen Zheng}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Quantitative assessment of interstitial lung disease based on RDNet convolutional network}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {1550--1553}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995328}, doi = {10.1109/BIBM55620.2022.9995328}, timestamp = {Thu, 12 Jan 2023 09:26:28 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HouZSWEZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/NingZZLZ22, author = {Yang Ning and Shouyi Zhang and Wei Zhong and Peide Liu and Caiming Zhang}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {A Hybrid Cross-Scale Transformer Architecture for Robust Medical Image Segmentation}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {1677--1682}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995702}, doi = {10.1109/BIBM55620.2022.9995702}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/NingZZLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caibda/QiuNZN22, author = {Feng Qiu and Ke Niu and Haotian Zhao and Zhiqiang Ning}, title = {Reversible Steganography Algorithm for High Capacity Video Based on Motion Vector Multi Histogram Correction}, booktitle = {{CAIBDA} 2022, 2nd International Conference on Artificial Intelligence, Big Data and Algorithms, Nanjing, China, 17-19 June 2022}, pages = {1--7}, publisher = {{VDE} / {IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/10104479}, timestamp = {Wed, 06 Dec 2023 14:08:12 +0100}, biburl = {https://dblp.org/rec/conf/caibda/QiuNZN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGKLLDLZCHLL22, author = {Yawei Li and Kai Zhang and Radu Timofte and Luc Van Gool and Fangyuan Kong and Mingxi Li and Songwei Liu and Zongcai Du and Ding Liu and Chenhui Zhou and Jingyi Chen and Qingrui Han and Zheyuan Li and Yingqi Liu and Xiangyu Chen and Haoming Cai and Yu Qiao and Chao Dong and Long Sun and Jinshan Pan and Yi Zhu and Zhikai Zong and Xiaoxiao Liu and Zheng Hui and Tao Yang and Peiran Ren and Xuansong Xie and Xian{-}Sheng Hua and Yanbo Wang and Xiaozhong Ji and Chuming Lin and Donghao Luo and Ying Tai and Chengjie Wang and Zhizhong Zhang and Yuan Xie and Shen Cheng and Ziwei Luo and Lei Yu and Zhihong Wen and Qi Wu and Youwei Li and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Yuanfei Huang and Meiguang Jin and Hua Huang and Jing Liu and Xinjian Zhang and Yan Wang and Lingshun Long and Gen Li and Yuanfan Zhang and Zuowei Cao and Lei Sun and Panaetov Alexander and Yucong Wang and Minjie Cai and Li Wang and Lu Tian and Zheyuan Wang and Hongbing Ma and Jie Liu and Chao Chen and Yidong Cai and Jie Tang and Gangshan Wu and Weiran Wang and Shirui Huang and Honglei Lu and Huan Liu and Keyan Wang and Jun Chen and Shi Chen and Yuchun Miao and Zimo Huang and Lefei Zhang and Mustafa Ayazoglu and Wei Xiong and Chengyi Xiong and Fei Wang and Hao Li and Ruimian Wen and Zhijing Yang and Wenbin Zou and Weixin Zheng and Tian Ye and Yuncheng Zhang and Xiangzhen Kong and Aditya Arora and Syed Waqas Zamir and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Dandan Gao and Dengwen Zhou and Qian Ning and Jingzhu Tang and Han Huang and Yufei Wang and Zhangheng Peng and Haobo Li and Wenxue Guan and Shenghua Gong and Xin Li and Jun Liu and Wanjun Wang and Kun Zeng and Hanjiang Lin and Xinyu Chen and Jinsheng Fang}, title = {{NTIRE} 2022 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1061--1101}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00118}, doi = {10.1109/CVPRW56347.2022.00118}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGKLLDLZCHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cyberc/ZengLZZWCY22, author = {Changyu Zeng and Li Liu and Haocheng Zhao and Yu Zhang and Wei Wang and Ning Cai and Yutao Yue}, title = {Causal Unstructured Pruning in Linear Networks Using Effective Information}, booktitle = {International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, CyberC 2022, Suzhou, China, October 14-16, 2022}, pages = {294--302}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CyberC55534.2022.00056}, doi = {10.1109/CYBERC55534.2022.00056}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cyberc/ZengLZZWCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/DongNWHCI22, author = {Ran Dong and Shaowen Ni and Bo Wu and Shingo Hayano and DongSheng Cai and Soichiro Ikuno}, title = {Analyzing Jo-Ha-Kyu Mechanism in Japanese Traditional Performing Art Ningyo Joruri}, booktitle = {{IEEE} Intl. Conf. on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress, DASC/PiCom/CBDCom/CyberSciTech 2022, Falerna, Italy, September 12-15, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DASC/PiCom/CBDCom/Cy55231.2022.9927889}, doi = {10.1109/DASC/PICOM/CBDCOM/CY55231.2022.9927889}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/DongNWHCI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {130--152}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_6}, doi = {10.1007/978-3-031-25066-8\_6}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhouNCHDDYW22, author = {Zixuan Zhou and Xuefei Ning and Yi Cai and Jiashu Han and Yiping Deng and Yuhan Dong and Huazhong Yang and Yu Wang}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{CLOSE:} Curriculum Learning on the Sharing Extent Towards Better One-Shot {NAS}}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XX}}, series = {Lecture Notes in Computer Science}, volume = {13680}, pages = {578--594}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20044-1\_33}, doi = {10.1007/978-3-031-20044-1\_33}, timestamp = {Fri, 21 Oct 2022 19:54:55 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhouNCHDDYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ZhaoCWZZZJ22, author = {Yu Zhao and Xiangrui Cai and Yike Wu and Haiwei Zhang and Ying Zhang and Guoqing Zhao and Ning Jiang}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {MoSE: Modality Split and Ensemble for Multimodal Knowledge Graph Completion}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {10527--10536}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.719}, doi = {10.18653/V1/2022.EMNLP-MAIN.719}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ZhaoCWZZZJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/CaiWL00022, author = {Yi Cai and Shaohua Wu and Jiping Luo and Jian Jiao and Ning Zhang and Qinyu Zhang}, title = {Age-oriented Access Control in {GEO/LEO} Heterogeneous Network for Marine IoRT}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {662--667}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001380}, doi = {10.1109/GLOBECOM48099.2022.10001380}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/CaiWL00022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/FengL0W0C22, author = {Weiyang Feng and Siyu Lin and Ning Zhang and Gongpu Wang and Bo Ai and Lin Cai}, title = {{C-V2X} based Offloading Strategy in Multi-Tier Vehicular Edge Computing System}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {5947--5952}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001050}, doi = {10.1109/GLOBECOM48099.2022.10001050}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/FengL0W0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/LiuXCYHW22, author = {Ning Liu and Jing Xia and Zhiping Cai and Tao Yang and Bingnan Hou and Zhilin Wang}, editor = {Xingming Sun and Xiaorui Zhang and Zhihua Xia and Elisa Bertino}, title = {A Survey on IPv6 Security Threats and Defense Mechanisms}, booktitle = {Artificial Intelligence and Security - 8th International Conference, {ICAIS} 2022, Qinghai, China, July 15-20, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13338}, pages = {583--598}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06794-5\_47}, doi = {10.1007/978-3-031-06794-5\_47}, timestamp = {Thu, 11 Aug 2022 12:49:08 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/LiuXCYHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictac/ChenZ22, author = {Ningning Chen and Huibiao Zhu}, editor = {Helmut Seidl and Zhiming Liu and Corina S. Pasareanu}, title = {Denotational and Algebraic Semantics for the CaIT Calculus}, booktitle = {Theoretical Aspects of Computing - {ICTAC} 2022 - 19th International Colloquium, Tbilisi, Georgia, September 27-29, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13572}, pages = {132--150}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17715-6\_10}, doi = {10.1007/978-3-031-17715-6\_10}, timestamp = {Fri, 07 Oct 2022 16:33:00 +0200}, biburl = {https://dblp.org/rec/conf/ictac/ChenZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChengZXC22, author = {Xiang Cheng and Ning Zhang and Wanying Xie and Guoyin Cai}, title = {Method of Accessing the Urban Public Space from {GF-2} Image by Indicator {SDG} 11.7.1}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {4353--4356}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9883735}, doi = {10.1109/IGARSS46834.2022.9883735}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChengZXC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/CaiXSWZ22, author = {Zhuotong Cai and Jingmin Xin and Peiwen Shi and Jiayi Wu and Nanning Zheng}, title = {DSTUNet: UNet with Efficient Dense {SWIN} Transformer Pathway for Medical Image Segmentation}, booktitle = {19th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2022, Kolkata, India, March 28-31, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISBI52829.2022.9761536}, doi = {10.1109/ISBI52829.2022.9761536}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/CaiXSWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/LinCNH22, author = {Can Lin and Guofa Cai and Ting Ning and Jiguang He}, title = {A Wireless-Powered Relaying System with Energy Buffer for Implant {WBAN}}, booktitle = {21st International Symposium on Communications and Information Technologies, {ISCIT} 2022, Xi'an, China, September 27-30, 2022}, pages = {70--75}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCIT55906.2022.9931320}, doi = {10.1109/ISCIT55906.2022.9931320}, timestamp = {Fri, 18 Nov 2022 20:51:15 +0100}, biburl = {https://dblp.org/rec/conf/iscit/LinCNH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HuangLLPLXXD22, author = {Shaoyi Huang and Ning Liu and Yueying Liang and Hongwu Peng and Hongjia Li and Dongkuan Xu and Mimi Xie and Caiwen Ding}, title = {An Automatic and Efficient {BERT} Pruning for Edge {AI} Systems}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806197}, doi = {10.1109/ISQED54688.2022.9806197}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HuangLLPLXXD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/LiSZCLL22, author = {Yan Li and Yuanqi Su and Xiaoning Zhang and Qingchao Cai and Haoang Lu and Yuehu Liu}, title = {A Simulation System for Human-in-the-Loop Driving}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {4183--4188}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITSC55140.2022.9921924}, doi = {10.1109/ITSC55140.2022.9921924}, timestamp = {Thu, 10 Nov 2022 21:13:36 +0100}, biburl = {https://dblp.org/rec/conf/itsc/LiSZCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/CaiXSZWZ22, author = {Zhuotong Cai and Jingmin Xin and Peiwen Shi and Sanping Zhou and Jiayi Wu and Nanning Zheng}, editor = {Ghada Zamzmi and Sameer K. Antani and Ulas Bagci and Marius George Linguraru and Sivaramakrishnan Rajaraman and Zhiyun Xue}, title = {Meta Pixel Loss Correction for Medical Image Segmentation with Noisy Labels}, booktitle = {Medical Image Learning with Limited and Noisy Data - First International Workshop, MILLanD 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 22, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13559}, pages = {32--41}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16760-7\_4}, doi = {10.1007/978-3-031-16760-7\_4}, timestamp = {Fri, 30 Sep 2022 11:25:13 +0200}, biburl = {https://dblp.org/rec/conf/miccai/CaiXSZWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/XuYTCCGJLLLLLLT22, author = {Yinan Xu and Zihao Yu and Dan Tang and Guokai Chen and Lu Chen and Lingrui Gou and Yue Jin and Qianruo Li and Xin Li and Zuojun Li and Jiawei Lin and Tong Liu and Zhigang Liu and Jiazhan Tan and Huaqiang Wang and Huizhe Wang and Kaifan Wang and Chuanqi Zhang and Fawang Zhang and Linjuan Zhang and Zifei Zhang and Yangyang Zhao and Yaoyang Zhou and Yike Zhou and Jiangrui Zou and Ye Cai and Dandan Huan and Zusong Li and Jiye Zhao and Zihao Chen and Wei He and Qiyuan Quan and Xingwu Liu and Sa Wang and Kan Shi and Ninghui Sun and Yungang Bao}, title = {Towards Developing High Performance {RISC-V} Processors Using Agile Methodology}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {1178--1199}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00080}, doi = {10.1109/MICRO56248.2022.00080}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/XuYTCCGJLLLLLLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/FengTWWCZZZLYFZ22, author = {Shangbin Feng and Zhaoxuan Tan and Herun Wan and Ningnan Wang and Zilong Chen and Binchi Zhang and Qinghua Zheng and Wenqian Zhang and Zhenyu Lei and Shujie Yang and Xinshun Feng and Qingyue Zhang and Hongrui Wang and Yuhan Liu and Yuyang Bai and Heng Wang and Zijian Cai and Yanbo Wang and Lijing Zheng and Zihan Ma and Jundong Li and Minnan Luo}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {TwiBot-22: Towards Graph-Based Twitter Bot Detection}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/e4fd610b1d77699a02df07ae97de992a-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/FengTWWCZZZLYFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/CaiCZWA22, author = {Weilin Cai and Heng Chen and Zhimin Zhuo and Ziheng Wang and Ninggang An}, editor = {Shaoshan Liu and Xiaohui Wei}, title = {Flexible Supervision System: {A} Fast Fault-Tolerance Strategy for Cloud Applications in Cloud-Edge Collaborative Environments}, booktitle = {Network and Parallel Computing - 19th {IFIP} {WG} 10.3 International Conference, {NPC} 2022, Jinan, China, September 24-25, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13615}, pages = {108--113}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21395-3\_10}, doi = {10.1007/978-3-031-21395-3\_10}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/npc/CaiCZWA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/SunCZS0L22, author = {Lin Sun and Yi Cai and Junwei Zhang and Gangxiang Shen and Chao Lu and Gordon Ning Liu}, title = {Enhanced Optical {IMDD} Communication Through Real-Time Sensing of {MZM} Bias Drift}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850183}, doi = {10.23919/OECC/PSC53152.2022.9850183}, timestamp = {Tue, 23 Aug 2022 10:41:19 +0200}, biburl = {https://dblp.org/rec/conf/ps/SunCZS0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/SunLSLDZ22, author = {Caiming Sun and Binghui Li and Wu Shi and Jing Lin and Ning Ding and Aidong Zhang}, title = {Theoretical Model and Validation for Broadband Optical Phased Arrays}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9849713}, doi = {10.23919/OECC/PSC53152.2022.9849713}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ps/SunLSLDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/ChenPSLZWW22, author = {Cai Chen and Fulai Peng and Yue Sun and Danyang Lv and Ningling Zhang and Xingwei Wang and Lin Wang}, title = {Epileptic Seizure Prediction Based on {EEG} by Auto-Machine Learning}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2022, Guiyang, China, July 17-22, 2022}, pages = {710--715}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RCAR54675.2022.9872265}, doi = {10.1109/RCAR54675.2022.9872265}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rcar/ChenPSLZWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZhangBCWBJP22, author = {Yizhe Zhang and Shubhankar Borse and Hong Cai and Ying Wang and Ning Bi and Xiaoyun Jiang and Fatih Porikli}, title = {Perceptual Consistency in Video Segmentation}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {2623--2632}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00268}, doi = {10.1109/WACV51458.2022.00268}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ZhangBCWBJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/HuangFZYX22, author = {Ning Huang and Xue{-}cai Feng and Rui Zhang and Xiugui Yang and Hui Xia}, editor = {Lei Wang and Michael Segal and Jenhui Chen and Tie Qiu}, title = {Network Defense Resource Allocation Scheme with Multi-armed Bandits}, booktitle = {Wireless Algorithms, Systems, and Applications - 17th International Conference, {WASA} 2022, Dalian, China, November 24-26, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13471}, pages = {326--337}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19208-1\_27}, doi = {10.1007/978-3-031-19208-1\_27}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wasa/HuangFZYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-10916, author = {Yuwen Qin and Ningbo Cai and Chen Gao and Yadong Zhang and Yonghong Cheng and Xin Chen}, title = {Remaining Useful Life Prediction Using Temporal Deep Degradation Network for Complex Machinery with Attention-based Feature Extraction}, journal = {CoRR}, volume = {abs/2202.10916}, year = {2022}, url = {https://arxiv.org/abs/2202.10916}, eprinttype = {arXiv}, eprint = {2202.10916}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-10916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04564, author = {Shangbin Feng and Zhaoxuan Tan and Herun Wan and Ningnan Wang and Zilong Chen and Binchi Zhang and Qinghua Zheng and Wenqian Zhang and Zhenyu Lei and Shujie Yang and Xinshun Feng and Qingyue Zhang and Hongrui Wang and Yuhan Liu and Yuyang Bai and Heng Wang and Zijian Cai and Yanbo Wang and Lijing Zheng and Zihan Ma and Jundong Li and Minnan Luo}, title = {TwiBot-22: Towards Graph-Based Twitter Bot Detection}, journal = {CoRR}, volume = {abs/2206.04564}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04564}, doi = {10.48550/ARXIV.2206.04564}, eprinttype = {arXiv}, eprint = {2206.04564}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04564.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-10461, author = {Shaoyi Huang and Ning Liu and Yueying Liang and Hongwu Peng and Hongjia Li and Dongkuan Xu and Mimi Xie and Caiwen Ding}, title = {An Automatic and Efficient {BERT} Pruning for Edge {AI} Systems}, journal = {CoRR}, volume = {abs/2206.10461}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.10461}, doi = {10.48550/ARXIV.2206.10461}, eprinttype = {arXiv}, eprint = {2206.10461}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-10461.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07868, author = {Zixuan Zhou and Xuefei Ning and Yi Cai and Jiashu Han and Yiping Deng and Yuhan Dong and Huazhong Yang and Yu Wang}, title = {{CLOSE:} Curriculum Learning On the Sharing Extent Towards Better One-shot {NAS}}, journal = {CoRR}, volume = {abs/2207.07868}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07868}, doi = {10.48550/ARXIV.2207.07868}, eprinttype = {arXiv}, eprint = {2207.07868}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02856, author = {Yu Zhang and Li Liu and Chen Diao and Ning Cai}, title = {On Clustering Trend in Language Evolution Based on Dynamical Behaviors of Multi-Agent Model}, journal = {CoRR}, volume = {abs/2210.02856}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02856}, doi = {10.48550/ARXIV.2210.02856}, eprinttype = {arXiv}, eprint = {2210.02856}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-04747, author = {Shiwen He and Kangli Cai and Shiyue Huang and Zhenyu An and Wei Huang and Ning Gao}, title = {An NLoS-based Enhanced Sensing Method for MmWave Communication System}, journal = {CoRR}, volume = {abs/2210.04747}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.04747}, doi = {10.48550/ARXIV.2210.04747}, eprinttype = {arXiv}, eprint = {2210.04747}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-04747.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08821, author = {Yu Zhao and Xiangrui Cai and Yike Wu and Haiwei Zhang and Ying Zhang and Guoqing Zhao and Ning Jiang}, title = {MoSE: Modality Split and Ensemble for Multimodal Knowledge Graph Completion}, journal = {CoRR}, volume = {abs/2210.08821}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08821}, doi = {10.48550/ARXIV.2210.08821}, eprinttype = {arXiv}, eprint = {2210.08821}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11941, author = {Ningbo Cai and Yuwen Qin and Xin Chen and Kai Wu}, title = {{DIICAN:} Dual Time-scale State-Coupled Co-estimation of SOC, {SOH} and {RUL} for Lithium-Ion Batteries}, journal = {CoRR}, volume = {abs/2210.11941}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11941}, doi = {10.48550/ARXIV.2210.11941}, eprinttype = {arXiv}, eprint = {2210.11941}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11941.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-17057, author = {Lei Kou and Chuang Liu and Guowei Cai and Jia{-}Ning Zhou and Quande Yuan and Si{-}miao Pang}, title = {Fault diagnosis for open-circuit faults in {NPC} inverter based on knowledge-driven and data-driven approaches}, journal = {CoRR}, volume = {abs/2210.17057}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.17057}, doi = {10.48550/ARXIV.2210.17057}, eprinttype = {arXiv}, eprint = {2210.17057}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-17057.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-00228, author = {Lei Kou and Chuang Liu and Guowei Cai and Zhe Zhang and Jia{-}Ning Zhou and Xue{-}Mei Wang}, title = {Fault diagnosis for three-phase {PWM} rectifier based on deep feedforward network with transient synthetic features}, journal = {CoRR}, volume = {abs/2211.00228}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.00228}, doi = {10.48550/ARXIV.2211.00228}, eprinttype = {arXiv}, eprint = {2211.00228}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-00228.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02631, author = {Lei Kou and Chuang Liu and Guowei Cai and Jia{-}Ning Zhou and Quande Yuan}, title = {Data-driven design of fault diagnosis for three-phase {PWM} rectifier using random forests technique with transient synthetic features}, journal = {CoRR}, volume = {abs/2211.02631}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02631}, doi = {10.48550/ARXIV.2211.02631}, eprinttype = {arXiv}, eprint = {2211.02631}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02631.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02806, author = {Jing Wang and Qiang Cai and Guiwu Wei and Ningna Liao}, title = {Modified {EDAS} Method Based on Cumulative Prospect Theory for Multiple Attributes Group Decision Making with Interval-valued Intuitionistic Fuzzy Information}, journal = {CoRR}, volume = {abs/2211.02806}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02806}, doi = {10.48550/ARXIV.2211.02806}, eprinttype = {arXiv}, eprint = {2211.02806}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02806.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05256, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.05256}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05256}, doi = {10.48550/ARXIV.2211.05256}, eprinttype = {arXiv}, eprint = {2211.05256}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01770, author = {Haoran Deng and Bo Yang and Chao Ning and Cailian Chen and Xinping Guan}, title = {Distributionally Robust Day-ahead Scheduling for Power-traffic Network under a Potential Game Framework}, journal = {CoRR}, volume = {abs/2212.01770}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01770}, doi = {10.48550/ARXIV.2212.01770}, eprinttype = {arXiv}, eprint = {2212.01770}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08369, author = {Chen Diao and Ning Cai}, title = {Temporal Variation Measure Analysis: An Improved Second-Order Difference Plot}, journal = {CoRR}, volume = {abs/2212.08369}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08369}, doi = {10.48550/ARXIV.2212.08369}, eprinttype = {arXiv}, eprint = {2212.08369}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09877, author = {Ning Yu and Chia{-}Chih Chen and Zeyuan Chen and Rui Meng and Gang Wu and Paul Josel and Juan Carlos Niebles and Caiming Xiong and Ran Xu}, title = {LayoutDETR: Detection Transformer Is a Good Multimodal Layout Designer}, journal = {CoRR}, volume = {abs/2212.09877}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09877}, doi = {10.48550/ARXIV.2212.09877}, eprinttype = {arXiv}, eprint = {2212.09877}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09877.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JinLWC21, author = {Jin Jin and Junlong Li and Le Wang and Ning Cai}, title = {Energy-Constraint Output Formation for Networked Systems With Random Communication Silence and Switching Topologies}, journal = {{IEEE} Access}, volume = {9}, pages = {8312--8323}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3049621}, doi = {10.1109/ACCESS.2021.3049621}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JinLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/DengDYCC21, author = {Fang Deng and Ning Ding and Ziman Ye and Yeyun Cai and Jie Chen}, title = {Wearable ubiquitous energy system}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {2}, year = {2021}, url = {https://doi.org/10.1007/s11432-020-2895-3}, doi = {10.1007/S11432-020-2895-3}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/DengDYCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/FengPYSLCN21, author = {Xue Feng and Su Pan and Molei Yan and Yanfei Shen and Xiaoqing Liu and Guolong Cai and Gangmin Ning}, title = {Dynamic prediction of late noninvasive ventilation failure in intensive care unit using a time adaptive machine model}, journal = {Comput. Methods Programs Biomed.}, volume = {208}, pages = {106290}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106290}, doi = {10.1016/J.CMPB.2021.106290}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/FengPYSLCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/WenZTCX21, author = {Jiayan Wen and Haijiang Zhang and Guangxing Tan and Ning Cai and Guangming Xie}, title = {Distributed Event-Triggered Circle Formation Control for Multiagent Systems with Nonuniform Quantization}, journal = {Complex.}, volume = {2021}, pages = {6684849:1--6684849:13}, year = {2021}, url = {https://doi.org/10.1155/2021/6684849}, doi = {10.1155/2021/6684849}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/WenZTCX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/YanFC21, author = {Bo{-}Han Yan and Yongjun Feng and Ning Cai}, title = {On Time Effect of Preschool Education: Social Analysis Based on {CUCDS}}, journal = {Complex.}, volume = {2021}, pages = {2855542:1--2855542:10}, year = {2021}, url = {https://doi.org/10.1155/2021/2855542}, doi = {10.1155/2021/2855542}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/YanFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/ChenQCDZZ21, author = {Wuhui Chen and Xiaoyu Qiu and Ting Cai and Hong{-}Ning Dai and Zibin Zheng and Yan Zhang}, title = {Deep Reinforcement Learning for Internet of Things: {A} Comprehensive Survey}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {23}, number = {3}, pages = {1659--1692}, year = {2021}, url = {https://doi.org/10.1109/COMST.2021.3073036}, doi = {10.1109/COMST.2021.3073036}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/ChenQCDZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/NingGXZ21, author = {Zhenhu Ning and Bei Gong and Meng Xu and Caiqiu Zhou}, title = {Trusted data collection for Internet of Things}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {10}, year = {2021}, url = {https://doi.org/10.1002/cpe.6166}, doi = {10.1002/CPE.6166}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/NingGXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvm/GuoCLMMH21, author = {Meng{-}Hao Guo and Junxiong Cai and Zheng{-}Ning Liu and Tai{-}Jiang Mu and Ralph R. Martin and Shi{-}Min Hu}, title = {{PCT:} Point cloud transformer}, journal = {Comput. Vis. Media}, volume = {7}, number = {2}, pages = {187--199}, year = {2021}, url = {https://doi.org/10.1007/s41095-021-0229-5}, doi = {10.1007/S41095-021-0229-5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvm/GuoCLMMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/CaiNDZ0XW21, author = {Xiaofeng Cai and Huansheng Ning and Sahraoui Dhelim and Rongjuan Zhou and Tao Zhang and Yang Xu and Yueliang Wan}, title = {Robot and its living space: {A} roadmap for robot development based on the view of living space}, journal = {Digit. Commun. Networks}, volume = {7}, number = {4}, pages = {505--517}, year = {2021}, url = {https://doi.org/10.1016/j.dcan.2020.12.001}, doi = {10.1016/J.DCAN.2020.12.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/CaiNDZ0XW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/CaiLNWX21, author = {Weiwei Cai and Dong Liu and Xin Ning and Chen Wang and Guojie Xie}, title = {Voxel-based three-view hybrid parallel network for 3D object classification}, journal = {Displays}, volume = {69}, pages = {102076}, year = {2021}, url = {https://doi.org/10.1016/j.displa.2021.102076}, doi = {10.1016/J.DISPLA.2021.102076}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/CaiLNWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/CaiZLLN21, author = {Weiwei Cai and Bo Zhai and Yun Liu and Runmin Liu and Xin Ning}, title = {Quadratic polynomial guided fuzzy C-means and dual attention mechanism for medical image segmentation}, journal = {Displays}, volume = {70}, pages = {102106}, year = {2021}, url = {https://doi.org/10.1016/j.displa.2021.102106}, doi = {10.1016/J.DISPLA.2021.102106}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/CaiZLLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/QiNYZLCL21, author = {Shaohua Qi and Xin Ning and Guowei Yang and Liping Zhang and Peng Long and Weiwei Cai and Weijun Li}, title = {Review of multi-view 3D object recognition methods based on deep learning}, journal = {Displays}, volume = {69}, pages = {102053}, year = {2021}, url = {https://doi.org/10.1016/j.displa.2021.102053}, doi = {10.1016/J.DISPLA.2021.102053}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/QiNYZLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ZhangYLNQC21, author = {Xixin Zhang and Yuhang Yang and Zhiyong Li and Xin Ning and Yilang Qin and Weiwei Cai}, title = {An Improved Encoder-Decoder Network Based on Strip Pool Method Applied to Segmentation of Farmland Vacancy Field}, journal = {Entropy}, volume = {23}, number = {4}, pages = {435}, year = {2021}, url = {https://doi.org/10.3390/e23040435}, doi = {10.3390/E23040435}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ZhangYLNQC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/WangYLFLW21, author = {Shuwen Wang and Hao Yan and Caixia Liu and Ning Fan and Xiaoming Liu and Chengguo Wang}, title = {Analysis and prediction of high-speed train wheel wear based on {SIMPACK} and backpropagation neural networks}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {38}, number = {7}, year = {2021}, url = {https://doi.org/10.1111/exsy.12417}, doi = {10.1111/EXSY.12417}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/es/WangYLFLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/XuLLHLGJHZWWMZC21, author = {Zhaowei Xu and Yang Li and Qing Lei and Likun Huang and Dan{-}yun Lai and Shu{-}juan Guo and He{-}wei Jiang and Hongyan Hou and Yun{-}xiao Zheng and Xue{-}ning Wang and Jiaoxiang Wu and Ming{-}liang Ma and Bo Zhang and Hong Chen and Caizheng Yu and Jun{-}biao Xue and Hai{-}nan Zhang and Huan Qi and Siqi Yu and Mingxi Lin and Yandi Zhang and Xiaosong Lin and Zongjie Yao and Huiming Sheng and Ziyong Sun and Feng Wang and Xionglin Fan and Sheng{-}ce Tao}, title = {COVID-ONE-hi: The One-stop Database for COVID-19-specific Humoral Immunity and Clinical Parameters}, journal = {Genom. Proteom. Bioinform.}, volume = {19}, number = {5}, pages = {669--678}, year = {2021}, url = {https://doi.org/10.1016/j.gpb.2021.09.006}, doi = {10.1016/J.GPB.2021.09.006}, timestamp = {Tue, 04 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/XuLLHLGJHZWWMZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/Du0XYC21, author = {Yonghao Du and Ling Wang and Lining Xing and Jungang Yan and Mengsi Cai}, title = {Data-Driven Heuristic Assisted Memetic Algorithm for Efficient Inter-Satellite Link Scheduling in the BeiDou Navigation Satellite System}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {8}, number = {11}, pages = {1800--1816}, year = {2021}, url = {https://doi.org/10.1109/JAS.2021.1004174}, doi = {10.1109/JAS.2021.1004174}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/Du0XYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouFWG21, author = {Fang Zhou and Caixian Fei and Ning Wu and Fen Ge}, title = {Highly efficient architecture of elliptic curve scalar multiplication with fault tolerance over GF(2\({}^{\mbox{m}}\))}, journal = {{IEICE} Electron. Express}, volume = {18}, number = {11}, pages = {20210160}, year = {2021}, url = {https://doi.org/10.1587/elex.18.20210160}, doi = {10.1587/ELEX.18.20210160}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouFWG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/NingZL021, author = {Yang Ning and Yunfeng Zhang and Xuemei Li and Caiming Zhang}, title = {Towards accurate coronary artery calcium segmentation with multi-scale attention mechanism}, journal = {{IET} Image Process.}, volume = {15}, number = {6}, pages = {1359--1370}, year = {2021}, url = {https://doi.org/10.1049/ipr2.12110}, doi = {10.1049/IPR2.12110}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/NingZL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HeWYLCD21, author = {Hu He and Qilin Wang and Xu Yang and Yunlin Lei and Jia Cai and Ning Deng}, title = {A memory neural system built based on spiking neural network}, journal = {Neurocomputing}, volume = {442}, pages = {146--160}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.02.044}, doi = {10.1016/J.NEUCOM.2021.02.044}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/HeWYLCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/CaiY21, author = {Ning Cai and Xuewei Yang}, title = {A Computational Approach to First Passage Problems of Reflected Hyperexponential Jump Diffusion Processes}, journal = {{INFORMS} J. Comput.}, volume = {33}, number = {1}, pages = {216--229}, year = {2021}, url = {https://doi.org/10.1287/ijoc.2020.0980}, doi = {10.1287/IJOC.2020.0980}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informs/CaiY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenNCCYZ21, author = {Yunjie Chen and Ning Cheng and Mao Cai and Chunzheng Cao and Jianwei Yang and Zhichao Zhang}, title = {A spatially constrained asymmetric Gaussian mixture model for image segmentation}, journal = {Inf. Sci.}, volume = {575}, pages = {41--65}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2021.06.034}, doi = {10.1016/J.INS.2021.06.034}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChenNCCYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/WangXCCCWNZZZ21, author = {Liang Wang and Rui Xue and Ning Cai and Pan Chen and Xiaobo Cui and Wei Wu and Miaomiao Niu and Dongliang Zhang and Zhao Zhang and Xiaosong Zhang}, title = {A Dynamic Parallel Meshless Method for the Problems with Large-Scale Movable and Deformable Boundary}, journal = {J. Inf. Sci. Eng.}, volume = {37}, number = {1}, pages = {79--92}, year = {2021}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=178\_2387}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/WangXCCCWNZZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhangC21, author = {Yiwen Zhang and Ning Cai}, title = {Energy efficient EDF-VD-based mixed-criticality scheduling with shared resources}, journal = {J. Syst. Archit.}, volume = {119}, pages = {102246}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2021.102246}, doi = {10.1016/J.SYSARC.2021.102246}, timestamp = {Sun, 26 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ZhangC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsait/HayashiC21, author = {Masahito Hayashi and Ning Cai}, title = {Secure Non-Linear Network Code Over a One-Hop Relay Network}, journal = {{IEEE} J. Sel. Areas Inf. Theory}, volume = {2}, number = {1}, pages = {296--305}, year = {2021}, url = {https://doi.org/10.1109/JSAIT.2021.3053697}, doi = {10.1109/JSAIT.2021.3053697}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsait/HayashiC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/ZhangNWWW21, author = {Yao Zhang and Xin Ning and Zheng Wang and Kexuan Wang and Caisheng Wei}, title = {Super-twisting disturbance observer-based fuzzy adaptive finite-time control for a class of space unmanned systems with time-varying output constraints}, journal = {J. Syst. Control. Eng.}, volume = {235}, number = {9}, year = {2021}, url = {https://doi.org/10.1177/09596518211013178}, doi = {10.1177/09596518211013178}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsce/ZhangNWWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/YouYTMXXC21, author = {Hongfeng You and Long Yu and Shengwei Tian and Xiang Ma and Yan Xing and Ning Xin and Weiwei Cai}, title = {MC-Net: Multiple max-pooling integration module and cross multi-scale deconvolution network}, journal = {Knowl. Based Syst.}, volume = {231}, pages = {107456}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.107456}, doi = {10.1016/J.KNOSYS.2021.107456}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/YouYTMXXC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/XiongXHHBZVRMYH21, author = {Zhaohan Xiong and Qing Xia and Zhiqiang Hu and Ning Huang and Cheng Bian and Yefeng Zheng and Sulaiman Vesal and Nishant Ravikumar and Andreas K. Maier and Xin Yang and Pheng{-}Ann Heng and Dong Ni and Caizi Li and Qianqian Tong and Weixin Si and {\'{E}}lodie Puybareau and Younes Khoudli and Thierry G{\'{e}}raud and Jichao Zhao}, title = {A global benchmark of algorithms for segmenting the left atrium from late gadolinium-enhanced cardiac magnetic resonance imaging}, journal = {Medical Image Anal.}, volume = {67}, pages = {101832}, year = {2021}, url = {https://doi.org/10.1016/j.media.2020.101832}, doi = {10.1016/J.MEDIA.2020.101832}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/XiongXHHBZVRMYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/LiuNCL21, author = {Runmin Liu and Xin Ning and Weiwei Cai and Guangjun Li}, title = {Multiscale Dense Cross-Attention Mechanism with Covariance Pooling for Hyperspectral Image Scene Classification}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {9962057:1--9962057:15}, year = {2021}, url = {https://doi.org/10.1155/2021/9962057}, doi = {10.1155/2021/9962057}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/LiuNCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/RenJCYXZL21, author = {Teng Ren and Zhuo Jiang and Xiangyu Cai and Yongzhuo Yu and Lining Xing and Yuan Zhuang and Zhenping Li}, title = {A dynamic routing optimization problem considering joint delivery of passengers and parcels}, journal = {Neural Comput. Appl.}, volume = {33}, number = {16}, pages = {10323--10334}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-05794-1}, doi = {10.1007/S00521-021-05794-1}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/RenJCYXZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MaZLGLHWBZWLGDZ21, author = {Lingling Ma and Yongguang Zhao and Chuanrong Li and Philippe Goryl and Cheng Liu and Jieying He and Pucai Wang and Marc Bouvet and Ran Zhao and Ning Wang and Yaokai Liu and Caixia Gao and Bart Dils and Chengxin Zhang and Ka Lok Chan and Xinhong Wang}, title = {Calibration and Data Quality Assurance Technical Advancements for Quantitative Remote Sensing in the {DRAGON} 4 Project}, journal = {Remote. Sens.}, volume = {13}, number = {24}, pages = {4996}, year = {2021}, url = {https://doi.org/10.3390/rs13244996}, doi = {10.3390/RS13244996}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MaZLGLHWBZWLGDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhangPMLCF21, author = {Qian Zhang and Ning Pan and Marco Meloni and Dong Lu and Jianguo Cai and Jian Feng}, title = {Reliability analysis of radially retractable roofs with revolute joint clearances}, journal = {Reliab. Eng. Syst. Saf.}, volume = {208}, pages = {107401}, year = {2021}, url = {https://doi.org/10.1016/j.ress.2020.107401}, doi = {10.1016/J.RESS.2020.107401}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/ZhangPMLCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaLYFCZLY21, author = {Wenbo Ma and Yuyang Li and Ning Yang and Li Fan and Yanli Chen and Xuan Zhou and Jiaping Li and Caiqian Yang}, title = {Durability Optimization of Fiber Grating Hydrogen Sensor Based on Residual Stress}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7657}, year = {2021}, url = {https://doi.org/10.3390/s21227657}, doi = {10.3390/S21227657}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MaLYFCZLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHYY21, author = {Jing Yang and Peng Hou and Caiqian Yang and Ning Yang}, title = {Study of a Long-Gauge {FBG} Strain Sensor with Enhanced Sensitivity and Its Application in Structural Monitoring}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3492}, year = {2021}, url = {https://doi.org/10.3390/s21103492}, doi = {10.3390/S21103492}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHYYL21, author = {Jing Yang and Peng Hou and Caiqian Yang and Ning Yang and Kefeng Li}, title = {Damage Identification Method of Box Girder Bridges Based on Distributed Long-Gauge Strain Influence Line under Moving Load}, journal = {Sensors}, volume = {21}, number = {3}, pages = {915}, year = {2021}, url = {https://doi.org/10.3390/s21030915}, doi = {10.3390/S21030915}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHYYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZongBSWHZCKCCLN21, author = {Huixin Zong and Peter Brimblecombe and Li Sun and Peng Wei and Kin Fai Ho and Qingli Zhang and Jing Cai and Haidong Kan and Mengyuan Chu and Wenwei Che and Alexis Kai{-}Hon Lau and Zhi Ning}, title = {Reducing the Influence of Environmental Factors on Performance of a Diffusion-Based Personal Exposure Kit}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4637}, year = {2021}, url = {https://doi.org/10.3390/s21144637}, doi = {10.3390/S21144637}, timestamp = {Sat, 18 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZongBSWHZCKCCLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/LiSLLYXB21, author = {Ning Li and Guang{-}Cai Sun and Boyu Li and Wenkang Liu and Jun Yang and Mengdao Xing and Zheng Bao}, title = {High squint multichannel {SAR} imaging algorithm for high speed maneuvering platforms with small-aperture}, journal = {Signal Process.}, volume = {185}, pages = {108078}, year = {2021}, url = {https://doi.org/10.1016/j.sigpro.2021.108078}, doi = {10.1016/J.SIGPRO.2021.108078}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/LiSLLYXB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/ZhangNLZ21, author = {Xijing Zhang and Yang Ning and Xuemei Li and Caiming Zhang}, title = {Anti-noise {FCM} image segmentation method based on quadratic polynomial}, journal = {Signal Process.}, volume = {178}, pages = {107767}, year = {2021}, url = {https://doi.org/10.1016/j.sigpro.2020.107767}, doi = {10.1016/J.SIGPRO.2020.107767}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/ZhangNLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GaoLWMQLZHZQW21, author = {Caixia Gao and Yaokai Liu and Zhifeng Wu and Lingling Ma and Shi Qiu and Chuanrong Li and Yongguang Zhao and Qijin Han and Enyu Zhao and Yonggang Qian and Ning Wang}, title = {An Approach for Evaluating Multisite Radiometry Calibration of Sentinel-2B/MSI Using RadCalNet Sites}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {8473--8483}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3102271}, doi = {10.1109/JSTARS.2021.3102271}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/GaoLWMQLZHZQW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QuHXMCF21, author = {Xinyuan Qu and Zhihong Huang and Yu Xu and Ning Mao and Gang Cai and Zhen Fang}, title = {Cheetah: An Accurate Assessment Mechanism and a High-Throughput Acceleration Architecture Oriented Toward Resource Efficiency}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {5}, pages = {878--891}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3011650}, doi = {10.1109/TCAD.2020.3011650}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QuHXMCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HayashiC21, author = {Masahito Hayashi and Ning Cai}, title = {Asymptotically Secure Network Code for Active Attacks}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {5}, pages = {3245--3259}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2021.3057112}, doi = {10.1109/TCOMM.2021.3057112}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HayashiC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/CaiZNCC21, author = {Xingjuan Cai and Jiangjiang Zhang and Zhenhu Ning and Zhihua Cui and Jinjun Chen}, title = {A Many-Objective Multistage Optimization-Based Fuzzy Decision-Making Model for Coal Production Prediction}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {29}, number = {12}, pages = {3665--3675}, year = {2021}, url = {https://doi.org/10.1109/TFUZZ.2021.3089230}, doi = {10.1109/TFUZZ.2021.3089230}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/CaiZNCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShenXLWC21, author = {Hongda Shen and Jiacheng Xie and Juanli Li and Xuewen Wang and Ning Cai}, title = {Collision Detection of Virtual Powered Support Groups Under Complex Floors}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--13}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3104412}, doi = {10.1109/TIM.2021.3104412}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShenXLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/HongLY0YLT21, author = {Ning Hong and Lishuai Li and Weiran Yao and Yang Zhao and Cai Yi and Jianhui Lin and Kwok Leung Tsui}, title = {Correction to "High-Speed Rail Suspension System Health Monitoring Using Multi-Location Vibration Data"}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {9}, pages = {6088}, year = {2021}, url = {https://doi.org/10.1109/TITS.2021.3092455}, doi = {10.1109/TITS.2021.3092455}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/HongLY0YLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/FanYYCXW21, author = {Xiaoli Fan and Ye Yan and Erwei Yin and Mengjin Cai and Liang Xie and Ningci Wang}, editor = {Tareq Z. Ahram and Christianne Soares Falc{\~{a}}o}, title = {Evaluation of {VR/AR} Visual Comfort Based on Color Perception}, booktitle = {Advances in Usability, User Experience, Wearable and Assistive Technology - Proceedings of the {AHFE} 2021 Virtual Conferences on Usability and User Experience, Human Factors and Wearable Technologies, Human Factors in Virtual Environments and Game Design, and Human Factors and Assistive Technology, July 25-29, 2021, {USA}}, series = {Lecture Notes in Networks and Systems}, volume = {275}, pages = {108--119}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80091-8\_14}, doi = {10.1007/978-3-030-80091-8\_14}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/FanYYCXW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/JiangYC0Z21, author = {Tao Jiang and Xinlei Ye and Ning Cheng and Zheng Li and Caiping Zhang}, title = {Neural Network-based Optimization of Rescue Performance of Nitrogen Explosion Type Hydraulic Breaker}, booktitle = {3rd International Conference on Artificial Intelligence and Advanced Manufacture, {AIAM} 2021, Manchester, United Kingdom, October 23-25, 2021}, pages = {188--192}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIAM54119.2021.00047}, doi = {10.1109/AIAM54119.2021.00047}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiam/JiangYC0Z21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GaoCNHL21, author = {Shuai Gao and Chang Cai and Bingxu Ning and Ze He and Jie Liu}, editor = {Fan Ye and Ting{-}Ao Tang}, title = {Characterization and Classification of Heavy Ion Induced Failures in FPGA-based Logical Circuits}, booktitle = {14th {IEEE} International Conference on ASIC, {ASICON} 2021, Kunming, China, October 26-29, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASICON52560.2021.9620393}, doi = {10.1109/ASICON52560.2021.9620393}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/GaoCNHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/NingZXGL021, author = {Yang Ning and Shouyi Zhang and Xiaoming Xi and Jie Guo and Peide Liu and Caiming Zhang}, editor = {Yufei Huang and Lukasz A. Kurgan and Feng Luo and Xiaohua Hu and Yidong Chen and Edward R. Dougherty and Andrzej Kloczkowski and Yaohang Li}, title = {{CAC-EMVT:} Efficient Coronary Artery Calcium Segmentation with Multi-scale Vision Transformers}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2021, Houston, TX, USA, December 9-12, 2021}, pages = {1462--1467}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BIBM52615.2021.9669337}, doi = {10.1109/BIBM52615.2021.9669337}, timestamp = {Tue, 18 Jan 2022 09:39:01 +0100}, biburl = {https://dblp.org/rec/conf/bibm/NingZXGL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caibda/ZhangLZL21, author = {Xiaxia Zhang and Ning Li and Ruixin Zhang and Guoqiang Li}, title = {Pedestrian Re-identification Method Based on Bilateral Feature Extraction Network and Re-ranking}, booktitle = {2021 International Conference on Artificial Intelligence, Big Data and Algorithms, {CAIBDA} 2021, Xi'an, China, May 28-30, 2021}, pages = {191--197}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CAIBDA53561.2021.00047}, doi = {10.1109/CAIBDA53561.2021.00047}, timestamp = {Thu, 07 Dec 2023 13:34:38 +0100}, biburl = {https://dblp.org/rec/conf/caibda/ZhangLZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/CaiCG21, author = {Ninghe M. Cai and Polina Cherepanova and Netta Gurari}, title = {Impact of Abducting at the Shoulder on Perceiving Torques about the Elbow}, booktitle = {{IEEE} World Haptics Conference, {WHC} 2021, Montreal, QC, Canada, July 6-9, 2021}, pages = {625--630}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WHC49131.2021.9517162}, doi = {10.1109/WHC49131.2021.9517162}, timestamp = {Thu, 02 Sep 2021 17:52:58 +0200}, biburl = {https://dblp.org/rec/conf/haptics/CaiCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/ChenGWCCZ21, author = {Ningxin Chen and Hao Guan and Ying Wang and Ruxian Chen and Ruiqi Cai and Chuanjun Zhang}, title = {A Comparative Study of Solving the Surface Area of Solid Figures in Dynamic Geometry System}, booktitle = {16th International Conference on Computer Science {\&} Education, {ICCSE} 2021, Lancaster, United Kingdom, August 17-21, 2021}, pages = {777--782}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCSE51940.2021.9569621}, doi = {10.1109/ICCSE51940.2021.9569621}, timestamp = {Wed, 03 Nov 2021 16:14:37 +0100}, biburl = {https://dblp.org/rec/conf/iccse2/ChenGWCCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ZhouLFYC21, author = {Jian Zhou and Shenglan Liu and Lin Feng and Jie Yang and Ning Cai}, editor = {Teddy Mantoro and Minho Lee and Media Anugerah Ayu and Kok Wai Wong and Achmad Nizar Hidayanto}, title = {Weighted P-Rank: a Weighted Article Ranking Algorithm Based on a Heterogeneous Scholarly Network}, booktitle = {Neural Information Processing - 28th International Conference, {ICONIP} 2021, Sanur, Bali, Indonesia, December 8-12, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13108}, pages = {537--548}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92185-9\_44}, doi = {10.1007/978-3-030-92185-9\_44}, timestamp = {Tue, 14 Dec 2021 17:56:34 +0100}, biburl = {https://dblp.org/rec/conf/iconip/ZhouLFYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LiLLLCW21, author = {Jiayi Li and Boyao Li and Tao Lu and Ning Lu and Yinghao Cai and Shuo Wang}, title = {{DIMSAN:} Fast Exploration with the Synergy between Density-based Intrinsic Motivation and Self-adaptive Action Noise}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {6422--6428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561740}, doi = {10.1109/ICRA48506.2021.9561740}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/LiLLLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LiLY0S21, author = {Ning Li and Caixia Lu and XueWei Yu and Xueyan Liu and Bo Su}, title = {Real-time 3D-Lidar, {MMW} Radar and {GPS/IMU} fusion based vehicle detection and tracking in unstructured environment}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {13339--13345}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9562063}, doi = {10.1109/ICRA48506.2021.9562063}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LiLY0S21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiXSP21, author = {Ning Li and Mengdao Xing and Guang{-}Cai Sun and Vito Pascazio}, title = {Ship Imaging based on Azimuth Ambiguity Resolving for High-Speed Maneuvering Platforms Sar with Small-Aperture}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {4588--4591}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553701}, doi = {10.1109/IGARSS47720.2021.9553701}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiXSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiuMWLZWQGQ21, author = {Yaokai Liu and Lingling Ma and Renfei Wang and Wan Li and Yongguang Zhao and Ning Wang and Yonggang Qian and Caixia Gao and Shi Qiu}, title = {Automatic Radiometric Calibration of Gaofen-1/WFV Cameras and Cross Validation with Sentinel-2/MSI}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7756--7758}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554566}, doi = {10.1109/IGARSS47720.2021.9554566}, timestamp = {Sun, 27 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiuMWLZWQGQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/CaiYHSWXHDMHG21, author = {Zinuo Cai and Jianyong Yuan and Yang Hua and Tao Song and Hao Wang and Zhengui Xue and Ningxin Hu and Jonathan Ding and Ruhui Ma and Mohammad Reza Haghighat and Haibing Guan}, editor = {Zhi{-}Hua Zhou}, title = {Themis: {A} Fair Evaluation Platform for Computer Vision Competitions}, booktitle = {Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, {IJCAI} 2021, Virtual Event / Montreal, Canada, 19-27 August 2021}, pages = {599--605}, publisher = {ijcai.org}, year = {2021}, url = {https://doi.org/10.24963/ijcai.2021/83}, doi = {10.24963/IJCAI.2021/83}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/CaiYHSWXHDMHG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangLJCYZ21, author = {Jialiang Tang and Mingjin Liu and Ning Jiang and Huan Cai and Wenxin Yu and Jinjia Zhou}, title = {Data-Free Network Pruning for Model Compression}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401109}, doi = {10.1109/ISCAS51556.2021.9401109}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangLJCYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/Hayashi021, author = {Masahito Hayashi and Ning Cai}, title = {Universal classical-quantum multiple access channel coding}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2021, Melbourne, Australia, July 12-20, 2021}, pages = {402--407}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISIT45174.2021.9517859}, doi = {10.1109/ISIT45174.2021.9517859}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/Hayashi021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YuanLMCKSFLZPLR21, author = {Geng Yuan and Zhiheng Liao and Xiaolong Ma and Yuxuan Cai and Zhenglun Kong and Xuan Shen and Jingyan Fu and Zhengang Li and Chengming Zhang and Hongwu Peng and Ning Liu and Ao Ren and Jinhui Wang and Yanzhi Wang}, title = {Improving {DNN} Fault Tolerance using Weight Pruning and Differential Crossbar Mapping for ReRAM-based Edge {AI}}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {135--141}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424332}, doi = {10.1109/ISQED51717.2021.9424332}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/YuanLMCKSFLZPLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/DengLSPPDZ21, author = {Ganyu Deng and Jianwen Luo and Caiming Sun and Dongwei Pan and Longyao Peng and Ning Ding and Aidong Zhang}, title = {Vision-based Navigation for a Small-scale Quadruped Robot Pegasus-Mini}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2021, Sanya, China, December 27-31, 2021}, pages = {893--900}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ROBIO54168.2021.9739369}, doi = {10.1109/ROBIO54168.2021.9739369}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/DengLSPPDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/WangGDL21, author = {Qian Wang and Cai Guo and Hong{-}Ning Dai and Ping Li}, editor = {Shuzo John Shiota and Ayumi Kimura and Wan{-}Chun Alex Ma}, title = {Self-Stylized Neural Painter}, booktitle = {{SIGGRAPH} Asia 2021 Posters, Tokyo, Japan, December 14-17, 2021}, pages = {9:1--9:2}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3476124.3488617}, doi = {10.1145/3476124.3488617}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/WangGDL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LuoCLMPSPZ21, author = {Tianren Luo and Ning Cai and Zheng Li and Jinda Miao and Zhipeng Pan and Yuze Shen and Zhigeng Pan and Mingmin Zhang}, title = {MagicChem: {A} Multi-modal Mixed Reality System Based on Needs Theory for Chemical Education}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2021, Lisbon, Portugal, March 27 - April 1, 2021}, pages = {544--545}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VRW52623.2021.00154}, doi = {10.1109/VRW52623.2021.00154}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/LuoCLMPSPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-14795, author = {Yi Cai and Xuefei Ning and Huazhong Yang and Yu Wang}, title = {Ensemble-in-One: Learning Ensemble within Random Gated Networks for Enhanced Adversarial Robustness}, journal = {CoRR}, volume = {abs/2103.14795}, year = {2021}, url = {https://arxiv.org/abs/2103.14795}, eprinttype = {arXiv}, eprint = {2103.14795}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-14795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07624, author = {Diego Monteiro and Xian Wang and Hai{-}Ning Liang and Yiyu Cai}, title = {Spatial Knowledge Acquisition in Virtual and Physical Reality: {A} Comparative Evaluation}, journal = {CoRR}, volume = {abs/2104.07624}, year = {2021}, url = {https://arxiv.org/abs/2104.07624}, eprinttype = {arXiv}, eprint = {2104.07624}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07624.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-02285, author = {Shi{-}Min Hu and Zheng{-}Ning Liu and Meng{-}Hao Guo and Junxiong Cai and Jiahui Huang and Tai{-}Jiang Mu and Ralph R. Martin}, title = {Subdivision-Based Mesh Convolution Networks}, journal = {CoRR}, volume = {abs/2106.02285}, year = {2021}, url = {https://arxiv.org/abs/2106.02285}, eprinttype = {arXiv}, eprint = {2106.02285}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-02285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09166, author = {Geng Yuan and Zhiheng Liao and Xiaolong Ma and Yuxuan Cai and Zhenglun Kong and Xuan Shen and Jingyan Fu and Zhengang Li and Chengming Zhang and Hongwu Peng and Ning Liu and Ao Ren and Jinhui Wang and Yanzhi Wang}, title = {Improving {DNN} Fault Tolerance using Weight Pruning and Differential Crossbar Mapping for ReRAM-based Edge {AI}}, journal = {CoRR}, volume = {abs/2106.09166}, year = {2021}, url = {https://arxiv.org/abs/2106.09166}, eprinttype = {arXiv}, eprint = {2106.09166}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-12479, author = {Hongwu Zhu and Dong Wang and Ganyu Deng and Nathan Boyd and Ziyi Zhou and Lecheng Ruan and Caiming Sun and Aidong Zhang and Ning Ding and Ye Zhao and Jianwen Luo}, title = {Terrain-perception-free Quadrupedal Spinning Locomotion on Versatile Terrains: Modeling, Analysis, and Experimental Validation}, journal = {CoRR}, volume = {abs/2107.12479}, year = {2021}, url = {https://arxiv.org/abs/2107.12479}, eprinttype = {arXiv}, eprint = {2107.12479}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-12479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-13717, author = {Juntong Su and Bingchen Jin and Shusheng Ye and Lecheng Ruan and Caiming Sun and Ning Ding and Yili Fu and Jianwen Luo}, title = {Maximize the Foot Clearance for a Hopping Robotic Leg Considering Motor Saturation}, journal = {CoRR}, volume = {abs/2107.13717}, year = {2021}, url = {https://arxiv.org/abs/2107.13717}, eprinttype = {arXiv}, eprint = {2107.13717}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-13717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-04426, author = {Ganyu Deng and Jianwen Luo and Caiming Sun and Dongwei Pan and Longyao Peng and Ning Ding and Aidong Zhang}, title = {Vision-based Navigation for a Small-scale Quadruped Robot Pegasus-Mini}, journal = {CoRR}, volume = {abs/2110.04426}, year = {2021}, url = {https://arxiv.org/abs/2110.04426}, eprinttype = {arXiv}, eprint = {2110.04426}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-04426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12385, author = {Yizhe Zhang and Shubhankar Borse and Hong Cai and Ying Wang and Ning Bi and Xiaoyun Jiang and Fatih Porikli}, title = {Perceptual Consistency in Video Segmentation}, journal = {CoRR}, volume = {abs/2110.12385}, year = {2021}, url = {https://arxiv.org/abs/2110.12385}, eprinttype = {arXiv}, eprint = {2110.12385}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12385.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14209, author = {Haoran Deng and Bo Yang and Jiaxin Cao and Chao Ning and Cailian Chen and Xinping Guan}, title = {Distributionally Robust Day-ahead Scheduling for Power-traffic Network Considering Multiple Uncertainties under a Potential Game Framework}, journal = {CoRR}, volume = {abs/2110.14209}, year = {2021}, url = {https://arxiv.org/abs/2110.14209}, eprinttype = {arXiv}, eprint = {2110.14209}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-06099, author = {Li Liu and Qian Wang and Zong{-}Yuan Tan and Ning Cai}, title = {On Novel Peer Review System for Academic Journals: Experimental Study Based on Social Computing}, journal = {CoRR}, volume = {abs/2111.06099}, year = {2021}, url = {https://arxiv.org/abs/2111.06099}, eprinttype = {arXiv}, eprint = {2111.06099}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-06099.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCZNCY20, author = {Yunjie Chen and Mao Cai and Xinze Zhou and Cheng Ning and Chunzheng Cao and Jianwei Yang}, title = {A Robust Spatial Information-Theoretic {GMM} Algorithm for Bias Field Estimation and Brain {MRI} Segmentation}, journal = {{IEEE} Access}, volume = {8}, pages = {89617--89629}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2993540}, doi = {10.1109/ACCESS.2020.2993540}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCZNCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiWWWCLCSWZL20, author = {Zhigang Li and Gang Wang and Juan Wang and Jialin Wang and Di Cai and Yingqi Li and Changxin Cai and Xiaochuan Sun and Ning Wang and Jiabo Zhang and Huixin Liu}, title = {Smoothed Deep Neural Networks for Marine Sensor Data Prediction}, journal = {{IEEE} Access}, volume = {8}, pages = {22802--22811}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2970202}, doi = {10.1109/ACCESS.2020.2970202}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiWWWCLCSWZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangKYZLC20, author = {Wei{-}Cheng Wang and Lei Kou and Quande Yuan and Jia{-}ning Zhou and Chuang Liu and Guowei Cai}, title = {An Intelligent Fault Diagnosis Method for Open-Circuit Faults in Power-Electronics Energy Conversion System}, journal = {{IEEE} Access}, volume = {8}, pages = {221039--221050}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3043796}, doi = {10.1109/ACCESS.2020.3043796}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangKYZLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZengNHGCL20, author = {Meng Zeng and Bin Ning and Chunyang Hu and Qiong Gu and Yaoming Cai and Shuijia Li}, title = {Hyper-Graph Regularized Kernel Subspace Clustering for Band Selection of Hyperspectral Image}, journal = {{IEEE} Access}, volume = {8}, pages = {135920--135932}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3010519}, doi = {10.1109/ACCESS.2020.3010519}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZengNHGCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhuYK20, author = {Ning Zhu and Zekuan Yu and Caixia Kou}, title = {A New Deep Neural Architecture Search Pipeline for Face Recognition}, journal = {{IEEE} Access}, volume = {8}, pages = {91303--91310}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2994207}, doi = {10.1109/ACCESS.2020.2994207}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhuYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiedu/HutchinsBZSLM20, author = {Nicole M. Hutchins and Gautam Biswas and Ningyu Zhang and Caitlin Snyder and {\'{A}}kos L{\'{e}}deczi and Mikl{\'{o}}s Mar{\'{o}}ti}, title = {Domain-Specific Modeling Languages in Computer-Based Learning Environments: a Systematic Approach to Support Science Learning through Computational Modeling}, journal = {Int. J. Artif. Intell. Educ.}, volume = {30}, number = {4}, pages = {537--580}, year = {2020}, url = {https://doi.org/10.1007/s40593-020-00209-z}, doi = {10.1007/S40593-020-00209-Z}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aiedu/HutchinsBZSLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/SimopoulosNZLWL20, author = {Caitlin M. A. Simopoulos and Zhibin Ning and Xu Zhang and Leyuan Li and Krystal Walker and Mathieu Lavall{\'{e}}e{-}Adam and Daniel Figeys}, title = {pepFunk: a tool for peptide-centric functional analysis of metaproteomic human gut microbiome studies}, journal = {Bioinform.}, volume = {36}, number = {14}, pages = {4171--4179}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btaa289}, doi = {10.1093/BIOINFORMATICS/BTAA289}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/SimopoulosNZLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/RamosFWRRJMECSS20, author = {Joao G. Ramos and Alexander Fyrdahl and Bj{\"{o}}rn Wieslander and Gert Reiter and Ursula Reiter and Ning Jin and Eva Maret and Maria Eriksson and Kenneth Caidahl and Peder S{\"{o}}rensson and Andreas Sigfridsson and Martin Ugander}, title = {Cardiovascular magnetic resonance 4D flow analysis has a higher diagnostic yield than Doppler echocardiography for detecting increased pulmonary artery pressure}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {28}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00428-9}, doi = {10.1186/S12880-020-00428-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/RamosFWRRJMECSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ShanCCHZ20, author = {Xiaoqin Shan and Ningbo Cui and Huanjie Cai and Xiaotao Hu and Lu Zhao}, title = {Estimation of summer maize evapotranspiration using {MARS} model in the semi-arid region of northwest China}, journal = {Comput. Electron. Agric.}, volume = {174}, pages = {105495}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105495}, doi = {10.1016/J.COMPAG.2020.105495}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ShanCCHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/CaoYYLFCSNP20, author = {Ping Cao and Bailu Ye and Linghui Yang and Fei Lu and Luping Fang and Guolong Cai and Qun Su and Gangmin Ning and Qing Pan}, title = {Preprocessing Unevenly Sampled {RR} Interval Signals to Enhance Estimation of Heart Rate Deceleration and Acceleration Capacities in Discriminating Chronic Heart Failure Patients from Healthy Controls}, journal = {Comput. Math. Methods Medicine}, volume = {2020}, pages = {9763826:1--9763826:10}, year = {2020}, url = {https://doi.org/10.1155/2020/9763826}, doi = {10.1155/2020/9763826}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/CaoYYLFCSNP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LiCHLLC20, author = {Xiong{-}lve Li and Zhiping Cai and Bingnan Hou and Ning Liu and Fang Liu and Jieren Cheng}, title = {ProbInfer: Probability-based {AS} path inference from multigraph perspective}, journal = {Comput. Networks}, volume = {180}, pages = {107377}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2020.107377}, doi = {10.1016/J.COMNET.2020.107377}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LiCHLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhouFCY20, author = {Jian Zhou and Lin Feng and Ning Cai and Jie Yang}, title = {Modeling and Simulation Analysis of Journal Impact Factor Dynamics Based on Submission and Citation Rules}, journal = {Complex.}, volume = {2020}, pages = {3154619:1--3154619:17}, year = {2020}, url = {https://doi.org/10.1155/2020/3154619}, doi = {10.1155/2020/3154619}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ZhouFCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/CaiCCCW20, author = {Likun Cai and Yanjie Chen and Ning Cai and Wei Cheng and Hao Wang}, title = {Utilizing Amari-Alpha Divergence to Stabilize the Training of Generative Adversarial Networks}, journal = {Entropy}, volume = {22}, number = {4}, pages = {410}, year = {2020}, url = {https://doi.org/10.3390/e22040410}, doi = {10.3390/E22040410}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/CaiCCCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/HayashiOKC20, author = {Masahito Hayashi and Masaki Owari and Go Kato and Ning Cai}, title = {Reduction Theorem for Secrecy over Linear Network Code for Active Attacks}, journal = {Entropy}, volume = {22}, number = {9}, pages = {1053}, year = {2020}, url = {https://doi.org/10.3390/e22091053}, doi = {10.3390/E22091053}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/HayashiOKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LiC20, author = {Haobo Li and Ning Cai}, title = {Computing Classical-Quantum Channel Capacity Using Blahut-Arimoto Type Algorithm: {A} Theoretical and Numerical Analysis}, journal = {Entropy}, volume = {22}, number = {2}, pages = {222}, year = {2020}, url = {https://doi.org/10.3390/e22020222}, doi = {10.3390/E22020222}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LiC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/NingHZZ20, author = {Yang Ning and Zhongyi Han and Li Zhong and Caiming Zhang}, title = {{DRAN:} Deep recurrent adversarial network for automated pancreas segmentation}, journal = {{IET} Image Process.}, volume = {14}, number = {6}, pages = {1091--1100}, year = {2020}, url = {https://doi.org/10.1049/iet-ipr.2019.0399}, doi = {10.1049/IET-IPR.2019.0399}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/NingHZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iiset/SolowNZC20, author = {Daniel Solow and Jie Ning and Jieying Zhu and Yishen Cai}, title = {Improved heuristics for finding balanced teams}, journal = {{IISE} Trans.}, volume = {52}, number = {12}, pages = {1312--1323}, year = {2020}, url = {https://doi.org/10.1080/24725854.2020.1732506}, doi = {10.1080/24725854.2020.1732506}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iiset/SolowNZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/CaiZ20, author = {Ning Cai and Wei Zhang}, title = {Regime Classification and Stock Loan Valuation}, journal = {Oper. Res.}, volume = {68}, number = {4}, pages = {965--983}, year = {2020}, url = {https://doi.org/10.1287/opre.2019.1934}, doi = {10.1287/OPRE.2019.1934}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/CaiZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiCWSLZW20, author = {Yingqi Li and Di Cai and Jialin Wang and Xiaochuan Sun and Zhigang Li and Haijun Zhang and Ning Wang}, title = {Recurrence Behavior Statistics of Blast Furnace Gas Sensor Data in Industrial Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {6}, pages = {5666--5676}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2980617}, doi = {10.1109/JIOT.2020.2980617}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LiCWSLZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/NingSCX20, author = {Fangwei Ning and Yan Shi and Maolin Cai and Weiqing Xu}, title = {Various realization methods of machine-part classification based on deep learning}, journal = {J. Intell. Manuf.}, volume = {31}, number = {8}, pages = {2019--2032}, year = {2020}, url = {https://doi.org/10.1007/s10845-020-01550-9}, doi = {10.1007/S10845-020-01550-9}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jim/NingSCX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/TanXCW20, author = {Xu Tan and Lining Xing and Zhaoquan Cai and Gaige Wang}, title = {Analysis of production cycle-time distribution with a big-data approach}, journal = {J. Intell. Manuf.}, volume = {31}, number = {8}, pages = {1889--1897}, year = {2020}, url = {https://doi.org/10.1007/s10845-020-01544-7}, doi = {10.1007/S10845-020-01544-7}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/TanXCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/ZhuZWC20, author = {Lixia Zhu and Zeqiang Zhang and Yi Wang and Ning Cai}, title = {On the end-of-life state oriented multi-objective disassembly line balancing problem}, journal = {J. Intell. Manuf.}, volume = {31}, number = {6}, pages = {1403--1428}, year = {2020}, url = {https://doi.org/10.1007/s10845-019-01519-3}, doi = {10.1007/S10845-019-01519-3}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/ZhuZWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LiBSXB20, author = {Ning Li and Bowen Bie and Guangcai Sun and Mengdao Xing and Zheng Bao}, title = {A High-Squint {TOPS} {SAR} Imaging Algorithm for Maneuvering Platforms Based on Joint Time-Doppler Deramp Without Subaperture}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {17}, number = {11}, pages = {1899--1903}, year = {2020}, url = {https://doi.org/10.1109/LGRS.2019.2959339}, doi = {10.1109/LGRS.2019.2959339}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/LiBSXB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaoLLMWQLZHZQW20, author = {Caixia Gao and Yaokai Liu and Jinru Liu and Lingling Ma and Zhifeng Wu and Shi Qiu and Chuanrong Li and Yongguang Zhao and Qijin Han and Enyu Zhao and Yonggang Qian and Ning Wang}, title = {Determination of the Key Comparison Reference Value from Multiple Field Calibration of Sentinel-2B/MSI over the Baotou Site}, journal = {Remote. Sens.}, volume = {12}, number = {15}, pages = {2404}, year = {2020}, url = {https://doi.org/10.3390/rs12152404}, doi = {10.3390/RS12152404}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GaoLLMWQLZHZQW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MaZWDWLLWGLT20, author = {Lingling Ma and Yongguang Zhao and Emma R. Woolliams and Caihong Dai and Ning Wang and Yaokai Liu and Ling Li and Xinhong Wang and Caixia Gao and Chuanrong Li and Lingli Tang}, title = {Uncertainty Analysis for RadCalNet Instrumented Test Sites Using the Baotou Sites {BTCN} and {BSCN} as Examples}, journal = {Remote. Sens.}, volume = {12}, number = {11}, pages = {1696}, year = {2020}, url = {https://doi.org/10.3390/rs12111696}, doi = {10.3390/RS12111696}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MaZWDWLLWGLT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/0001ZL0Y20, author = {Lin Feng and Jian Zhou and Sheng{-}lan Liu and Ning Cai and Jie Yang}, title = {Correction to: Analysis of journal evaluation indicators: an experimental study based on unsupervised Laplacian score}, journal = {Scientometrics}, volume = {124}, number = {3}, pages = {2739--2740}, year = {2020}, url = {https://doi.org/10.1007/s11192-020-03504-7}, doi = {10.1007/S11192-020-03504-7}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/0001ZL0Y20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/FengZLCY20, author = {Lin Feng and Jian Zhou and Shenglan Liu and Ning Cai and Jie Yang}, title = {Analysis of journal evaluation indicators: an experimental study based on unsupervised Laplacian score}, journal = {Scientometrics}, volume = {124}, number = {1}, pages = {233--254}, year = {2020}, url = {https://doi.org/10.1007/s11192-020-03422-8}, doi = {10.1007/S11192-020-03422-8}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/FengZLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/WangY20, author = {Gaocai Wang and Ning Yu}, title = {Risk Situation Assessment Model Based on Interdomain Interaction in Cloud Computing Environment}, journal = {Secur. Commun. Networks}, volume = {2020}, pages = {7816925:1--7816925:12}, year = {2020}, url = {https://doi.org/10.1155/2020/7816925}, doi = {10.1155/2020/7816925}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scn/WangY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/FuLZZWGCSWZ20, author = {Yu Fu and Mantian Li and Xinyi Zhang and Sen Zhang and Chunyu Wei and Wei Guo and Hegao Cai and Lining Sun and Pengfei Wang and Fusheng Zha}, title = {Spatial Topological Relation Analysis for Cluttered Scenes}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7181}, year = {2020}, url = {https://doi.org/10.3390/s20247181}, doi = {10.3390/S20247181}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/FuLZZWGCSWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MeiHWZLCDWPT20, author = {Han Mei and Pengfei Han and Yinan Wang and Ning Zeng and Di Liu and Qixiang Cai and Zhaoze Deng and Yinghong Wang and Yuepeng Pan and Xiao Tang}, title = {Field Evaluation of Low-Cost Particulate Matter Sensors in Beijing}, journal = {Sensors}, volume = {20}, number = {16}, pages = {4381}, year = {2020}, url = {https://doi.org/10.3390/s20164381}, doi = {10.3390/S20164381}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MeiHWZLCDWPT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GaoLQLMHLZZQW20, author = {Caixia Gao and Yaokai Liu and Shi Qiu and Chuanrong Li and Lingling Ma and Qijin Han and Jingru Liu and Enyu Zhao and Yongguang Zhao and Yonggang Qian and Ning Wang}, title = {Radiometric Cross-Calibration of {GF-4/VNIR} Sensor With Landsat8/OLI, Sentinel-2/MSI, and Terra/MODIS for Monitoring Its Degradation}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {2337--2350}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2020.2991214}, doi = {10.1109/JSTARS.2020.2991214}, timestamp = {Sun, 27 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/GaoLQLMHLZZQW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/QianTLWLWDLMGQ20, author = {Yonggang Qian and Lingli Tang and Chuanrong Li and Ning Wang and Kun Li and Hua Wu and Sibo Duan and Yaokai Liu and Lingling Ma and Caixia Gao and Shi Qiu}, title = {Retrieval of Surface Temperature and Emissivity From Ground-Based Time-Series Thermal Infrared Data}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {284--292}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2019.2959794}, doi = {10.1109/JSTARS.2019.2959794}, timestamp = {Sun, 27 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/QianTLWLWDLMGQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/WangWCCZX20, author = {Yuan Wang and Ling Wang and Guangcai Chen and Zhaoquan Cai and Yongquan Zhou and Lining Xing}, title = {An Improved Ant Colony Optimization algorithm to the Periodic Vehicle Routing Problem with Time Window and Service Choice}, journal = {Swarm Evol. Comput.}, volume = {55}, pages = {100675}, year = {2020}, url = {https://doi.org/10.1016/j.swevo.2020.100675}, doi = {10.1016/J.SWEVO.2020.100675}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/WangWCCZX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/CaiMMZZLLZGS20, author = {Qingdong Cai and Xiaoli Mai and Wanling Miao and Xin Zhou and Yu Zhang and Xuan Liu and Wei Lu and Jianqiong Zhang and Ning Gu and Jianfei Sun}, title = {Specific, Non-Invasive, and Magnetically Directed Targeting of Magnetic Erythrocytes in Blood Vessels of Mice}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {8}, pages = {2276--2285}, year = {2020}, url = {https://doi.org/10.1109/TBME.2019.2958683}, doi = {10.1109/TBME.2019.2958683}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/CaiMMZZLLZGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiH20, author = {Ning Cai and Masahito Hayashi}, title = {Secure Network Code for Adaptive and Active Attacks With No-Randomness in Intermediate Nodes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {66}, number = {3}, pages = {1428--1448}, year = {2020}, url = {https://doi.org/10.1109/TIT.2019.2957078}, doi = {10.1109/TIT.2019.2957078}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/CaiH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiH20a, author = {Ning Cai and Masahito Hayashi}, title = {Corrections to "Secure Network Code for Adaptive and Active Attacks With No-Randomness in Intermediate Nodes"}, journal = {{IEEE} Trans. Inf. Theory}, volume = {66}, number = {6}, pages = {3954}, year = {2020}, url = {https://doi.org/10.1109/TIT.2020.2979957}, doi = {10.1109/TIT.2020.2979957}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/CaiH20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/HongLYZYLT20, author = {Ning Hong and Lishuai Li and Weiran Yao and Yang Zhao and Cai Yi and Jianhui Lin and Kwok Leung Tsui}, title = {High-Speed Rail Suspension System Health Monitoring Using Multi-Location Vibration Data}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {21}, number = {7}, pages = {2943--2955}, year = {2020}, url = {https://doi.org/10.1109/TITS.2019.2921785}, doi = {10.1109/TITS.2019.2921785}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/HongLYZYLT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/NingCXW20, author = {Ke Ning and Ming Cai and Di Xie and Fei Wu}, title = {An Attentive Sequence to Sequence Translator for Localizing Video Clips by Natural Language}, journal = {{IEEE} Trans. Multim.}, volume = {22}, number = {9}, pages = {2434--2443}, year = {2020}, url = {https://doi.org/10.1109/TMM.2019.2957854}, doi = {10.1109/TMM.2019.2957854}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/NingCXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/LuoZPLCMCX20, author = {Tianren Luo and Mingmin Zhang and Zhigeng Pan and Zheng Li and Ning Cai and Jinda Miao and Youbin Chen and Mingxi Xu}, title = {Dream-Experiment: {A} {MR} User Interface with Natural Multi-channel Interaction for Virtual Experiments}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {26}, number = {12}, pages = {3524--3534}, year = {2020}, url = {https://doi.org/10.1109/TVCG.2020.3023602}, doi = {10.1109/TVCG.2020.3023602}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/LuoZPLCMCX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/DongLGCN20, author = {Hairong Dong and Xue Lin and Shigen Gao and Baigen Cai and Bin Ning}, title = {Neural Networks-Based Sliding Mode Fault-Tolerant Control for High-Speed Trains With Bounded Parameters and Actuator Faults}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {2}, pages = {1353--1362}, year = {2020}, url = {https://doi.org/10.1109/TVT.2019.2961409}, doi = {10.1109/TVT.2019.2961409}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/DongLGCN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LiHCLCP20, author = {Zheng Li and Ying Huang and Defang Chen and Tianren Luo and Ning Cai and Zhigeng Pan}, editor = {Hiroshi Ishikawa and Cheng{-}Lin Liu and Tom{\'{a}}s Pajdla and Jianbo Shi}, title = {Online Knowledge Distillation via Multi-branch Diversity Enhancement}, booktitle = {Computer Vision - {ACCV} 2020 - 15th Asian Conference on Computer Vision, Kyoto, Japan, November 30 - December 4, 2020, Revised Selected Papers, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12625}, pages = {318--333}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-69538-5\_20}, doi = {10.1007/978-3-030-69538-5\_20}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/LiHCLCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/YettHSZMB20, author = {Bernard Yett and Nicole Hutchins and Caitlin Snyder and Ningyu Zhang and Shitanshu Mishra and Gautam Biswas}, editor = {Ig Ibert Bittencourt and Mutlu Cukurova and Kasia Muldner and Rose Luckin and Eva Mill{\'{a}}n}, title = {Evaluating Student Learning in a Synchronous, Collaborative Programming Environment Through Log-Based Analysis of Projects}, booktitle = {Artificial Intelligence in Education - 21st International Conference, {AIED} 2020, Ifrane, Morocco, July 6-10, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12164}, pages = {352--357}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-52240-7\_64}, doi = {10.1007/978-3-030-52240-7\_64}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aied/YettHSZMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/CaiHXHLX020, author = {Yang Cai and Hanjiang Hong and Yin Xu and Dazhi He and Ning Liu and Wei Xie and Wenjun Zhang}, title = {Design of Circular Structured One-dimensional Non-uniform Constellations with Better Performace}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2020, Paris, France, October 27-29, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BMSB49480.2020.9379519}, doi = {10.1109/BMSB49480.2020.9379519}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/CaiHXHLX020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/CaiXWLZZ20, author = {Zhuotong Cai and Jingmin Xin and Jiayi Wu and Sijie Liu and Weiliang Zuo and Nanning Zheng}, title = {Triple Multi-scale Adversarial Learning with Self-attention and Quality Loss for Unpaired Fundus Fluorescein Angiography Synthesis}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {1592--1595}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176302}, doi = {10.1109/EMBC44109.2020.9176302}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/CaiXWLZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/CaiZY020, author = {Shubin Cai and Huaifeng Zhou and Ningsheng Yang and Zhong Ming}, editor = {Meikang Qiu}, title = {A Simulation Study on Block Generation Algorithm Based on {TPS} Model}, booktitle = {Algorithms and Architectures for Parallel Processing - 20th International Conference, {ICA3PP} 2020, New York City, NY, USA, October 2-4, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12454}, pages = {155--169}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60248-2\_11}, doi = {10.1007/978-3-030-60248-2\_11}, timestamp = {Thu, 01 Oct 2020 14:14:33 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/CaiZY020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/FeiZWGWQ20, author = {Caixian Fei and Fang Zhou and Ning Wu and Fen Ge and Jin Wen and Peiyao Qin}, title = {A Scalable Bit-Parallel Word-Serial Multiplier with Fault Detection on GF(2m)}, booktitle = {20th {IEEE} International Conference on Communication Technology, {ICCT} 2020, Nanning, China, October 28-31, 2020}, pages = {1660--1664}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCT50939.2020.9295940}, doi = {10.1109/ICCT50939.2020.9295940}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icct/FeiZWGWQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LiLLLCW20, author = {Boyao Li and Tao Lu and Jiayi Li and Ning Lu and Yinghao Cai and Shuo Wang}, title = {{ACDER:} Augmented Curiosity-Driven Experience Replay}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {4218--4224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197421}, doi = {10.1109/ICRA40945.2020.9197421}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/LiLLLCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvisp/LiYLLLS20, author = {Ning Li and XueWei Yu and Xueyan Liu and Caixia Lu and Zhenshuo Liang and Bo Su}, title = {3D-Lidar Based Negative Obstacle Detection in Unstructured Environment}, booktitle = {{ICVISP} 2020: 4th International Conference on Vision, Image and Signal Processing, Bangkok, Thailand, December, 2020}, pages = {45:1--45:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3448823.3448865}, doi = {10.1145/3448823.3448865}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvisp/LiYLLLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiSX20, author = {Ning Li and Guang{-}Cai Sun and Mengdao Xing}, title = {Unambiguous Signal Reconstruction Algorithm for High Squint Multichannel {SAR} Mounted on High Speed Maneuvering Platforms}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {2125--2128}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9323280}, doi = {10.1109/IGARSS39084.2020.9323280}, timestamp = {Mon, 22 Feb 2021 16:46:47 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiSX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhaoMLQLWGZL20, author = {Yongguang Zhao and Lingling Ma and Yaokai Liu and Yonggang Qian and Kun Li and Ning Wang and Caixia Gao and Xiaohua Zhu and Wan Li}, title = {Bidirectional Spectral Reflectance Factor of Baotou Sandy Calibration Site and Its Application in Vicarious Radiometric Calibration}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {6250--6253}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324551}, doi = {10.1109/IGARSS39084.2020.9324551}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ZhaoMLQLWGZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/CaiLLCDZNSC20, author = {Chang Cai and Tianqi Liu and Jie Liu and Gengsheng Chen and Luchang Ding and Kai Zhao and Bingxu Ning and Mingjie Shen}, title = {Large-tilt Heavy Ions Induced {SEU} in Multiple Radiation Hardened 22 nm {FDSOI} SRAMs}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128357}, doi = {10.1109/IRPS45951.2020.9128357}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/CaiLLCDZNSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/LuoCLPY20, author = {Tianren Luo and Ning Cai and Zheng Li and Zhigeng Pan and Qingshu Yuan}, editor = {Nuno Nunes and Lizhuang Ma and Meili Wang and Nuno Correia and Zhigeng Pan}, title = {{VR-DLR:} {A} Serious Game of Somatosensory Driving Applied to Limb Rehabilitation Training}, booktitle = {Entertainment Computing - {ICEC} 2020 - 19th {IFIP} {TC} 14 International Conference, {ICEC} 2020, Xi'an, China, November 10-13, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12523}, pages = {51--64}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-65736-9\_4}, doi = {10.1007/978-3-030-65736-9\_4}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwec/LuoCLPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/CaiNZ20, author = {Hengyu Cai and Chengming Ning and Qilong Zheng}, editor = {Li Ning and Vincent Chau and Francis C. M. Lau}, title = {Deep Learning Optimization for Many-Core Virtual Platforms}, booktitle = {Parallel Architectures, Algorithms and Programming - 11th International Symposium, {PAAP} 2020, Shenzhen, China, December 28-30, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1362}, pages = {22--33}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-16-0010-4\_3}, doi = {10.1007/978-981-16-0010-4\_3}, timestamp = {Tue, 16 Feb 2021 15:53:52 +0100}, biburl = {https://dblp.org/rec/conf/paap/CaiNZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-09425, author = {Caiyi Xu and Jianping Xing and Yafei Ning and Yonghong Chen and Yong Wu}, title = {{SDOD:} Real-time Segmenting and Detecting 3D Objects by Depth}, journal = {CoRR}, volume = {abs/2001.09425}, year = {2020}, url = {https://arxiv.org/abs/2001.09425}, eprinttype = {arXiv}, eprint = {2001.09425}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-09425.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-04187, author = {Yu He and Yun Cai and Yuan{-}Chen Guo and Zheng{-}Ning Liu and Shaokui Zhang and Song{-}Hai Zhang and Hongbo Fu and Sheng{-}Yong Chen}, title = {Style-compatible Object Recommendation for Multi-room Indoor Scene Synthesis}, journal = {CoRR}, volume = {abs/2003.04187}, year = {2020}, url = {https://arxiv.org/abs/2003.04187}, eprinttype = {arXiv}, eprint = {2003.04187}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-04187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-10822, author = {Thi Phuoc Hanh Nguyen and Zinan Cai and Khanh Nguyen and Sokuntheariddh Keth and Ningyuan Shen and Mira Park}, title = {Pre-processing Image using Brightening, {CLAHE} and {RETINEX}}, journal = {CoRR}, volume = {abs/2003.10822}, year = {2020}, url = {https://arxiv.org/abs/2003.10822}, eprinttype = {arXiv}, eprint = {2003.10822}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-10822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-11722, author = {Masahito Hayashi and Masaki Owari and Go Kato and Ning Cai}, title = {Reduction Theorem for Secrecy over Linear Network Code for Active Attacks}, journal = {CoRR}, volume = {abs/2003.11722}, year = {2020}, url = {https://arxiv.org/abs/2003.11722}, eprinttype = {arXiv}, eprint = {2003.11722}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-11722.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-12223, author = {Masahito Hayashi and Ning Cai}, title = {Secure network code over one-hop relay network}, journal = {CoRR}, volume = {abs/2003.12223}, year = {2020}, url = {https://arxiv.org/abs/2003.12223}, eprinttype = {arXiv}, eprint = {2003.12223}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-12223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-12225, author = {Masahito Hayashi and Ning Cai}, title = {Asymptotically Secure Network Code for Active Attacks and its Application to Network Quantum Key Distribution}, journal = {CoRR}, volume = {abs/2003.12225}, year = {2020}, url = {https://arxiv.org/abs/2003.12225}, eprinttype = {arXiv}, eprint = {2003.12225}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-12225.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-12314, author = {Zhaohan Xiong and Qing Xia and Zhiqiang Hu and Ning Huang and Cheng Bian and Yefeng Zheng and Sulaiman Vesal and Nishant Ravikumar and Andreas K. Maier and Xin Yang and Pheng{-}Ann Heng and Dong Ni and Caizi Li and Qianqian Tong and Weixin Si and {\'{E}}lodie Puybareau and Younes Khoudli and Thierry G{\'{e}}raud and Chen Chen and Wenjia Bai and Daniel Rueckert and Lingchao Xu and Xiahai Zhuang and Xinzhe Luo and Shuman Jia and Maxime Sermesant and Yashu Liu and Kuanquan Wang and Davide Borra and Alessandro Masci and Cristiana Corsi and Coen de Vente and Mitko Veta and Rashed Karim and Chandrakanth Jayachandran Preetha and Sandy Engelhardt and Mengyun Qiao and Yuanyuan Wang and Qian Tao and Marta Nu{\~{n}}ez Garcia and Oscar Camara and Nicol{\'{o}} Savioli and Pablo Lamata and Jichao Zhao}, title = {A Global Benchmark of Algorithms for Segmenting Late Gadolinium-Enhanced Cardiac Magnetic Resonance Imaging}, journal = {CoRR}, volume = {abs/2004.12314}, year = {2020}, url = {https://arxiv.org/abs/2004.12314}, eprinttype = {arXiv}, eprint = {2004.12314}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-12314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-00795, author = {Zheng Li and Ying Huang and Defang Chen and Tianren Luo and Ning Cai and Zhigeng Pan}, title = {Online Knowledge Distillation via Multi-branch Diversity Enhancement}, journal = {CoRR}, volume = {abs/2010.00795}, year = {2020}, url = {https://arxiv.org/abs/2010.00795}, eprinttype = {arXiv}, eprint = {2010.00795}, timestamp = {Sat, 13 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-00795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-00410, author = {Masahito Hayashi and Ning Cai}, title = {Universal classical-quantum superposition coding and universal classical-quantum multiple access channel coding}, journal = {CoRR}, volume = {abs/2011.00410}, year = {2020}, url = {https://arxiv.org/abs/2011.00410}, eprinttype = {arXiv}, eprint = {2011.00410}, timestamp = {Mon, 09 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-00410.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-01507, author = {Bochao Wang and Hang Xu and Jiajin Zhang and Chen Chen and Yixing Xu and Xiaozhi Fang and Ning Kang and Lanqing Hong and Chenhan Jiang and Xinyue Cai and Jiawei Li and Fengwei Zhou and Yong Li and Zhicheng Liu and Xinghao Chen and Kai Han and Han Shu and Dehua Song and Yunhe Wang and Wei Zhang and Chunjing Xu and Zhenguo Li and Wenzhi Liu and Tong Zhang}, title = {{VEGA:} Towards an End-to-End Configurable AutoML Pipeline}, journal = {CoRR}, volume = {abs/2011.01507}, year = {2020}, url = {https://arxiv.org/abs/2011.01507}, eprinttype = {arXiv}, eprint = {2011.01507}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-01507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-03696, author = {Peng Jia and Ruiyu Ning and Ruiqi Sun and Xiaoshan Yang and Dongmei Cai}, title = {Data-driven Image Restoration with Option-driven Learning for Big and Small Astronomical Image Datasets}, journal = {CoRR}, volume = {abs/2011.03696}, year = {2020}, url = {https://arxiv.org/abs/2011.03696}, eprinttype = {arXiv}, eprint = {2011.03696}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-03696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-08027, author = {Boyao Li and Tao Lu and Jiayi Li and Ning Lu and Yinghao Cai and Shuo Wang}, title = {{ACDER:} Augmented Curiosity-Driven Experience Replay}, journal = {CoRR}, volume = {abs/2011.08027}, year = {2020}, url = {https://arxiv.org/abs/2011.08027}, eprinttype = {arXiv}, eprint = {2011.08027}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-08027.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-09688, author = {Meng{-}Hao Guo and Junxiong Cai and Zheng{-}Ning Liu and Tai{-}Jiang Mu and Ralph R. Martin and Shi{-}Min Hu}, title = {{PCT:} Point Cloud Transformer}, journal = {CoRR}, volume = {abs/2012.09688}, year = {2020}, url = {https://arxiv.org/abs/2012.09688}, eprinttype = {arXiv}, eprint = {2012.09688}, timestamp = {Sun, 03 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-09688.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CaiSSJW19, author = {Wei Cai and Ningfang Song and Jingming Song and Jing Jin and Xiaxiao Wang}, title = {Transverse Magneto-Optic Error of a Miniature Solid-Core Photonic-Crystal Fiber Optic Gyroscope}, journal = {{IEEE} Access}, volume = {7}, pages = {20106--20112}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2897740}, doi = {10.1109/ACCESS.2019.2897740}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CaiSSJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GaoGZCZ19, author = {Shanshan Gao and Ningning Guo and Mingli Zhang and Jing Chi and Caiming Zhang}, title = {Image Denoising Based on {HOSVD} With Iterative-Based Adaptive Hard Threshold Coefficient Shrinkage}, journal = {{IEEE} Access}, volume = {7}, pages = {13781--13790}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2888499}, doi = {10.1109/ACCESS.2018.2888499}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GaoGZCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KulwaLZCXQCT19, author = {Frank Kulwa and Chen Li and Xin Zhao and Bencheng Cai and Ning Xu and Shouliang Qi and Shuo Chen and Yueyang Teng}, title = {A State-of-the-Art Survey for Microorganism Image Segmentation Methods and Future Potential}, journal = {{IEEE} Access}, volume = {7}, pages = {100243--100269}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2930111}, doi = {10.1109/ACCESS.2019.2930111}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KulwaLZCXQCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiWCWLGSWZL19, author = {Zhigang Li and Gang Wang and Di Cai and Jialin Wang and Yingqi Li and Guan Gui and Xiaochuan Sun and Ning Wang and Jiabo Zhang and Huixin Liu}, title = {Machine Learning Based Dynamic Correlation on Marine Environmental Data Using Cross-Recurrence Strategy}, journal = {{IEEE} Access}, volume = {7}, pages = {185121--185130}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2960764}, doi = {10.1109/ACCESS.2019.2960764}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiWCWLGSWZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TanCZZ19, author = {Zong{-}Yuan Tan and Ning Cai and Jian Zhou and Sheng{-}Guo Zhang}, title = {On Performance of Peer Review for Academic Journals: Analysis Based on Distributed Parallel System}, journal = {{IEEE} Access}, volume = {7}, pages = {19024--19032}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2896978}, doi = {10.1109/ACCESS.2019.2896978}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TanCZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangCWLCCG19, author = {Zhanzhong Wang and Ruijuan Chu and Wenjing Wu and Qiuxia Li and Zhouling Cai and Ningbo Cao and Mingxiang Gu}, title = {Identification and Optimization Models for a Freight-Integrated Transportation Corridor With Line Importance and Freight Communication Capability}, journal = {{IEEE} Access}, volume = {7}, pages = {11114--11126}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2887109}, doi = {10.1109/ACCESS.2018.2887109}, timestamp = {Thu, 14 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangCWLCCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangCZWLLYH19, author = {Wenkun Zhang and Ailong Cai and Zhizhong Zheng and Linyuan Wang and Ningning Liang and Lei Li and Bin Yan and Guoen Hu}, title = {A Direct Material Reconstruction Method for {DECT} Based on Total Variation and {BM3D} Frame}, journal = {{IEEE} Access}, volume = {7}, pages = {138579--138592}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2942620}, doi = {10.1109/ACCESS.2019.2942620}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangCZWLLYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouCTK19, author = {Jian Zhou and Ning Cai and Zong{-}Yuan Tan and M. Junaid Khan}, title = {Analysis of Effects to Journal Impact Factors Based on Citation Networks Generated via Social Computing}, journal = {{IEEE} Access}, volume = {7}, pages = {19775--19781}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2895737}, doi = {10.1109/ACCESS.2019.2895737}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhouCTK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/XiaoLHNXCAZ19, author = {Feifei Xiao and Xizhi Luo and Ning Hao and Yue Niu and Xiangjun Xiao and Guoshuai Cai and Christopher I. Amos and Heping Zhang}, title = {An accurate and powerful method for copy number variation detection}, journal = {Bioinform.}, volume = {35}, number = {17}, pages = {2891--2898}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/bty1041}, doi = {10.1093/BIOINFORMATICS/BTY1041}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/XiaoLHNXCAZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/CaiLZW19, author = {Zhihao Cai and Ningjun Liu and Jiang Zhao and Yingxun Wang}, title = {Control and dynamics analysis for miniature autogyro and compound autogyro}, journal = {Sci. China Inf. Sci.}, volume = {62}, number = {1}, pages = {10208:1--10208:3}, year = {2019}, url = {https://doi.org/10.1007/s11432-018-9577-3}, doi = {10.1007/S11432-018-9577-3}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/CaiLZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/TangLWLJCZLLY19, author = {Chao Tang and Jie Li and Linyuan Wang and Ziheng Li and Lingyun Jiang and Ailong Cai and Wenkun Zhang and Ningning Liang and Lei Li and Bin Yan}, title = {Unpaired Low-Dose {CT} Denoising Network Based on Cycle-Consistent Generative Adversarial Network with Prior Image Information}, journal = {Comput. Math. Methods Medicine}, volume = {2019}, pages = {8639825:1--8639825:11}, year = {2019}, url = {https://doi.org/10.1155/2019/8639825}, doi = {10.1155/2019/8639825}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/TangLWLJCZLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/XiaPZCYSYN19, author = {Jing Xia and Su Pan and Min Zhu and Guolong Cai and Molei Yan and Qun Su and Jing Yan and Gangmin Ning}, title = {A Long Short-Term Memory Ensemble Approach for Improving the Outcome Prediction in Intensive Care Unit}, journal = {Comput. Math. Methods Medicine}, volume = {2019}, pages = {8152713:1--8152713:10}, year = {2019}, url = {https://doi.org/10.1155/2019/8152713}, doi = {10.1155/2019/8152713}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/XiaPZCYSYN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/PangZZQC19, author = {Ning Pang and Jifu Zhang and Chaowei Zhang and Xiao Qin and Jianghui Cai}, title = {{PUMA:} Parallel subspace clustering of categorical data using multi-attribute weights}, journal = {Expert Syst. Appl.}, volume = {126}, pages = {233--245}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.02.030}, doi = {10.1016/J.ESWA.2019.02.030}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/PangZZQC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/CaiK19, author = {Ning Cai and Steven Kou}, title = {Econometrics with Privacy Preservation}, journal = {Oper. Res.}, volume = {67}, number = {4}, pages = {905--926}, year = {2019}, url = {https://doi.org/10.1287/opre.2018.1834}, doi = {10.1287/OPRE.2018.1834}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/CaiK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LuZSCCL19, author = {Ning Lu and Yi Zhou and Chenhao Shi and Nan Cheng and Lin Cai and Bin Li}, title = {Planning While Flying: {A} Measurement-Aided Dynamic Planning of Drone Small Cells}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {2}, pages = {2693--2705}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2018.2873772}, doi = {10.1109/JIOT.2018.2873772}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LuZSCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/NingCBYGLMMKCY19, author = {Wenxin Ning and Stephanie Chan and Andrew Beam and Ming Yu and Alon Geva and Katherine P. Liao and Mary Mullen and Kenneth D. Mandl and Isaac S. Kohane and Tianxi Cai and Sheng Yu}, title = {Feature extraction for phenotyping from semantic and knowledge resources}, journal = {J. Biomed. Informatics}, volume = {91}, year = {2019}, url = {https://doi.org/10.1016/j.jbi.2019.103122}, doi = {10.1016/J.JBI.2019.103122}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/NingCBYGLMMKCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/TaWNWNTCY19, author = {Zhou Ta and Xue Wei and Chuxi Nan and Siqi Wang and Zhang Ning and Li Tang and Lihua Cai and Pingle Yang}, title = {A Screening Mechanism Fast-Aggregation-Based Takagi-Sugeno-Kang Fuzzy Classification for Epileptic Electroencephalograms Signal}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {7}, pages = {1458--1463}, year = {2019}, url = {https://doi.org/10.1166/jmihi.2019.2744}, doi = {10.1166/JMIHI.2019.2744}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/TaWNWNTCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/YinZLXWLG19, author = {Xiao{-}Ping Yin and Zi{-}Wei Zuo and Cai{-}Ying Li and Ying{-}Jin Xu and Jia{-}Ning Wang and Guang{-}Lu Liang and Bu{-}Lang Gao}, title = {Improvement of Abdominal Imaging Quality by Optimal Adaptive Statistical Iterative Reconstruction Combined with Monochromatic Spectral Computed Tomography Imaging}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {3}, pages = {444--449}, year = {2019}, url = {https://doi.org/10.1166/jmihi.2019.2605}, doi = {10.1166/JMIHI.2019.2605}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/YinZLXWLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/CaiHWK19, author = {Ning Cai and Ming He and Qiu{-}Xuan Wu and M. Junaid Khan}, title = {On Almost Controllability of Dynamical Complex Networks with Noises}, journal = {J. Syst. Sci. Complex.}, volume = {32}, number = {4}, pages = {1125--1139}, year = {2019}, url = {https://doi.org/10.1007/s11424-017-6273-7}, doi = {10.1007/S11424-017-6273-7}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossac/CaiHWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/ZhouJZX19, author = {Ming Zhou and Yanming Jia and Cailan Zhou and Ning Xu}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{31687}}{\unicode{31456}}{\unicode{32467}}{\unicode{26500}}{\unicode{30340}}{\unicode{33521}}{\unicode{25991}}{\unicode{20316}}{\unicode{25991}}{\unicode{33258}}{\unicode{21160}}{\unicode{35780}}{\unicode{20998}}{\unicode{26041}}{\unicode{27861}} (English Automated Essay Scoring Methods Based on Discourse Structure)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {3}, pages = {234--241}, year = {2019}, url = {https://doi.org/10.11896/j.issn.1002-137X.2019.03.035}, doi = {10.11896/J.ISSN.1002-137X.2019.03.035}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/ZhouJZX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/CaiCXYT19, author = {Zhaoquan Cai and Guangcai Chen and Lining Xing and Jinghui Yang and Xu Tan}, title = {Evaluating hedge fund downside risk using a multi-objective neural network}, journal = {J. Vis. Commun. Image Represent.}, volume = {59}, pages = {433--438}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2018.11.002}, doi = {10.1016/J.JVCIR.2018.11.002}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/CaiCXYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LiuHCXT19, author = {Jiang{-}Wen Liu and Li{-}Qiang Hu and Zhao{-}Quan Cai and Lining Xing and Xu Tan}, title = {Large-scale and adaptive service composition based on deep reinforcement learning}, journal = {J. Vis. Commun. Image Represent.}, volume = {65}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2019.102687}, doi = {10.1016/J.JVCIR.2019.102687}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/LiuHCXT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/XuCNL19, author = {Jiahong Xu and Cheng Cai and Jifeng Ning and Yunsong Li}, title = {Robust correlation filter tracking via context fusion and subspace constraint}, journal = {J. Vis. Commun. Image Represent.}, volume = {62}, pages = {182--192}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2019.05.014}, doi = {10.1016/J.JVCIR.2019.05.014}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/XuCNL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/DongD19, author = {Ning Dong and Cai Dai}, title = {An improvement decomposition-based multi-objective evolutionary algorithm using multi-search strategy}, journal = {Knowl. Based Syst.}, volume = {163}, pages = {572--580}, year = {2019}, url = {https://doi.org/10.1016/j.knosys.2018.09.018}, doi = {10.1016/J.KNOSYS.2018.09.018}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/DongD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/NingLZZ19, author = {Yang Ning and Yifang Liu and Yunfeng Zhang and Caiming Zhang}, title = {Adaptive image rational upscaling with local structure as constraints}, journal = {Multim. Tools Appl.}, volume = {78}, number = {6}, pages = {6889--6911}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6182-3}, doi = {10.1007/S11042-018-6182-3}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/NingLZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/CaiTN19, author = {Xia Cai and Yubin Tian and Wei Ning}, title = {Change-point analysis of the failure mechanisms based on accelerated life tests}, journal = {Reliab. Eng. Syst. Saf.}, volume = {188}, pages = {515--522}, year = {2019}, url = {https://doi.org/10.1016/j.ress.2019.04.002}, doi = {10.1016/J.RESS.2019.04.002}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/CaiTN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CaiRYZDW19, author = {Guoyin Cai and Huiqun Ren and Liuzhong Yang and Ning Zhang and Mingyi Du and Changshan Wu}, title = {Detailed Urban Land Use Land Cover Classification at the Metropolitan Scale Using a Three-Layer Classification Scheme}, journal = {Sensors}, volume = {19}, number = {14}, pages = {3120}, year = {2019}, url = {https://doi.org/10.3390/s19143120}, doi = {10.3390/S19143120}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CaiRYZDW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiCWZTLLY19, author = {Ziheng Li and Ailong Cai and Linyuan Wang and Wenkun Zhang and Chao Tang and Lei Li and Ningning Liang and Bin Yan}, title = {Promising Generative Adversarial Network Based Sinogram Inpainting Method for Ultra-Limited-Angle Computed Tomography Imaging}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3941}, year = {2019}, url = {https://doi.org/10.3390/s19183941}, doi = {10.3390/S19183941}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiCWZTLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShenWJMC19, author = {Lingfeng Shen and Ning Wang and Xiang Ji and Xiaomin Mu and Lin Cai}, title = {Iterative Trajectory Optimization for Physical-Layer Secure Buffer-Aided {UAV} Mobile Relaying}, journal = {Sensors}, volume = {19}, number = {15}, pages = {3442}, year = {2019}, url = {https://doi.org/10.3390/s19153442}, doi = {10.3390/S19153442}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ShenWJMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangHLZZZCZ19, author = {Chongxi Wang and Zhanhua Huang and Guifang Li and Shan Zhang and Jian Zhao and Ningbo Zhao and Huaiyu Cai and Yinxin Zhang}, title = {Simultaneous Temperature and Strain Measurements Using Polarization-Maintaining Few-Mode Bragg Gratings}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5221}, year = {2019}, url = {https://doi.org/10.3390/s19235221}, doi = {10.3390/S19235221}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangHLZZZCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/HsiehCWG19, author = {Jui{-}Hung Hsieh and Jun{-}An Cai and Yi{-}Ning Wang and Zhe{-}Yu Guo}, title = {ML-Assisted DVFS-Aware {HEVC} Motion Estimation Design Scheme for Mobile APSoC}, journal = {{IEEE} Syst. J.}, volume = {13}, number = {4}, pages = {4464--4473}, year = {2019}, url = {https://doi.org/10.1109/JSYST.2018.2885538}, doi = {10.1109/JSYST.2018.2885538}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/HsiehCWG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/WangWPCCX19, author = {Yuan Wang and Ling Wang and Zhiping Peng and Guangcai Chen and Zhaoquan Cai and Lining Xing}, title = {A Multi Ant System based hybrid heuristic algorithm for Vehicle Routing Problem with Service Time Customization}, journal = {Swarm Evol. Comput.}, volume = {50}, year = {2019}, url = {https://doi.org/10.1016/j.swevo.2019.100563}, doi = {10.1016/J.SWEVO.2019.100563}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/WangWPCCX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/GuoHC19, author = {Wangmei Guo and Dan He and Ning Cai}, title = {Some Results on Network Error Correction With Time-Varying Adversarial Errors}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {3}, pages = {1797--1808}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2018.2885785}, doi = {10.1109/TCOMM.2018.2885785}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/GuoHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/CaiDY19, author = {Ning Cai and Chen Diao and Bo{-}Han Yan}, title = {A Social Computing-Based Analysis on Monogamous Marriage Puzzle of Human}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {6}, number = {3}, pages = {518--524}, year = {2019}, url = {https://doi.org/10.1109/TCSS.2019.2913508}, doi = {10.1109/TCSS.2019.2913508}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/CaiDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/BocheCC19, author = {Holger Boche and Minglai Cai and Ning Cai}, title = {Message Transmission Over Classical Quantum Channels With a Jammer With Side Information: Message Transmission Capacity and Resources}, journal = {{IEEE} Trans. Inf. Theory}, volume = {65}, number = {5}, pages = {2922--2943}, year = {2019}, url = {https://doi.org/10.1109/TIT.2018.2878209}, doi = {10.1109/TIT.2018.2878209}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/BocheCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/ChenCC19, author = {Jialong Chen and Maoguo Cai and Ning Chen}, title = {Application of Optical Physical Layer Network Coding on Passive Optical Interconnection}, booktitle = {International Conference on Artificial Intelligence and Advanced Manufacturing, {AIAM} 2019, Dublin, Ireland, October 16-18, 2019}, pages = {96--99}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIAM48774.2019.00026}, doi = {10.1109/AIAM48774.2019.00026}, timestamp = {Fri, 25 Mar 2022 10:06:03 +0100}, biburl = {https://dblp.org/rec/conf/aiam/ChenCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/QuHMXCF19, author = {Xinyuan Qu and Zhihong Huang and Ning Mao and Yu Xu and Gang Cai and Zhen Fang}, title = {A Grain-Adaptive Computing Structure for {FPGA} {CNN} Acceleration}, booktitle = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing, China, October 29 - November 1, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASICON47005.2019.8983480}, doi = {10.1109/ASICON47005.2019.8983480}, timestamp = {Wed, 12 Feb 2020 16:13:42 +0100}, biburl = {https://dblp.org/rec/conf/asicon/QuHMXCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/CaiNLY19, author = {Jinwei Cai and Yilin Ning and Wenshi Li and Linhong Yao}, title = {Research on Anti-Noise Performance of New Chaos Criterion}, booktitle = {6th International Conference on Dependable Systems and Their Applications, {DSA} 2019, Harbin, China, January 3-6, 2020}, pages = {503--504}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DSA.2019.00086}, doi = {10.1109/DSA.2019.00086}, timestamp = {Thu, 07 May 2020 11:49:40 +0200}, biburl = {https://dblp.org/rec/conf/dsa/CaiNLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/DingWLXW019, author = {Caiwen Ding and Shuo Wang and Ning Liu and Kaidi Xu and Yanzhi Wang and Yun Liang}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {{REQ-YOLO:} {A} Resource-Aware, Efficient Quantization Framework for Object Detection on FPGAs}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {33--42}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293904}, doi = {10.1145/3289602.3293904}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/DingWLXW019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CaiCRLDYW19, author = {Ruizhe Cai and Olivia Chen and Ao Ren and Ning Liu and Caiwen Ding and Nobuyuki Yoshikawa and Yanzhi Wang}, editor = {Houman Homayoun and Baris Taskin and Tinoosh Mohsenin and Weisheng Zhao}, title = {A Majority Logic Synthesis Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits}, booktitle = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI} 2019, Tysons Corner, VA, USA, May 9-11, 2019}, pages = {189--194}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299874.3317980}, doi = {10.1145/3299874.3317980}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CaiCRLDYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hucc/CaiNLWZ19, author = {Xiaofeng Cai and Huansheng Ning and Tingyu Liu and Changmao Wu and Changyou Zhang}, editor = {Danijela Milosevic and Yong Tang and Qiaohong Zu}, title = {A Method of Vehicle Fault Diagnosis Supporting Multi-value-chain Collaboration}, booktitle = {Human Centered Computing - 5th International Conference, {HCC} 2019, {\v{C}}a{\v{c}}ak, Serbia, August 5-7, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11956}, pages = {32--43}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-37429-7\_4}, doi = {10.1007/978-3-030-37429-7\_4}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hucc/CaiNLWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/CaiHBX19, author = {Ning Cai and Yanni Han and Yongming Ben and Zhen Xu}, title = {Towards Scalable and Flexible Path Control Schema in Software Defined Networking}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8761534}, doi = {10.1109/ICC.2019.8761534}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/CaiHBX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GuanDCY19, author = {Xinrong Guan and Ning Ding and Yueming Cai and Weiwei Yang}, title = {Wireless Key Generation from Imperfect Channel State Information: Performance Analysis and Improvements}, booktitle = {17th {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCW.2019.8756656}, doi = {10.1109/ICCW.2019.8756656}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/GuanDCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/CaiMWM19, author = {Ningning Cai and Can Ma and Weiping Wang and Dan Meng}, editor = {Jo{\~{a}}o M. F. Rodrigues and Pedro J. S. Cardoso and J{\^{a}}nio M. Monteiro and Roberto Lam and Valeria V. Krzhizhanovskaya and Michael Harold Lees and Jack J. Dongarra and Peter M. A. Sloot}, title = {Effective Self Attention Modeling for Aspect Based Sentiment Analysis}, booktitle = {Computational Science - {ICCS} 2019 - 19th International Conference, Faro, Portugal, June 12-14, 2019, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11540}, pages = {3--14}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22750-0\_1}, doi = {10.1007/978-3-030-22750-0\_1}, timestamp = {Tue, 07 May 2024 20:07:42 +0200}, biburl = {https://dblp.org/rec/conf/iccS/CaiMWM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/ZhangSCLW19, author = {Zhenyu Zhang and Wencheng Sun and Zhiping Cai and Ningzheng Luo and Ming Wang}, editor = {Xingming Sun and Zhaoqing Pan and Elisa Bertino}, title = {Fuzzy Clustering: {A} New Clustering Method in Heterogeneous Medical Records Searching}, booktitle = {Artificial Intelligence and Security - 5th International Conference, {ICAIS} 2019, New York, NY, USA, July 26-28, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11632}, pages = {3--15}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24274-9\_1}, doi = {10.1007/978-3-030-24274-9\_1}, timestamp = {Thu, 18 Jul 2019 12:52:54 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/ZhangSCLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CaiCRLYW19, author = {Ruizhe Cai and Olivia Chen and Ao Ren and Ning Liu and Nobuyuki Yoshikawa and Yanzhi Wang}, title = {A Buffer and Splitter Insertion Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {429--436}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00067}, doi = {10.1109/ICCD46524.2019.00067}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CaiCRLYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HuCWLSKDY19, author = {Hou{-}Ning Hu and Qi{-}Zhi Cai and Dequan Wang and Ji Lin and Min Sun and Philipp Kr{\"{a}}henb{\"{u}}hl and Trevor Darrell and Fisher Yu}, title = {Joint Monocular 3D Vehicle Detection and Tracking}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {5389--5398}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00549}, doi = {10.1109/ICCV.2019.00549}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HuCWLSKDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/CaiMRG19, author = {Ninghe M. Cai and Ahalya Mandana and Neha A. Reddy and Netta Gurari}, title = {Development of a Training Game to Coordinate Torques Produced Between Arms}, booktitle = {16th {IEEE} International Conference on Rehabilitation Robotics, {ICORR} 2019, Toronto, ON, Canada, June 24-28, 2019}, pages = {447--452}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICORR.2019.8779455}, doi = {10.1109/ICORR.2019.8779455}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/CaiMRG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/YuR19, author = {Caili Yu and Ning Ren}, editor = {Wanqing Wu and Lipo Wang and Chunlei Ji and Niansheng Chen and Qiang Sun and Xiaoyong Song and Xin Wang}, title = {Method of calculation on in-situ Detection algorithm based on roundness error}, booktitle = {6th International Conference on Systems and Informatics, {ICSAI} 2019, Shanghai, China, November 2-4, 2019}, pages = {1503--1508}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICSAI48974.2019.9010485}, doi = {10.1109/ICSAI48974.2019.9010485}, timestamp = {Mon, 09 Mar 2020 12:14:21 +0100}, biburl = {https://dblp.org/rec/conf/icsai/YuR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/JinSZDLDZS19, author = {Bingchen Jin and Caiming Sun and Aidong Zhang and Ning Ding and Jing Lin and Ganyu Deng and Zuwen Zhu and Zhenglong Sun}, title = {Joint Torque Estimation toward Dynamic and Compliant Control for Gear-Driven Torque Sensorless Quadruped Robot}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4630--4637}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8968168}, doi = {10.1109/IROS40897.2019.8968168}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/JinSZDLDZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CaiRCLDQ0LYW19, author = {Ruizhe Cai and Ao Ren and Olivia Chen and Ning Liu and Caiwen Ding and Xuehai Qian and Jie Han and Wenhui Luo and Nobuyuki Yoshikawa and Yanzhi Wang}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {A stochastic-computing based deep learning framework using adiabatic quantum-flux-parametron superconducting technology}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {567--578}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322270}, doi = {10.1145/3307650.3322270}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/CaiRCLDQ0LYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/BocheC019, author = {Holger Boche and Minglai Cai and Ning Cai}, title = {Message Transmission over Classical Quantum Channels with a Jammer with Side Information, Correlation as Resource and Common Randomness Generating}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2019, Paris, France, July 7-12, 2019}, pages = {1722--1726}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIT.2019.8849329}, doi = {10.1109/ISIT.2019.8849329}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/BocheC019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LiC19, author = {Haobo Li and Ning Cai}, title = {A Blahut-Arimoto Type Algorithm for Computing Classical-Quantum Channel Capacity}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2019, Paris, France, July 7-12, 2019}, pages = {255--259}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIT.2019.8849608}, doi = {10.1109/ISIT.2019.8849608}, timestamp = {Tue, 01 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/LiC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CaiMCRLYW19, author = {Ruizhe Cai and Xiaolong Ma and Olivia Chen and Ao Ren and Ning Liu and Nobuyuki Yoshikawa and Yanzhi Wang}, title = {{IDE} Development, Logic Synthesis and Buffer/Splitter Insertion Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits}, booktitle = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019, Miami, FL, USA, July 15-17, 2019}, pages = {187--192}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISVLSI.2019.00042}, doi = {10.1109/ISVLSI.2019.00042}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CaiMCRLYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LiL0DW19, author = {Hongjia Li and Sheng Lin and Ning Liu and Caiwen Ding and Yanzhi Wang}, editor = {Luping Zhou and Nicholas Heller and Yiyu Shi and Yiming Xiao and Raphael Sznitman and Veronika Cheplygina and Diana Mateus and Emanuele Trucco and Xiaobo Sharon Hu and Danny Ziyi Chen and Matthieu Chabanas and Hassan Rivaz and Ingerid Reinertsen}, title = {Deep Compressed Pneumonia Detection for Low-Power Embedded Devices}, booktitle = {Large-Scale Annotation of Biomedical Data and Expert Label Synthesis and Hardware Aware Learning for Medical Imaging and Computer Assisted Intervention - International Workshops, {LABELS} 2019, {HAL-MICCAI} 2019, and CuRIOUS 2019, Held in Conjunction with {MICCAI} 2019, Shenzhen, China, October 13 and 17, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11851}, pages = {89--97}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33642-4\_10}, doi = {10.1007/978-3-030-33642-4\_10}, timestamp = {Tue, 13 Dec 2022 14:39:05 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LiL0DW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/BenHCAX19, author = {Yongming Ben and Yanni Han and Ning Cai and Wei An and Zhen Xu}, title = {An Online System Dependency Graph Anomaly Detection based on Extended Weisfeiler-Lehman Kernel}, booktitle = {2019 {IEEE} Military Communications Conference, {MILCOM} 2019, Norfolk, VA, USA, November 12-14, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MILCOM47813.2019.9020815}, doi = {10.1109/MILCOM47813.2019.9020815}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/milcom/BenHCAX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/CaiHBAX19, author = {Ning Cai and Yanni Han and Yongming Ben and Wei An and Zhen Xu}, title = {An Effective Load Balanced Controller Placement Approach in Software-Defined WANs}, booktitle = {2019 {IEEE} Military Communications Conference, {MILCOM} 2019, Norfolk, VA, USA, November 12-14, 2019}, pages = {361--366}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MILCOM47813.2019.9020804}, doi = {10.1109/MILCOM47813.2019.9020804}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/milcom/CaiHBAX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LiLLLCW19, author = {Boyao Li and Tao Lu and Jiayi Li and Ning Lu and Yinghao Cai and Shuo Wang}, title = {Curiosity-Driven Exploration for Off-Policy Reinforcement Learning Methods}, booktitle = {2019 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2019, Dali, China, December 6-8, 2019}, pages = {1109--1114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ROBIO49542.2019.8961529}, doi = {10.1109/ROBIO49542.2019.8961529}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/LiLLLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcloud/CaiZY019, author = {Shubin Cai and Huaifeng Zhou and Ningsheng Yang and Zhong Ming}, title = {A {TPS} Model of Block-Generating Method Based on PoW}, booktitle = {{IEEE} International Conference on Smart Cloud, SmartCloud 2019, Tokyo, Japan, December 10-12, 2019}, pages = {80--85}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SmartCloud.2019.00024}, doi = {10.1109/SMARTCLOUD.2019.00024}, timestamp = {Wed, 20 May 2020 15:43:38 +0200}, biburl = {https://dblp.org/rec/conf/smartcloud/CaiZY019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgridcomm/LiuKCZMY19, author = {Chuang Liu and Lei Kou and Guowei Cai and Jia{-}ning Zhou and Yi{-}qun Meng and Yu{-}heng Yan}, title = {Knowledge-based and Data-driven Approach based Fault Diagnosis for Power-Electronics Energy Conversion System}, booktitle = {2019 {IEEE} International Conference on Communications, Control, and Computing Technologies for Smart Grids, SmartGridComm 2019, Beijing, China, October 21-23, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SmartGridComm.2019.8909719}, doi = {10.1109/SMARTGRIDCOMM.2019.8909719}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smartgridcomm/LiuKCZMY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/CaiBWN19, author = {Xiumei Cai and Jingwei Bian and Yan Wang and Yingyue Ning}, title = {Design of {IGBT} Parameter Automatic Test System Based on LabVIEW}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2019, Xiamen, China, December 6-9, 2019}, pages = {2807--2812}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SSCI44817.2019.9003135}, doi = {10.1109/SSCI44817.2019.9003135}, timestamp = {Wed, 26 Feb 2020 16:57:41 +0100}, biburl = {https://dblp.org/rec/conf/ssci/CaiBWN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/KeKJCRZ19, author = {Pingchuan Ke and Kai{-}Ning Keng and Shanshan Jiang and Shaoyu Cai and Zhiyi Rong and Kening Zhu}, editor = {Tomas Trescak and Simeon Simoff and Deborah Richards and Anton Bogdanovych and Thierry Duval and Torsten W. Kuhlen and Huyen Nguyen and Shigeo Morishima and Yuichi Itoh and Richard Skarbez and Martin Masek}, title = {Embodied Weather: Promoting Public Understanding of Extreme Weather Through Immersive Multi-Sensory Virtual Reality}, booktitle = {The 17th International Conference on Virtual-Reality Continuum and its Applications in Industry, {VRCAI} 2019, Brisbane, QLD, Australia, November 14-16, 2019}, pages = {60:1--60:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3359997.3365718}, doi = {10.1145/3359997.3365718}, timestamp = {Mon, 19 Dec 2022 22:09:31 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/KeKJCRZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ShenZWJMC19, author = {Lingfeng Shen and Zhengyu Zhu and Ning Wang and Xiang Ji and Xiaomin Mu and Lin Cai}, title = {Trajectory Optimization for Physical Layer Secure Buffer-Aided {UAV} Mobile Relaying}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891574}, doi = {10.1109/VTCFALL.2019.8891574}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ShenZWJMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wifs/Baur0WB19, author = {Sebastian Baur and Ning Cai and Moritz Wiese and Holger Boche}, title = {Secret Key Generation from a Two Component Compound Source with Rate Constrained One Way Communication: Perfect Secrecy}, booktitle = {{IEEE} International Workshop on Information Forensics and Security, {WIFS} 2019, Delft, The Netherlands, December 9-12, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WIFS47025.2019.9035091}, doi = {10.1109/WIFS47025.2019.9035091}, timestamp = {Mon, 23 Mar 2020 09:32:47 +0100}, biburl = {https://dblp.org/rec/conf/wifs/Baur0WB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-01764, author = {Holger Boche and Minglai Cai and Ning Cai}, title = {Message transmission over classical quantum channels with a Jammer with side information; correlation as resource and common randomness generating}, journal = {CoRR}, volume = {abs/1902.01764}, year = {2019}, url = {http://arxiv.org/abs/1902.01764}, eprinttype = {arXiv}, eprint = {1902.01764}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-01764.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-07050, author = {Xinrong Guan and Ning Ding and Yueming Cai and Weiwei Yang}, title = {Wireless Key Generation from Imperfect Channel State Information: Performance Analysis and Improvements}, journal = {CoRR}, volume = {abs/1902.07050}, year = {2019}, url = {http://arxiv.org/abs/1902.07050}, eprinttype = {arXiv}, eprint = {1902.07050}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-07050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-09330, author = {Ning Cai and Fei Shi and Dianlin Hu and Yang Chen}, title = {A resnet-based universal method for speckle reduction in optical coherence tomography images}, journal = {CoRR}, volume = {abs/1903.09330}, year = {2019}, url = {http://arxiv.org/abs/1903.09330}, eprinttype = {arXiv}, eprint = {1903.09330}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-09330.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-11188, author = {Haobo Li and Ning Cai}, title = {A Blahut-Arimoto Type Algorithm for Computing Classical-Quantum Channel Capacity}, journal = {CoRR}, volume = {abs/1904.11188}, year = {2019}, url = {http://arxiv.org/abs/1904.11188}, eprinttype = {arXiv}, eprint = {1904.11188}, timestamp = {Thu, 02 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-11188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-03404, author = {Hai{-}Ying Ma and Xiao Jia and Ning Cai and Jianxiang Xi}, title = {Adaptive Guaranteed-Performance Consensus Control for Multiagent Systems With an Adjustable Convergence Speed}, journal = {CoRR}, volume = {abs/1905.03404}, year = {2019}, url = {http://arxiv.org/abs/1905.03404}, eprinttype = {arXiv}, eprint = {1905.03404}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-03404.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-09077, author = {Ruizhe Cai and Ao Ren and Olivia Chen and Ning Liu and Caiwen Ding and Xuehai Qian and Jie Han and Wenhui Luo and Nobuyuki Yoshikawa and Yanzhi Wang}, title = {A Stochastic-Computing based Deep Learning Framework using Adiabatic Quantum-Flux-Parametron SuperconductingTechnology}, journal = {CoRR}, volume = {abs/1907.09077}, year = {2019}, url = {http://arxiv.org/abs/1907.09077}, eprinttype = {arXiv}, eprint = {1907.09077}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-09077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-13396, author = {Caiwen Ding and Shuo Wang and Ning Liu and Kaidi Xu and Yanzhi Wang and Yun Liang}, title = {{REQ-YOLO:} {A} Resource-Aware, Efficient Quantization Framework for Object Detection on FPGAs}, journal = {CoRR}, volume = {abs/1909.13396}, year = {2019}, url = {http://arxiv.org/abs/1909.13396}, eprinttype = {arXiv}, eprint = {1909.13396}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-13396.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-02007, author = {Hongjia Li and Sheng Lin and Ning Liu and Caiwen Ding and Yanzhi Wang}, title = {Deep Compressed Pneumonia Detection for Low-Power Embedded Devices}, journal = {CoRR}, volume = {abs/1911.02007}, year = {2019}, url = {http://arxiv.org/abs/1911.02007}, eprinttype = {arXiv}, eprint = {1911.02007}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-02007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DingOLZZSLC18, author = {Zhengyan Ding and Kaoru Ota and Yuxin Liu and Ning Zhang and Ming Zhao and Houbing Song and Anfeng Liu and Zhiping Cai}, title = {Orchestrating Data as a Services-Based Computing and Communication Model for Information-Centric Internet of Things}, journal = {{IEEE} Access}, volume = {6}, pages = {38900--38920}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2853134}, doi = {10.1109/ACCESS.2018.2853134}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DingOLZZSLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinWCL18, author = {De{-}Hui Lin and Jun Wu and Jian{-}Ping Cai and Jian{-}Ning Li}, title = {Mean-Square Asymptotic Synchronization Control of Discrete-Time Neural Networks With Restricted Disturbances and Missing Data}, journal = {{IEEE} Access}, volume = {6}, pages = {10240--10248}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2779159}, doi = {10.1109/ACCESS.2017.2779159}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinWCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinWLC18, author = {De{-}Hui Lin and Jun Wu and Jian{-}Ning Li and Jian{-}Ping Cai}, title = {Asymptotic Synchronization Control of Discrete-Time Delayed Neural Networks With a Reuse Mechanism Under Missing Data and Uncertainty}, journal = {{IEEE} Access}, volume = {6}, pages = {52073--52081}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2870729}, doi = {10.1109/ACCESS.2018.2870729}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinWLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhuXJYCYN18, author = {Min Zhu and Jing Xia and Xiaoqing Jin and MoLei Yan and Guolong Cai and Jing Yan and Gangmin Ning}, title = {Class Weights Random Forest Algorithm for Processing Class Imbalanced Medical Data}, journal = {{IEEE} Access}, volume = {6}, pages = {4641--4652}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2789428}, doi = {10.1109/ACCESS.2018.2789428}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhuXJYCYN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/DengCHLLSH18, author = {Caihong Deng and Jun Cao and Jiangquan Han and Jianguo Li and Zhaohun Li and Ninghua Shi and Jing He}, title = {Liraglutide Activates the Nrf2/HO-1 Antioxidant Pathway and Protects Brain Nerve Cells against Cerebral Ischemia in Diabetic Rats}, journal = {Comput. Intell. Neurosci.}, volume = {2018}, pages = {3094504:1--3094504:7}, year = {2018}, url = {https://doi.org/10.1155/2018/3094504}, doi = {10.1155/2018/3094504}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/DengCHLLSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/YuanHCA18, author = {Hanning Yuan and Yanni Han and Ning Cai and Wei An}, title = {A Multi-Granularity Backbone Network Extraction Method Based on the Topology Potential}, journal = {Complex.}, volume = {2018}, pages = {8604132:1--8604132:8}, year = {2018}, url = {https://doi.org/10.1155/2018/8604132}, doi = {10.1155/2018/8604132}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/YuanHCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangCFWW18, author = {Hongqiao Wang and Yan{-}Ning Cai and Guangyuan Fu and Ming Wu and Zhenhua Wei}, title = {Data-driven fault prediction and anomaly measurement for complex systems using support vector probability density estimation}, journal = {Eng. Appl. Artif. Intell.}, volume = {67}, pages = {1--13}, year = {2018}, url = {https://doi.org/10.1016/j.engappai.2017.09.008}, doi = {10.1016/J.ENGAPPAI.2017.09.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/WangCFWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/GuoHC18, author = {Wangmei Guo and Dan He and Ning Cai}, title = {On Capacity of Network Error Correction Coding With Random Errors}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {4}, pages = {696--699}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2018.2795598}, doi = {10.1109/LCOMM.2018.2795598}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/GuoHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/LiZX18, author = {Xiaodong Li and Cailan Zhou and Ning Xu}, title = {A Secure and Efficient Image Encryption Algorithm Based on {DNA} Coding and Spatiotemporal Chaos}, journal = {Int. J. Netw. Secur.}, volume = {20}, number = {1}, pages = {110--120}, year = {2018}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v20-n1/ijns-2018-v20-n1-p110-120.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/LiZX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwmc/YangNCLL18, author = {Yongli Yang and Zhenhu Ning and Yongquan Cai and Peng Liang and Haifeng Liu}, title = {Research on parallelisation of collaborative filtering recommendation algorithm based on Spark}, journal = {Int. J. Wirel. Mob. Comput.}, volume = {14}, number = {4}, pages = {312--319}, year = {2018}, url = {https://doi.org/10.1504/IJWMC.2018.10015081}, doi = {10.1504/IJWMC.2018.10015081}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwmc/YangNCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/SongCK18, author = {Yingda Song and Ning Cai and Steven Kou}, title = {Computable Error Bounds of Laplace Inversion for Pricing Asian Options}, journal = {{INFORMS} J. Comput.}, volume = {30}, number = {4}, pages = {634--645}, year = {2018}, url = {https://doi.org/10.1287/ijoc.2017.0805}, doi = {10.1287/IJOC.2017.0805}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informs/SongCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/YangCZLW18, author = {Lu Yang and Bowen Cai and Ronghui Zhang and Ke{-}Ning Li and Rong{-}ben Wang}, title = {A new type design of lunar rover suspension structure and its neural network control system}, journal = {J. Intell. Fuzzy Syst.}, volume = {35}, number = {1}, pages = {269--281}, year = {2018}, url = {https://doi.org/10.3233/JIFS-169586}, doi = {10.3233/JIFS-169586}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/YangCZLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncn/LiCLLW18, author = {Hongjia Li and Ruizhe Cai and Ning Liu and Xue Lin and Yanzhi Wang}, title = {Deep reinforcement learning: Algorithm, applications, and ultra-low-power implementation}, journal = {Nano Commun. Networks}, volume = {16}, pages = {81--90}, year = {2018}, url = {https://doi.org/10.1016/j.nancom.2018.02.003}, doi = {10.1016/J.NANCOM.2018.02.003}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncn/LiCLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ZhangWNMWGSHSCR18, author = {Fan Zhang and Weining Wu and Lipeng Ning and Gloria McAnulty and Deborah P. Waber and Borjan A. Gagoski and Kiera Sarill and Hesham M. Hamoda and Yang Song and Weidong Cai and Yogesh Rathi and Lauren J. O'Donnell}, title = {Suprathreshold fiber cluster statistics: Leveraging white matter geometry to enhance tractography statistical analysis}, journal = {NeuroImage}, volume = {171}, pages = {341--354}, year = {2018}, url = {https://doi.org/10.1016/j.neuroimage.2018.01.006}, doi = {10.1016/J.NEUROIMAGE.2018.01.006}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ZhangWNMWGSHSCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/RenLZLXC18, author = {Yingying Ren and Yuxin Liu and Ning Zhang and Anfeng Liu and Neal N. Xiong and Zhiping Cai}, title = {Minimum-cost mobile crowdsourcing with QoS guarantee using matrix completion technique}, journal = {Pervasive Mob. Comput.}, volume = {49}, pages = {23--44}, year = {2018}, url = {https://doi.org/10.1016/j.pmcj.2018.06.012}, doi = {10.1016/J.PMCJ.2018.06.012}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/percom/RenLZLXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CaiYQSTL18, author = {Qingzhong Cai and Gongliu Yang and Wei Quan and Ningfang Song and Yongqiang Tu and Yiliang Liu}, title = {Error Analysis of the K-Rb-\({}^{\mbox{21}}\)Ne Comagnetometer Space-Stable Inertial Navigation System}, journal = {Sensors}, volume = {18}, number = {2}, pages = {670}, year = {2018}, url = {https://doi.org/10.3390/s18020670}, doi = {10.3390/S18020670}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CaiYQSTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangYZCLCF18, author = {Ning Yang and Dao{-}Guo Yang and Guoqi Zhang and Liangbiao Chen and Dongjing Liu and Miao Cai and Xuejun Fan}, title = {The Effects of Graphene Stacking on the Performance of Methane Sensor: {A} First-Principles Study on the Adsorption, Band Gap and Doping of Graphene}, journal = {Sensors}, volume = {18}, number = {2}, pages = {422}, year = {2018}, url = {https://doi.org/10.3390/s18020422}, doi = {10.3390/S18020422}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangYZCLCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhaoMLGWT18, author = {Yongguang Zhao and Lingling Ma and Chuanrong Li and Caixia Gao and Ning Wang and Lingli Tang}, title = {Radiometric Cross-Calibration of Landsat-8/OLI and {GF-1/PMS} Sensors Using an Instrumented Sand Site}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {11}, number = {10}, pages = {3822--3829}, year = {2018}, url = {https://doi.org/10.1109/JSTARS.2018.2862638}, doi = {10.1109/JSTARS.2018.2862638}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ZhaoMLGWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/CaiYSWYL18, author = {Qingzhong Cai and Gongliu Yang and Ningfang Song and Lifen Wang and Hongliang Yin and Yiliang Liu}, title = {Online Calibration of the Geographic-Frame-Equivalent Gyro Bias in Dual-Axis {RINS}}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {67}, number = {7}, pages = {1609--1616}, year = {2018}, url = {https://doi.org/10.1109/TIM.2018.2800878}, doi = {10.1109/TIM.2018.2800878}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/CaiYSWYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/Cao0GY18, author = {Qi Cao and Ning Cai and Wangmei Guo and Raymond W. Yeung}, title = {On Zero-Error Capacity of Binary Channels With One Memory}, journal = {{IEEE} Trans. Inf. Theory}, volume = {64}, number = {10}, pages = {6771--6778}, year = {2018}, url = {https://doi.org/10.1109/TIT.2018.2830362}, doi = {10.1109/TIT.2018.2830362}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/Cao0GY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KongXNRCGM18, author = {Xiangjie Kong and Feng Xia and Zhaolong Ning and Azizur Rahim and Yinqiong Cai and Zhiqiang Gao and Jianhua Ma}, title = {Mobility Dataset Generation for Vehicular Social Networks Based on Floating Car Data}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {5}, pages = {3874--3886}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2788441}, doi = {10.1109/TVT.2017.2788441}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KongXNRCGM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/YanZLWCG18, author = {Jing Yan and Xiaoning Zhang and Xiaoyuan Luo and Yiyin Wang and Cailian Chen and Xinping Guan}, title = {Asynchronous Localization With Mobility Prediction for Underwater Acoustic Sensor Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {3}, pages = {2543--2556}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2764265}, doi = {10.1109/TVT.2017.2764265}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/YanZLWCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/CaiRLDWQPW18, author = {Ruizhe Cai and Ao Ren and Ning Liu and Caiwen Ding and Luhao Wang and Xuehai Qian and Massoud Pedram and Yanzhi Wang}, editor = {Xipeng Shen and James Tuck and Ricardo Bianchini and Vivek Sarkar}, title = {{VIBNN:} Hardware Acceleration of Bayesian Neural Networks}, booktitle = {Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2018, Williamsburg, VA, USA, March 24-28, 2018}, pages = {476--488}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173162.3173212}, doi = {10.1145/3173162.3173212}, timestamp = {Tue, 23 Jan 2024 20:31:22 +0100}, biburl = {https://dblp.org/rec/conf/asplos/CaiRLDWQPW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/NingHZZ18, author = {Yang Ning and Zhongyi Han and Li Zhong and Caiming Zhang}, editor = {Huiru Jane Zheng and Zoraida Callejas and David Griol and Haiying Wang and Xiaohua Hu and Harald H. H. W. Schmidt and Jan Baumbach and Julie Dickerson and Le Zhang}, title = {Automated Pancreas Segmentation Using Recurrent Adversarial Learning}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2018, Madrid, Spain, December 3-6, 2018}, pages = {927--934}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/BIBM.2018.8621385}, doi = {10.1109/BIBM.2018.8621385}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/NingHZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinLNLDWP18, author = {Sheng Lin and Ning Liu and Mahdi Nazemi and Hongjia Li and Caiwen Ding and Yanzhi Wang and Massoud Pedram}, editor = {Jan Madsen and Ayse K. Coskun}, title = {FFT-based deep learning deployment in embedded systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1045--1050}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342166}, doi = {10.23919/DATE.2018.8342166}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinLNLDWP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DingRYMLLYW18, author = {Caiwen Ding and Ao Ren and Geng Yuan and Xiaolong Ma and Jiayu Li and Ning Liu and Bo Yuan and Yanzhi Wang}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {Structured Weight Matrices-Based Hardware Accelerators in Deep Neural Networks: FPGAs and ASICs}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {353--358}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194625}, doi = {10.1145/3194554.3194625}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/DingRYMLLYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaci/LiYHWM18, author = {Cailong Li and Ning Ye and Haiping Huang and Ruchuan Wang and Reza Malekian}, title = {Emotion recognition of human physiological signals based on recursive quantitative analysis}, booktitle = {Tenth International Conference on Advanced Computational Intelligence, {ICACI} 2018, Xiamen, China, March 29-31, 2018}, pages = {217--223}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICACI.2018.8377609}, doi = {10.1109/ICACI.2018.8377609}, timestamp = {Mon, 08 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaci/LiYHWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaci/ZhangCLX18, author = {Jiawei Zhang and Zhaoquan Cai and Xichun Liu and Lining Xing}, title = {A multiobjective satellite data transmission scheduling technology based on {NSGA-II}}, booktitle = {Tenth International Conference on Advanced Computational Intelligence, {ICACI} 2018, Xiamen, China, March 29-31, 2018}, pages = {594--600}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICACI.2018.8377527}, doi = {10.1109/ICACI.2018.8377527}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaci/ZhangCLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsip/WangCYZF18, author = {Hongqiao Wang and Yan{-}Ning Cai and Junyi Yao and Shaolei Zhang and Guangyuan Fu}, editor = {Fuchun Sun and Huaping Liu and Dewen Hu}, title = {{SAR} Image Fast Online {ATR} Based on Visual Attention and Scale Analysis}, booktitle = {Cognitive Systems and Signal Processing - 4th International Conference, {ICCSIP} 2018, Beijing, China, November 29 - December 1, 2018, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1005}, pages = {143--154}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-7983-3\_13}, doi = {10.1007/978-981-13-7983-3\_13}, timestamp = {Mon, 18 Jan 2021 14:59:50 +0100}, biburl = {https://dblp.org/rec/conf/iccsip/WangCYZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/SuLFZ18, author = {Caihong Su and Jifeng Li and Shengfeng Fang and Ningzhou Zhan}, title = {Design of multi-area surface quality visual inspection system for parts}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2018, Wuyishan, China, August 11-13, 2018}, pages = {855--858}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICInfA.2018.8812344}, doi = {10.1109/ICINFA.2018.8812344}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icinfa/SuLFZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/BenHCAX18, author = {Yongming Ben and Yanni Han and Ning Cai and Wei An and Zhen Xu}, title = {T-Tracker: Compressing System Audit Log by Taint Tracking}, booktitle = {24th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2018, Singapore, December 11-13, 2018}, pages = {587--595}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PADSW.2018.8645035}, doi = {10.1109/PADSW.2018.8645035}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/BenHCAX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ZhaoNLWCZ18, author = {Jing Zhao and Gao{-}Rong Ning and Hualin Lu and Yanbin Wang and Yan Cai and Jian Zhang}, editor = {Michel Chaudron and Ivica Crnkovic and Marsha Chechik and Mark Harman}, title = {A weight-based approach to combinatorial test generation}, booktitle = {Proceedings of the 40th International Conference on Software Engineering: Companion Proceeedings, {ICSE} 2018, Gothenburg, Sweden, May 27 - June 03, 2018}, pages = {378--379}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3183440.3195018}, doi = {10.1145/3183440.3195018}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/ZhaoNLWCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/ZhouHCX18, author = {Ning Zhou and Nan Hu and Shengsheng Cai and Xingguo Xu}, title = {A Cardiac Sound Localization and Identification Method for Electronic Stethoscope}, booktitle = {2018 {IEEE} International Conference on Signal Processing, Communications and Computing (ICSPCC), Qingdao, China, September 14-16, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSPCC.2018.8567742}, doi = {10.1109/ICSPCC.2018.8567742}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/ZhouHCX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws2/CaiYM18, author = {Shubin Cai and Ningsheng Yang and Zhong Ming}, editor = {Hai Jin and Qingyang Wang and Liang{-}Jie Zhang}, title = {A Decentralized Sharding Service Network Framework with Scalability}, booktitle = {Web Services - {ICWS} 2018 - 25th International Conference, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10966}, pages = {151--165}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94289-6\_10}, doi = {10.1007/978-3-319-94289-6\_10}, timestamp = {Wed, 29 Mar 2023 16:58:55 +0200}, biburl = {https://dblp.org/rec/conf/icws2/CaiYM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/NiGZ18, author = {Ningning Ni and Caili Guo and Zhimin Zeng}, editor = {Zhongzhi Shi and Eunika Mercier{-}Laurent and Jiuyong Li}, title = {Public Opinion Clustering for Hot Event Based on {BR-LDA} Model}, booktitle = {Intelligent Information Processing {IX} - 10th {IFIP} {TC} 12 International Conference, {IIP} 2018, Nanning, China, October 19-22, 2018, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {538}, pages = {3--11}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00828-4\_1}, doi = {10.1007/978-3-030-00828-4\_1}, timestamp = {Thu, 18 Oct 2018 14:04:56 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/NiGZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscid/WangCZ18, author = {Ning Wang and Aihua Cai and Shunsheng Zhang}, title = {The Study of {RNN} Enhanced Convolutional Neural Network for Fast Object Detection Based on the Spatial Context Multi-Fusion Features}, booktitle = {11th International Symposium on Computational Intelligence and Design, {ISCID} 2018, Hangzhou, China, December 8-9, 2018, Volume 1}, pages = {136--140}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCID.2018.00038}, doi = {10.1109/ISCID.2018.00038}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iscid/WangCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/BocheCC18, author = {Holger Boche and Minglai Cai and Ning Cai}, title = {Message Transmission over Classical Quantum Channels with a Jammer with Side Information}, booktitle = {2018 {IEEE} International Symposium on Information Theory, {ISIT} 2018, Vail, CO, USA, June 17-22, 2018}, pages = {2017--2021}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIT.2018.8437767}, doi = {10.1109/ISIT.2018.8437767}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/BocheCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/GuoH018, author = {Wangmei Guo and Dan He and Ning Cai}, title = {Network Error Correction Coding for Time-Varying Adversarial Errors in a Unicast Network}, booktitle = {2018 {IEEE} International Symposium on Information Theory, {ISIT} 2018, Vail, CO, USA, June 17-22, 2018}, pages = {836--840}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIT.2018.8437833}, doi = {10.1109/ISIT.2018.8437833}, timestamp = {Tue, 21 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/GuoH018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/SunXCMQ18, author = {Ning Sun and Meilin Xu and Mingzhi Cai and Xudong Ma and Yong Qin}, editor = {Adrien Ugon and Daniel Karlsson and Gunnar O. Klein and Anne Moen}, title = {Clinical Similarity Based Framework for Hospital Medical Supplies Utilization Anomaly Detection: {A} Case Study}, booktitle = {Building Continents of Knowledge in Oceans of Data: The Future of Co-Created eHealth - Proceedings of {MIE} 2018, Medical Informatics Europe, Gothenburg, Sweden, April 24-26, 2018}, series = {Studies in Health Technology and Informatics}, volume = {247}, pages = {31--35}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-852-5-31}, doi = {10.3233/978-1-61499-852-5-31}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mie/SunXCMQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/0003CLLLS18, author = {Ning Xie and Xinrui Cai and Sipei Li and Yifan Lu and Mingyue Lou and Heng Tao Shen}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {DT-Zheng: digital twin method for Zheng musical instrument}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {42:1--42:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283364}, doi = {10.1145/3283289.3283364}, timestamp = {Sun, 02 Dec 2018 12:01:29 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/0003CLLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanWHC0N18, author = {Wenhan Han and Jiaqi Wang and Xiping Hu and Hanshu Cai and Jun Cheng and Zhaolong Ning}, title = {The Impact of Digital Alarm Sound to Human Emotions: {A} Case Study}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {1903--1908}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00329}, doi = {10.1109/SMC.2018.00329}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/HanWHC0N18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-10550, author = {Holger Boche and Minglai Cai and Ning Cai}, title = {Message Transmission over Classical Quantum Channels with a Jammer with Side Information: Message Transmission Capacity and Resources}, journal = {CoRR}, volume = {abs/1801.10550}, year = {2018}, url = {http://arxiv.org/abs/1801.10550}, eprinttype = {arXiv}, eprint = {1801.10550}, timestamp = {Fri, 01 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-10550.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-00822, author = {Ruizhe Cai and Ao Ren and Ning Liu and Caiwen Ding and Luhao Wang and Xuehai Qian and Massoud Pedram and Yanzhi Wang}, title = {{VIBNN:} Hardware Acceleration of Bayesian Neural Networks}, journal = {CoRR}, volume = {abs/1802.00822}, year = {2018}, url = {http://arxiv.org/abs/1802.00822}, eprinttype = {arXiv}, eprint = {1802.00822}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-00822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-11239, author = {Caiwen Ding and Ao Ren and Geng Yuan and Xiaolong Ma and Jiayu Li and Ning Liu and Bo Yuan and Yanzhi Wang}, title = {Structured Weight Matrices-Based Hardware Accelerators in Deep Neural Networks: FPGAs and ASICs}, journal = {CoRR}, volume = {abs/1804.11239}, year = {2018}, url = {http://arxiv.org/abs/1804.11239}, eprinttype = {arXiv}, eprint = {1804.11239}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-11239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-00287, author = {Zong{-}Yuan Tan and Ning Cai and Jian Zhou}, title = {Analysis of Peer Review Effectiveness for Academic Journals Based on Distributed Parallel System}, journal = {CoRR}, volume = {abs/1806.00287}, year = {2018}, url = {http://arxiv.org/abs/1806.00287}, eprinttype = {arXiv}, eprint = {1806.00287}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-00287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-08803, author = {Ke Ning and Linchao Zhu and Ming Cai and Yi Yang and Di Xie and Fei Wu}, title = {Attentive Sequence to Sequence Translation for Localizing Clips of Interest by Natural Language Descriptions}, journal = {CoRR}, volume = {abs/1808.08803}, year = {2018}, url = {http://arxiv.org/abs/1808.08803}, eprinttype = {arXiv}, eprint = {1808.08803}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-08803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-02717, author = {Shaoyang Ning and Xi Qu and Victor Cai and Nathan Sanders}, title = {Clust-LDA: Joint Model for Text Mining and Author Group Inference}, journal = {CoRR}, volume = {abs/1810.02717}, year = {2018}, url = {http://arxiv.org/abs/1810.02717}, eprinttype = {arXiv}, eprint = {1810.02717}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-02717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-10742, author = {Hou{-}Ning Hu and Qi{-}Zhi Cai and Dequan Wang and Ji Lin and Min Sun and Philipp Kr{\"{a}}henb{\"{u}}hl and Trevor Darrell and Fisher Yu}, title = {Joint Monocular 3D Vehicle Detection and Tracking}, journal = {CoRR}, volume = {abs/1811.10742}, year = {2018}, url = {http://arxiv.org/abs/1811.10742}, eprinttype = {arXiv}, eprint = {1811.10742}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-10742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerjpre/CaiZ18, author = {Junling Cai and Ning Zhang}, title = {Mixed integer nonlinear programming for three-dimensional aircraft conflict avoidance}, journal = {PeerJ Prepr.}, volume = {6}, pages = {e27410}, year = {2018}, url = {https://doi.org/10.7287/peerj.preprints.27410v1}, doi = {10.7287/PEERJ.PREPRINTS.27410V1}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerjpre/CaiZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/0002DK17, author = {Ning Cai and Chen Diao and M. Junaid Khan}, title = {A Novel Clustering Method Based on Quasi-Consensus Motions of Dynamical Multiagent Systems}, journal = {Complex.}, volume = {2017}, pages = {4978613:1--4978613:8}, year = {2017}, url = {https://doi.org/10.1155/2017/4978613}, doi = {10.1155/2017/4978613}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/0002DK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/DingLWLHHL17, author = {Caiwen Ding and Ning Liu and Yanzhi Wang and Ji Li and Soroush Heidari and Jingtong Hu and Yongpan Liu}, title = {Multisource Indoor Energy Harvesting for Nonvolatile Processors}, journal = {{IEEE} Des. Test}, volume = {34}, number = {3}, pages = {42--49}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2682242}, doi = {10.1109/MDAT.2017.2682242}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/DingLWLHHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/RenZMCAA17, author = {Guodong Ren and Ping Zhou and Jun Ma and Ning Cai and Ahmed Alsaedi and Bashir Ahmad}, title = {Dynamical Response of Electrical Activities in Digital Neuron Circuit Driven by Autapse}, journal = {Int. J. Bifurc. Chaos}, volume = {27}, number = {12}, pages = {1750187:1--1750187:9}, year = {2017}, url = {https://doi.org/10.1142/S0218127417501875}, doi = {10.1142/S0218127417501875}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbc/RenZMCAA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijossp/WangLCNXJ17, author = {Yuehan Wang and Tong Li and Yongquan Cai and Zhenhu Ning and Fei Xue and Di Jiao}, title = {A Novel Anti-Obfuscation Model for Detecting Malicious Code}, journal = {Int. J. Open Source Softw. Process.}, volume = {8}, number = {2}, pages = {25--43}, year = {2017}, url = {https://doi.org/10.4018/IJOSSP.2017040102}, doi = {10.4018/IJOSSP.2017040102}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijossp/WangLCNXJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/LiSYLC17, author = {Jing Li and Ningfang Song and Gongliu Yang and Ming Li and Qingzhong Cai}, title = {Improving positioning accuracy of vehicular navigation system during {GPS} outages utilizing ensemble learning algorithm}, journal = {Inf. Fusion}, volume = {35}, pages = {1--10}, year = {2017}, url = {https://doi.org/10.1016/j.inffus.2016.08.001}, doi = {10.1016/J.INFFUS.2016.08.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/LiSYLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/CaiSC17, author = {Ning Cai and Yingda Song and Nan Chen}, title = {Exact Simulation of the {SABR} Model}, journal = {Oper. Res.}, volume = {65}, number = {4}, pages = {931--951}, year = {2017}, url = {https://doi.org/10.1287/opre.2017.1617}, doi = {10.1287/OPRE.2017.1617}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/CaiSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiYJG17, author = {Fachao Li and Jinning Yang and Chenxia Jin and Caimei Guo}, title = {A new effect-based roughness measure for attribute reduction in information system}, journal = {Inf. Sci.}, volume = {378}, pages = {348--362}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2016.08.056}, doi = {10.1016/J.INS.2016.08.056}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiYJG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/YuCKNXZ17, author = {Haiyang Yu and Yongquan Cai and Shanshan Kong and Zhenhu Ning and Fei Xue and Han Zhong}, title = {Efficient and Secure Identity-Based Public Auditing for Dynamic Outsourced Data with Proxy}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {10}, pages = {5039--5061}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.10.019}, doi = {10.3837/TIIS.2017.10.019}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/YuCKNXZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/XiaZCLPYN17, author = {Jing Xia and Shengyu Zhang and Guolong Cai and Li Li and Qing Pan and Jing Yan and Gangmin Ning}, title = {Adjusted weight voting algorithm for random forests in handling missing values}, journal = {Pattern Recognit.}, volume = {69}, pages = {52--60}, year = {2017}, url = {https://doi.org/10.1016/j.patcog.2017.04.005}, doi = {10.1016/J.PATCOG.2017.04.005}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/XiaZCLPYN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongMJTC17, author = {Ningfang Song and Kun Ma and Jing Jin and Fei Teng and Wei Cai}, title = {Modeling of Thermal Phase Noise in a Solid Core Photonic Crystal Fiber-Optic Gyroscope}, journal = {Sensors}, volume = {17}, number = {11}, pages = {2456}, year = {2017}, url = {https://doi.org/10.3390/s17112456}, doi = {10.3390/S17112456}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SongMJTC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongWXCW17, author = {Ningfang Song and Xiaoyang Wang and Xiaobin Xu and Wei Cai and Chunxiao Wu}, title = {Measurement of the Verdet Constant of Polarization-Maintaining Air-Core Photonic Bandgap Fiber}, journal = {Sensors}, volume = {17}, number = {8}, pages = {1899}, year = {2017}, url = {https://doi.org/10.3390/s17081899}, doi = {10.3390/S17081899}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongWXCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sivp/JiangNCL17, author = {Shaojie Jiang and Jifeng Ning and Cheng Cai and Yunsong Li}, title = {Robust Struck tracker via color Haar-like feature and selective updating}, journal = {Signal Image Video Process.}, volume = {11}, number = {6}, pages = {1073--1080}, year = {2017}, url = {https://doi.org/10.1007/s11760-017-1059-x}, doi = {10.1007/S11760-017-1059-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sivp/JiangNCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GaoQZLTMJQZWR17, author = {Caixia Gao and Shi Qiu and Enyu Zhao and Chuanrong Li and Lingli Tang and Lingling Ma and Xiaoguang Jiang and Yonggang Qian and Yongguang Zhao and Ning Wang and Lu Ren}, title = {Land Surface Temperature Retrieval From {FY-3C/VIRR} Data and Its Cross-Validation With Terra/MODIS}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {10}, number = {11}, pages = {4944--4953}, year = {2017}, url = {https://doi.org/10.1109/JSTARS.2017.2728082}, doi = {10.1109/JSTARS.2017.2728082}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/GaoQZLTMJQZWR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/NingLXJLZ17, author = {Zhaolong Ning and Li Liu and Feng Xia and Behrouz Jedari and Ivan Lee and Weishan Zhang}, title = {{CAIS:} {A} Copy Adjustable Incentive Scheme in Community-Based Socially Aware Networking}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {66}, number = {4}, pages = {3406--3419}, year = {2017}, url = {https://doi.org/10.1109/TVT.2016.2593051}, doi = {10.1109/TVT.2016.2593051}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/NingLXJLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ZhengWZZCS17, author = {Jianchao Zheng and Yuan Wu and Ning Zhang and Haibo Zhou and Yueming Cai and Xuemin Shen}, title = {Optimal Power Control in Ultra-Dense Small Cell Networks: {A} Game-Theoretic Approach}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {16}, number = {7}, pages = {4139--4150}, year = {2017}, url = {https://doi.org/10.1109/TWC.2016.2646346}, doi = {10.1109/TWC.2016.2646346}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ZhengWZZCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/ChenLGLL17, author = {Cailian Chen and Tom Hao Luan and Xinping Guan and Ning Lu and Yunshu Liu}, title = {Connected Vehicular Transportation: Data Analytics and Traffic-Dependent Networking}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {12}, number = {3}, pages = {42--54}, year = {2017}, url = {https://doi.org/10.1109/MVT.2016.2645318}, doi = {10.1109/MVT.2016.2645318}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vtm/ChenLGLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/XuCWWL17, author = {Ming Xu and Yang Cai and He{-}Sheng Wu and Chongjun Wang and Ning Li}, editor = {Lei Chen and Christian S. Jensen and Cyrus Shahabi and Xiaochun Yang and Xiang Lian}, title = {Intensity of Relationship Between Words: Using Word Triangles in Topic Discovery for Short Texts}, booktitle = {Web and Big Data - First International Joint Conference, APWeb-WAIM 2017, Beijing, China, July 7-9, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10366}, pages = {642--649}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63579-8\_48}, doi = {10.1007/978-3-319-63579-8\_48}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apweb/XuCWWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/HouCZWLS17, author = {Jiajia Hou and Zhihao Cai and Jiang Zhao and Yingxun Wang and Ningjun Liu and Ziwei Song}, title = {Fuzzy and PSO-based {PID} controller for a hybrid autogyro attitude control}, booktitle = {11th Asian Control Conference, {ASCC} 2017, Gold Coast, Australia, December 17-20, 2017}, pages = {2160--2165}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASCC.2017.8287509}, doi = {10.1109/ASCC.2017.8287509}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/HouCZWLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HanJSWWCZXDWXPZ17, author = {Xiaowei Han and Qian Jia and Hongbin Sun and Longfei Wang and Huaqiang Wu and Yimao Cai and Feng Zhang and Yongyi Xie and Fangxu Dong and Xiaoguang Wang and Xiaofei Xue and Li Pang and Xiaoqing Zhao and Mengnan Wu and Pu Bai and Qi Liu and Hangbing Lv and Bing Yu and Chao Zhao and He Qian and Ru Huang and Ming Liu and Yumei Zhou and Nanning Zheng and Qiwei Ren}, title = {A 0.13{\(\mu\)}m 64Mb HfOx ReRAM using configurable ramped voltage write and low read-disturb sensing techniques for reliability improvement}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993685}, doi = {10.1109/CICC.2017.7993685}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HanJSWWCZXDWXPZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colcom/Ge0CXQYSH17, author = {Haidong Ge and Ning Zheng and Lin Cai and Ming Xu and Tong Qiao and Tao Yang and Jinkai Sun and Sudeng Hu}, editor = {Imed Romdhani and Lei Shu and Takahiro Hara and Zhangbing Zhou and Timothy J. Gordon and Deze Zeng}, title = {Adaptive Carving Method for Live {FLV} Streaming}, booktitle = {Collaborative Computing: Networking, Applications and Worksharing - 13th International Conference, CollaborateCom 2017, Edinburgh, UK, December 11-13, 2017, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {252}, pages = {554--566}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-030-00916-8\_51}, doi = {10.1007/978-3-030-00916-8\_51}, timestamp = {Tue, 29 Oct 2019 08:35:03 +0100}, biburl = {https://dblp.org/rec/conf/colcom/Ge0CXQYSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/LiSCHOYZ17, author = {Junqing Li and Changqing Song and Ning Cao and Russell Higgs and Gregory M. P. O'Hare and Cuinan Yang and Qiang Zhou}, title = {The Construction of a Remote Control and Data Collection System of Cai Based}, booktitle = {2017 {IEEE} International Conference on Computational Science and Engineering, {CSE} 2017, and {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2017, Guangzhou, China, July 21-24, 2017, Volume 2}, pages = {85--88}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CSE-EUC.2017.200}, doi = {10.1109/CSE-EUC.2017.200}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/LiSCHOYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/ScaffardiZMTKLL17, author = {Mirco Scaffardi and Ning Zhang and Muhammad Nouman Malik and Veronica Toccafondo and Charalambos Klitis and Martin P. J. Lavery and Emma Lazzeri and Andrea Sgambelluri and Diego Marini and Jiangbo Zhu and Xinlun Cai and Siyuan Yu and Gianni Preve and Marc Sorel and Antonella Bogoni}, title = {Tunable Orbital Angular Momentum {(OAM)} Conversion on 100Gb/s Real Data Traffic by Exploiting Concentric Waveguide Emitters}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8345958}, doi = {10.1109/ECOC.2017.8345958}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/ScaffardiZMTKLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/LiWFCC17, author = {Yongqiang Li and Zhong Wang and Qinggang Fan and Yan{-}Ning Cai and Baisong Chen}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {An Multi-hop Broadcast Protocol for VANETs}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {358--366}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_36}, doi = {10.1007/978-3-319-59463-7\_36}, timestamp = {Mon, 03 Apr 2023 12:49:11 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/LiWFCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/LiWFCWZ17, author = {Yongqiang Li and Zhong Wang and Qinggang Fan and Yan{-}Ning Cai and Yubin Wu and Yunjie Zhu}, editor = {Leonard Barolli and Mingwu Zhang and Xu An Wang}, title = {A Routing Based on Geographical Location Information for Wireless Ad Hoc Networks}, booktitle = {Advances in Internetworking, Data {\&} Web Technologies, The 5th International Conference on Emerging Internetworking, Data {\&} Web Technologies, EIDWT-2017, Wuhan, China, June 10-11, 2017}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {6}, pages = {657--666}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59463-7\_66}, doi = {10.1007/978-3-319-59463-7\_66}, timestamp = {Tue, 05 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/LiWFCWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/NingWLJXMC17, author = {Yishuang Ning and Zhiyong Wu and Runnan Li and Jia Jia and Mingxing Xu and Helen M. Meng and Lianhong Cai}, title = {Learning cross-lingual knowledge with multilingual {BLSTM} for emphasis detection with limited training data}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {5615--5619}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7953231}, doi = {10.1109/ICASSP.2017.7953231}, timestamp = {Sat, 08 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/NingWLJXMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/WangLNWD17, author = {Caidong Wang and Yihao Li and Yu Ning and Liangwen Wang and Wenliao Du}, editor = {Yongan Huang and Hao Wu and Honghai Liu and Zhouping Yin}, title = {Accuracy Synthesis of a 3-R2H2S Parallel Robot Based on Rigid-Flexible Coupling Mode}, booktitle = {Intelligent Robotics and Applications - 10th International Conference, {ICIRA} 2017, Wuhan, China, August 16-18, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10463}, pages = {429--440}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-65292-4\_37}, doi = {10.1007/978-3-319-65292-4\_37}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/WangLNWD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/HuangYLGC17, author = {Ningbo Huang and Yong Yang and Junjie Liu and Xinchao Gu and Hua Cai}, editor = {Derong Liu and Shengli Xie and Yuanqing Li and Dongbin Zhao and El{-}Sayed M. El{-}Alfy}, title = {Single-Image Super-Resolution for Remote Sensing Data Using Deep Residual-Learning Neural Network}, booktitle = {Neural Information Processing - 24th International Conference, {ICONIP} 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10635}, pages = {622--630}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70096-0\_64}, doi = {10.1007/978-3-319-70096-0\_64}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/HuangYLGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LiWNSMC17, author = {Runnan Li and Zhiyong Wu and Yishuang Ning and Lifa Sun and Helen Meng and Lianhong Cai}, editor = {Francisco Lacerda}, title = {Spectro-Temporal Modelling with Time-Frequency {LSTM} and Structured Output Layer for Voice Conversion}, booktitle = {Interspeech 2017, 18th Annual Conference of the International Speech Communication Association, Stockholm, Sweden, August 20-24, 2017}, pages = {3409--3413}, publisher = {{ISCA}}, year = {2017}, url = {https://doi.org/10.21437/Interspeech.2017-1122}, doi = {10.21437/INTERSPEECH.2017-1122}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LiWNSMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NingZHRWCH17, author = {Guanghan Ning and Zhi Zhang and Chen Huang and Xiaobo Ren and Haohong Wang and Canhui Cai and Zhihai He}, title = {Spatially supervised recurrent convolutional neural networks for visual object tracking}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050867}, doi = {10.1109/ISCAS.2017.8050867}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NingZHRWCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/HayashiOKC17, author = {Masahito Hayashi and Masaki Owari and Go Kato and Ning Cai}, title = {Secrecy and robustness for active attack in secure network coding}, booktitle = {2017 {IEEE} International Symposium on Information Theory, {ISIT} 2017, Aachen, Germany, June 25-30, 2017}, pages = {1172--1176}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISIT.2017.8006713}, doi = {10.1109/ISIT.2017.8006713}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/HayashiOKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/FanWLL17, author = {Ning Fan and Shuwen Wang and Caixia Liu and Xiaoming Liu}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Ahmed Al{-}Dubi and Xiaolong Jin and Laurence T. Yang and Jianhua Ma and Po Yang}, title = {Wheel Wear Prediction of High-Speed Train Using {NAR} and {BP} Neural Networks}, booktitle = {2017 {IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), Exeter, United Kingdom, June 21-23, 2017}, pages = {126--130}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData.2017.24}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA.2017.24}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/ithings/FanWLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ZhangWNMWGSHSCR17, author = {Fan Zhang and Weining Wu and Lipeng Ning and Gloria McAnulty and Deborah P. Waber and Borjan A. Gagoski and Kiera Sarill and Hesham M. Hamoda and Yang Song and Weidong Cai and Yogesh Rathi and Lauren J. O'Donnell}, editor = {Maxime Descoteaux and Lena Maier{-}Hein and Alfred M. Franz and Pierre Jannin and D. Louis Collins and Simon Duchesne}, title = {Supra-Threshold Fiber Cluster Statistics for Data-Driven Whole Brain Tractography Analysis}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2017 - 20th International Conference, Quebec City, QC, Canada, September 11-13, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10433}, pages = {556--565}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66182-7\_64}, doi = {10.1007/978-3-319-66182-7\_64}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ZhangWNMWGSHSCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DingLWLLZWQBYMZ17, author = {Caiwen Ding and Siyu Liao and Yanzhi Wang and Zhe Li and Ning Liu and Youwei Zhuo and Chao Wang and Xuehai Qian and Yu Bai and Geng Yuan and Xiaolong Ma and Yipeng Zhang and Jian Tang and Qinru Qiu and Xue Lin and Bo Yuan}, editor = {Hillery C. Hunter and Jaime Moreno and Joel S. Emer and Daniel S{\'{a}}nchez}, title = {CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices}, booktitle = {Proceedings of the 50th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2017, Cambridge, MA, USA, October 14-18, 2017}, pages = {395--408}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123939.3124552}, doi = {10.1145/3123939.3124552}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/DingLWLLZWQBYMZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/FangYNZC17, author = {Yunyu Fang and Bei{-}Bei Yin and Gao{-}Rong Ning and Zheng Zheng and Kai{-}Yuan Cai}, editor = {Dong Seong Kim and Masato Kitakami and Vijay Varadharajan}, title = {A Rejuvenation Strategy of Two-Granularity Software Based on Adaptive Control}, booktitle = {22nd {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2017, Christchurch, New Zealand, January 22-25, 2017}, pages = {104--109}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PRDC.2017.23}, doi = {10.1109/PRDC.2017.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/FangYNZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/GaoYSWC17, author = {Doudou Gao and Peijiang Yuan and Ning Sun and Xulei Wu and Ying Cai}, title = {Face attribute prediction with convolutional neural networks}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {1294--1299}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324596}, doi = {10.1109/ROBIO.2017.8324596}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/GaoYSWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LiCBCX17, author = {Congjian Li and Yu Cheng and Sheng Bi and Yingfeng Cai and Ning Xi}, title = {Learning object recognition based on compressive sampling}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {2663--2668}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324821}, doi = {10.1109/ROBIO.2017.8324821}, timestamp = {Mon, 13 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LiCBCX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcom/CaiHYJMLS17, author = {Shubin Cai and Rongjie Huang and Ningsheng Yang and Jinwen Jiang and Zhong Ming and Zhengping Liang and Zhiguang Shan}, editor = {Meikang Qiu}, title = {Research on Dynamic Safe Loading Techniques in Android Application Protection System}, booktitle = {Smart Computing and Communication - Second International Conference, SmartCom 2017, Shenzhen, China, December 10-12, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10699}, pages = {134--143}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-73830-7\_14}, doi = {10.1007/978-3-319-73830-7\_14}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/smartcom/CaiHYJMLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CaiHWK17, author = {Ning Cai and Ming He and Qiu{-}Xuan Wu and M. Junaid Khan}, title = {On Almost Controllability of Dynamical Complex Networks with Noises}, journal = {CoRR}, volume = {abs/1706.00890}, year = {2017}, url = {http://arxiv.org/abs/1706.00890}, eprinttype = {arXiv}, eprint = {1706.00890}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CaiHWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChenLGLL17, author = {Cailian Chen and Tom Hao Luan and Xin{-}Ping Guan and Ning Lu and Yunshu Liu}, title = {Connected Vehicular Transportation: Data Analytics and Traffic-dependent Networking}, journal = {CoRR}, volume = {abs/1704.08125}, year = {2017}, url = {http://arxiv.org/abs/1704.08125}, eprinttype = {arXiv}, eprint = {1704.08125}, timestamp = {Sat, 08 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChenLGLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HayashiOKC17, author = {Masahito Hayashi and Masaki Owari and Go Kato and Ning Cai}, title = {Secrecy and Robustness for Active Attack in Secure Network Coding}, journal = {CoRR}, volume = {abs/1703.00723}, year = {2017}, url = {http://arxiv.org/abs/1703.00723}, eprinttype = {arXiv}, eprint = {1703.00723}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HayashiOKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-06933, author = {Ning Cai and Chun{-}Lin Deng and Qiu{-}Xuan Wu}, title = {On Non-Consensus Motions of Dynamical Linear Multi-Agent Systems}, journal = {CoRR}, volume = {abs/1708.06933}, year = {2017}, url = {http://arxiv.org/abs/1708.06933}, eprinttype = {arXiv}, eprint = {1708.06933}, timestamp = {Fri, 23 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-06933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-08917, author = {Caiwen Ding and Siyu Liao and Yanzhi Wang and Zhe Li and Ning Liu and Youwei Zhuo and Chao Wang and Xuehai Qian and Yu Bai and Geng Yuan and Xiaolong Ma and Yipeng Zhang and Jian Tang and Qinru Qiu and Xue Lin and Bo Yuan}, title = {CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-CirculantWeight Matrices}, journal = {CoRR}, volume = {abs/1708.08917}, year = {2017}, url = {http://arxiv.org/abs/1708.08917}, eprinttype = {arXiv}, eprint = {1708.08917}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-08917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-10415, author = {Jian Zhou and Ning Cai and Zong{-}Yuan Tan}, title = {A Distributed Parallel Model to Analyze Journal Impact Factors}, journal = {CoRR}, volume = {abs/1710.10415}, year = {2017}, url = {http://arxiv.org/abs/1710.10415}, eprinttype = {arXiv}, eprint = {1710.10415}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-10415.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-05909, author = {Ning Cai and Chen Diao and Bo{-}Han Yan and Jin{-}Hu Liu}, title = {Social Computing Based Analysis on Monogamous Marriage Puzzle of Human}, journal = {CoRR}, volume = {abs/1711.05909}, year = {2017}, url = {http://arxiv.org/abs/1711.05909}, eprinttype = {arXiv}, eprint = {1711.05909}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-05909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-04910, author = {Sheng Lin and Ning Liu and Mahdi Nazemi and Hongjia Li and Caiwen Ding and Yanzhi Wang and Massoud Pedram}, title = {FFT-Based Deep Learning Deployment in Embedded Systems}, journal = {CoRR}, volume = {abs/1712.04910}, year = {2017}, url = {http://arxiv.org/abs/1712.04910}, eprinttype = {arXiv}, eprint = {1712.04910}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-04910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-09035, author = {Ning Cai and Masahito Hayashi}, title = {Secure Network Code for Adaptive and Active Attacks with No-Randomness in Intermediate Nodes}, journal = {CoRR}, volume = {abs/1712.09035}, year = {2017}, url = {http://arxiv.org/abs/1712.09035}, eprinttype = {arXiv}, eprint = {1712.09035}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-09035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/LinSLZZGYHY16, author = {Tao Lin and Caige Sun and Xinhu Li and Qianjun Zhao and Guoqin Zhang and Rubing Ge and Hong Ye and Ning Huang and Kai Yin}, title = {Spatial pattern of urban functional landscapes along an urban-rural gradient: {A} case study in Xiamen City, China}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {46}, pages = {22--30}, year = {2016}, url = {https://doi.org/10.1016/j.jag.2015.11.014}, doi = {10.1016/J.JAG.2015.11.014}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aeog/LinSLZZGYHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvm/WuLBLZ16, author = {Liqiong Wu and Yepeng Liu and Brekhna and Ning Liu and Caiming Zhang}, title = {High-resolution images based on directional fusion of gradient}, journal = {Comput. Vis. Media}, volume = {2}, number = {1}, pages = {31--43}, year = {2016}, url = {https://doi.org/10.1007/s41095-016-0036-6}, doi = {10.1007/S41095-016-0036-6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cvm/WuLBLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChenZTWC16, author = {Yonghong Chen and Ning Zhang and Hui Tian and Tian Wang and Yiqiao Cai}, title = {A Novel Connection Correlation Scheme Based on Threshold Secret Sharing}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {12}, pages = {2414--2417}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2604390}, doi = {10.1109/LCOMM.2016.2604390}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChenZTWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HanWLSW16, author = {Guang Han and Xingyue Wang and Jixin Liu and Ning Sun and Cailing Wang}, title = {Robust object tracking based on local region sparse appearance model}, journal = {Neurocomputing}, volume = {184}, pages = {145--167}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.07.122}, doi = {10.1016/J.NEUCOM.2015.07.122}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HanWLSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhouHHZKC16, author = {You Zhou and Tao Huang and Guohua Huang and Ning Zhang and Xiangyin Kong and Yu{-}Dong Cai}, title = {Prediction of protein N-formylation and comparison with N-acetylation based on a feature selection method}, journal = {Neurocomputing}, volume = {217}, pages = {53--62}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.10.148}, doi = {10.1016/J.NEUCOM.2015.10.148}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhouHHZKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LinCZGS16, author = {Feilong Lin and Cailian Chen and Ning Zhang and Xinping Guan and Xuemin Shen}, title = {Autonomous Channel Switching: Towards Efficient Spectrum Sharing for Industrial Wireless Sensor Networks}, journal = {{IEEE} Internet Things J.}, volume = {3}, number = {2}, pages = {231--243}, year = {2016}, url = {https://doi.org/10.1109/JIOT.2015.2490544}, doi = {10.1109/JIOT.2015.2490544}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LinCZGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcin/ChengBLYZQ16, author = {Long Cheng and Wencheng Bao and Ning Liu and Guangrong Yue and Xianbing Zou and Robert Caiming Qiu}, title = {Study of propagation characteristics of outdoor-to-indoor channel in the 60-GHz band}, journal = {J. Commun. Inf. Networks}, volume = {1}, number = {2}, pages = {93--101}, year = {2016}, url = {https://doi.org/10.1007/BF03391561}, doi = {10.1007/BF03391561}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcin/ChengBLYZQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/CaiYCNMZHO16, author = {Ken Cai and Rongqian Yang and Huazhou Chen and Hai Ning and Amin Ma and Jing Zhou and Wenhua Huang and Shanxing Ou}, title = {Simulation and Visualization of Liver Cancer Ablation Focus in Optical Surgical Navigation}, journal = {J. Medical Syst.}, volume = {40}, number = {1}, pages = {19:1--19:7}, year = {2016}, url = {https://doi.org/10.1007/s10916-015-0397-x}, doi = {10.1007/S10916-015-0397-X}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/CaiYCNMZHO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jota/CaiLL16, author = {Xin Cai and Shaoyuan Li and Ning Li}, title = {Performance Limits Analysis of Nonlinear Model Predictive Control Systems}, journal = {J. Optim. Theory Appl.}, volume = {168}, number = {1}, pages = {53--62}, year = {2016}, url = {https://doi.org/10.1007/s10957-015-0752-6}, doi = {10.1007/S10957-015-0752-6}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jota/CaiLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/PanZWCYFN16, author = {Qing Pan and Gongzhan Zhou and Ruofan Wang and Guolong Cai and Jing Yan and Luping Fang and Gangmin Ning}, title = {Do the deceleration/acceleration capacities of heart rate reflect cardiac sympathetic or vagal activity? {A} model study}, journal = {Medical Biol. Eng. Comput.}, volume = {54}, number = {12}, pages = {1921--1933}, year = {2016}, url = {https://doi.org/10.1007/s11517-016-1486-9}, doi = {10.1007/S11517-016-1486-9}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/PanZWCYFN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaoZLMWQR16, author = {Caixia Gao and Yongguang Zhao and Chuanrong Li and Lingling Ma and Ning Wang and Yonggang Qian and Lu Ren}, title = {An Investigation of a Novel Cross-Calibration Method of {FY-3C/VIRR} against {NPP/VIIRS} in the Dunhuang Test Site}, journal = {Remote. Sens.}, volume = {8}, number = {1}, pages = {77}, year = {2016}, url = {https://doi.org/10.3390/rs8010077}, doi = {10.3390/RS8010077}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GaoZLMWQR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CaiYSL16, author = {Qingzhong Cai and Gongliu Yang and Ningfang Song and Yiliang Liu}, title = {Systematic Calibration for Ultra-High Accuracy Inertial Measurement Units}, journal = {Sensors}, volume = {16}, number = {6}, pages = {940}, year = {2016}, url = {https://doi.org/10.3390/s16060940}, doi = {10.3390/S16060940}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/CaiYSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiangJYYCXC16, author = {Qiuhua Liang and Junke Jiang and Huaiyu Ye and Ning Yang and Miao Cai and Jing Xiao and Xianping Chen}, title = {Sorption and Diffusion of Water Vapor and Carbon Dioxide in Sulfonated Polyaniline as Chemical Sensing Materials}, journal = {Sensors}, volume = {16}, number = {5}, pages = {606}, year = {2016}, url = {https://doi.org/10.3390/s16050606}, doi = {10.3390/S16050606}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiangJYYCXC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/WangCFW16, author = {Hongqiao Wang and Yan{-}Ning Cai and Guangyuan Fu and Shicheng Wang}, title = {Robust Automatic Target Recognition Algorithm for Large-Scene {SAR} Images and Its Adaptability Analysis on Speckle}, journal = {Sci. Program.}, volume = {2016}, pages = {3801053:1--3801053:11}, year = {2016}, url = {https://doi.org/10.1155/2016/3801053}, doi = {10.1155/2016/3801053}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/WangCFW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/QianWMC0LHGJTL16, author = {Yonggang Qian and Ning Wang and Lingling Ma and Mengshuo Chen and Hua Wu and Li Liu and Qijin Han and Caixia Gao and Yuan{-}Yuan Jia and Lingli Tang and Chuanrong Li}, title = {Evaluation of Temperature and Emissivity Retrieval using Spectral Smoothness Method for Low-Emissivity Materials}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {9}, pages = {4307--4315}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2522464}, doi = {10.1109/JSTARS.2016.2522464}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/QianWMC0LHGJTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/Cai16, author = {Ning Cai}, title = {List Decoding for Arbitrarily Varying Multiple Access Channel Revisited: List Configuration and Symmetrizability}, journal = {{IEEE} Trans. Inf. Theory}, volume = {62}, number = {11}, pages = {6095--6110}, year = {2016}, url = {https://doi.org/10.1109/TIT.2016.2608900}, doi = {10.1109/TIT.2016.2608900}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/Cai16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/YanCNW16, author = {Xi{-}Hui Yan and Baigen Cai and Bin Ning and Wei ShangGuan}, title = {Moving Horizon Optimization of Dynamic Trajectory Planning for High-Speed Train Operation}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {17}, number = {5}, pages = {1258--1270}, year = {2016}, url = {https://doi.org/10.1109/TITS.2015.2499254}, doi = {10.1109/TITS.2015.2499254}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/YanCNW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/NingZLAMTYC16, author = {Gao{-}Rong Ning and Jing Zhao and Yunlong Lou and Javier Alonso and Rivalino Matias and Kishor S. Trivedi and Bei{-}Bei Yin and Kai{-}Yuan Cai}, title = {Optimization of Two-Granularity Software Rejuvenation Policy Based on the Markov Regenerative Process}, journal = {{IEEE} Trans. Reliab.}, volume = {65}, number = {4}, pages = {1630--1646}, year = {2016}, url = {https://doi.org/10.1109/TR.2016.2570539}, doi = {10.1109/TR.2016.2570539}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tr/NingZLAMTYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/GuCCHY16, author = {Xinchao Gu and Hua Cai and Kai Cui and Ningbo Huang and Yong Yang}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {Research on congestion perception and control of network on chip}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {866--870}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852832}, doi = {10.1109/CISP-BMEI.2016.7852832}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/GuCCHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/GuCZHY16, author = {Xinchao Gu and Hua Cai and Yao Zhang and Ningbo Huang and Yong Yang}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {Research on network fault tolerance method on chip}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {871--876}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852833}, doi = {10.1109/CISP-BMEI.2016.7852833}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmei/GuCZHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ZhangCF16, author = {Ning Zhang and Xinye Cai and Zhun Fan}, title = {A multi-phase adaptively guided multiobjective evolutionary algorithm based on decomposition for travelling salesman problem}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {3284--3291}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7744205}, doi = {10.1109/CEC.2016.7744205}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/ZhangCF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuDWH16, author = {Ning Liu and Caiwen Ding and Yanzhi Wang and Jingtong Hu}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {Neural Network-based Prediction Algorithms for In-Door Multi-Source Energy Harvesting System for Non-Volatile Processors}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {275--280}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2903037}, doi = {10.1145/2902961.2903037}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuDWH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/CaiWHW16, author = {Rijun Cai and Weigang Wu and Ning Huang and Lihui Wu}, editor = {Jes{\'{u}}s Carretero and Javier Garc{\'{\i}}a Blas and Ryan K. L. Ko and Peter Mueller and Koji Nakano}, title = {Processing Partially Ordered Requests in Distributed Stream Processing Systems}, booktitle = {Algorithms and Architectures for Parallel Processing - 16th International Conference, {ICA3PP} 2016, Granada, Spain, December 14-16, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10048}, pages = {211--219}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49583-5\_16}, doi = {10.1007/978-3-319-49583-5\_16}, timestamp = {Sun, 12 Nov 2023 02:14:42 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/CaiWHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LanLNWMJC16, author = {Xinyu Lan and Xu Li and Yishuang Ning and Zhiyong Wu and Helen Meng and Jia Jia and Lianhong Cai}, title = {Low level descriptors based {DBLSTM} bottleneck feature for speech driven talking avatar}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {5550--5554}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7472739}, doi = {10.1109/ICASSP.2016.7472739}, timestamp = {Sat, 08 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LanLNWMJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsip/WangCFW16, author = {Hongqiao Wang and Yan{-}Ning Cai and Guangyuan Fu and Ming Wu}, editor = {Fuchun Sun and Huaping Liu and Dewen Hu}, title = {Visual-Cognition-Driven {SAR} Multiple Targets Robust Feature Extraction, Recognition and Tracking}, booktitle = {Cognitive Systems and Signal Processing - Third International Conference, {ICCSIP} 2016, Beijing, China, November 19-23, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {710}, pages = {100--112}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-5230-9\_11}, doi = {10.1007/978-981-10-5230-9\_11}, timestamp = {Mon, 18 Jan 2021 14:59:50 +0100}, biburl = {https://dblp.org/rec/conf/iccsip/WangCFW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiTXNC16, author = {Xinxing Li and Jiashen Tian and Mingxing Xu and Yishuang Ning and Lianhong Cai}, title = {DBLSTM-based multi-scale fusion for dynamic emotion prediction in music}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2016, Seattle, WA, USA, July 11-15, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICME.2016.7552956}, doi = {10.1109/ICME.2016.7552956}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiTXNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WuCCJWL16, author = {Penghai Wu and Ning Cai and Qi Chen and Changsheng Jiang and Yanlan Wu and Junli Li}, title = {Water area annual variations of nine plateau lakes in Yunnan province, China: {A} brief spatiotemporal analysis with landsat time series}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {6233--6236}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730628}, doi = {10.1109/IGARSS.2016.7730628}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WuCCJWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/BocheCN16, author = {Holger Boche and Ning Cai and Janis Noetzel}, title = {Classical-quantum channels with causal and non-causal channel state information at the sender}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2016, Barcelona, Spain, July 10-15, 2016}, pages = {2049--2053}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISIT.2016.7541659}, doi = {10.1109/ISIT.2016.7541659}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/BocheCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/HeLC16, author = {Dan He and Yuan Luo and Ning Cai}, title = {Strong secrecy capacity of the wiretap channel {II} with {DMC} main channel}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2016, Barcelona, Spain, July 10-15, 2016}, pages = {505--509}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISIT.2016.7541350}, doi = {10.1109/ISIT.2016.7541350}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/HeLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Cai16, author = {Ning Cai}, title = {On Quantitatively Measuring Controllability of Complex Networks}, journal = {CoRR}, volume = {abs/1601.00172}, year = {2016}, url = {http://arxiv.org/abs/1601.00172}, eprinttype = {arXiv}, eprint = {1601.00172}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Cai16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KamelWVSNWDT15, author = {George Kamel and Ning Wang and Vassilios G. Vassilakis and Zhili Sun and Pirabakaran Navaratnam and Chonggang Wang and Lijun Dong and Rahim Tafazolli}, title = {{CAINE:} a context-aware information-centric network ecosystem}, journal = {{IEEE} Commun. Mag.}, volume = {53}, number = {8}, pages = {176--183}, year = {2015}, url = {https://doi.org/10.1109/MCOM.2015.7180525}, doi = {10.1109/MCOM.2015.7180525}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KamelWVSNWDT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/ZhuXYCYN15, author = {Min Zhu and Jing Xia and MoLei Yan and Guolong Cai and Jing Yan and Gangmin Ning}, title = {Dimensionality Reduction in Complex Medical Data: Improved Self-Adaptive Niche Genetic Algorithm}, journal = {Comput. Math. Methods Medicine}, volume = {2015}, pages = {794586:1--794586:12}, year = {2015}, url = {https://doi.org/10.1155/2015/794586}, doi = {10.1155/2015/794586}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/ZhuXYCYN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/CaiCG15, author = {Feng Cai and Ning Cai and Wangmei Guo}, title = {Subspace Coding for Networks with Different Level Messages}, journal = {Entropy}, volume = {17}, number = {9}, pages = {6462--6480}, year = {2015}, url = {https://doi.org/10.3390/e17096462}, doi = {10.3390/E17096462}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/CaiCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuXCGHZXC15, author = {Tai{-}Long Xu and Feng Xue and Zhikuang Cai and Xian{-}He Gao and Xue{-}You Hu and Chang{-}Jun Zha and Yu{-}Feng Xu and Jun{-}Ning Chen}, title = {A wide-range and fast-locking all digital {SARDLL} for {DVFS} SoCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {12}, pages = {20150284}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150284}, doi = {10.1587/ELEX.12.20150284}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuXCGHZXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/CaiSK15, author = {Ning Cai and Yingda Song and Steven Kou}, title = {A General Framework for Pricing Asian Options Under Markov Processes}, journal = {Oper. Res.}, volume = {63}, number = {3}, pages = {540--554}, year = {2015}, url = {https://doi.org/10.1287/opre.2015.1385}, doi = {10.1287/OPRE.2015.1385}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/CaiSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WuNZJMMC15, author = {Zhiyong Wu and Yishuang Ning and Xiao Zang and Jia Jia and Fanbo Meng and Helen Meng and Lianhong Cai}, title = {Generating emphatic speech with hidden Markov model for expressive speech synthesis}, journal = {Multim. Tools Appl.}, volume = {74}, number = {22}, pages = {9909--9925}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-2164-2}, doi = {10.1007/S11042-014-2164-2}, timestamp = {Sat, 08 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WuNZJMMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamnum/LiuCS15, author = {Hailiang Liu and Wenli Cai and Ning Su}, title = {Entropy Satisfying Schemes for Computing Selection Dynamics in Competitive Interactions}, journal = {{SIAM} J. Numer. Anal.}, volume = {53}, number = {3}, pages = {1393--1417}, year = {2015}, url = {https://doi.org/10.1137/140965739}, doi = {10.1137/140965739}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamnum/LiuCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/QianZGWM15, author = {Yonggang Qian and Enyu Zhao and Caixia Gao and Ning Wang and Lingling Ma}, title = {Land Surface Temperature Retrieval Using Nighttime Mid-Infrared Channels Data From Airborne Hyperspectral Scanner}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {8}, number = {3}, pages = {1208--1216}, year = {2015}, url = {https://doi.org/10.1109/JSTARS.2014.2364853}, doi = {10.1109/JSTARS.2014.2364853}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/QianZGWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/ChenYLWYG15, author = {Cailian Chen and Jing Yan and Ning Lu and Yiyin Wang and Xian Yang and Xinping Guan}, title = {Ubiquitous Monitoring for Industrial Cyber-Physical Systems Over Relay- Assisted Wireless Sensor Networks}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {3}, number = {3}, pages = {352--362}, year = {2015}, url = {https://doi.org/10.1109/TETC.2014.2386615}, doi = {10.1109/TETC.2014.2386615}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/ChenYLWYG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/DuC0LGS15, author = {Rong Du and Cailian Chen and Bo Yang and Ning Lu and Xin{-}Ping Guan and Xuemin Shen}, title = {Effective Urban Traffic Monitoring by Vehicular Sensor Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {1}, pages = {273--286}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2321010}, doi = {10.1109/TVT.2014.2321010}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/DuC0LGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhengCLXS15, author = {Jianchao Zheng and Yueming Cai and Ning Lu and Yuhua Xu and Xuemin Shen}, title = {Stochastic Game-Theoretic Spectrum Access in Distributed and Dynamic Environment}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {10}, pages = {4807--4820}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2366559}, doi = {10.1109/TVT.2014.2366559}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ZhengCLXS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/WuWNJCM15, author = {Xixin Wu and Zhiyong Wu and Yishuang Ning and Jia Jia and Lianhong Cai and Helen M. Meng}, title = {Understanding speaking styles of internet speech data with {LSTM} and low-resource training}, booktitle = {2015 International Conference on Affective Computing and Intelligent Interaction, {ACII} 2015, Xi'an, China, September 21-24, 2015}, pages = {815--820}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ACII.2015.7344667}, doi = {10.1109/ACII.2015.7344667}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/WuWNJCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bic-ta/ZhangHC15, author = {Ning Zhang and Yuhua Huang and Xinye Cai}, editor = {Maoguo Gong and Linqiang Pan and Tao Song and Ke Tang and Xingyi Zhang}, title = {A Two-Phase External Archive Guided Multiobjective Evolutionary Algorithm for the Software Next Release Problem}, booktitle = {Bio-Inspired Computing - Theories and Applications - 10th International Conference, {BIC-TA} 2015, Hefei, China, September 25-28, 2015, Proceedings}, series = {Communications in Computer and Information Science}, volume = {562}, pages = {664--675}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-49014-3\_59}, doi = {10.1007/978-3-662-49014-3\_59}, timestamp = {Thu, 02 Feb 2023 11:02:12 +0100}, biburl = {https://dblp.org/rec/conf/bic-ta/ZhangHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/WangFCW15, author = {Hongqiao Wang and Guangyuan Fu and Yan{-}Ning Cai and Shicheng Wang}, title = {Multiple feature fusion based image classification using a non-biased multi-scale kernel machine}, booktitle = {12th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2015, Zhangjiajie, China, August 15-17, 2015}, pages = {700--704}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FSKD.2015.7382027}, doi = {10.1109/FSKD.2015.7382027}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/fskd/WangFCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/AnWLQCZ15, author = {Ning An and Rui Wang and Zhongzhi Luan and Depei Qian and Jihong Cai and Han Zhang}, title = {Adaptive Assignment for Quality-Aware Mobile Sensing Network with Strategic Users}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {541--546}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.191}, doi = {10.1109/HPCC-CSS-ICESS.2015.191}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/AnWLQCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/NingWJMMC15, author = {Yishuang Ning and Zhiyong Wu and Jia Jia and Fanbo Meng and Helen M. Meng and Lianhong Cai}, title = {HMM-based emphatic speech synthesis for corrective feedback in computer-aided pronunciation training}, booktitle = {2015 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2015, South Brisbane, Queensland, Australia, April 19-24, 2015}, pages = {4934--4938}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICASSP.2015.7178909}, doi = {10.1109/ICASSP.2015.7178909}, timestamp = {Sat, 08 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/NingWJMMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/NingWLMJC15, author = {Yishuang Ning and Zhiyong Wu and Xiaoyan Lou and Helen M. Meng and Jia Jia and Lianhong Cai}, title = {Using tilt for automatic emphasis detection with Bayesian networks}, booktitle = {{INTERSPEECH} 2015, 16th Annual Conference of the International Speech Communication Association, Dresden, Germany, September 6-10, 2015}, pages = {578--582}, publisher = {{ISCA}}, year = {2015}, url = {https://doi.org/10.21437/Interspeech.2015-207}, doi = {10.21437/INTERSPEECH.2015-207}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/NingWLMJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/CaoCG15, author = {Qi Cao and Ning Cai and Wangmei Guo}, title = {Zero-error capacity of binary channels with 1-memory}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2015, Hong Kong, China, June 14-19, 2015}, pages = {1317--1321}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISIT.2015.7282669}, doi = {10.1109/ISIT.2015.7282669}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/CaoCG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmts/LuoYWPDZWC15, author = {Wenqiang Luo and Fang{-}Yuan Yuan and Huaqiang Wu and Liyang Pan and Ning Deng and Fei Zeng and Rongshan Wei and Xuanjing Cai}, title = {Synaptic learning behaviors achieved by metal ion migration in a Cu/PEDOT: PSS/Ta memristor}, booktitle = {15th Non-Volatile Memory Technology Symposium, {NVMTS} 2015, Beijing, China, October 12-14, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NVMTS.2015.7457490}, doi = {10.1109/NVMTS.2015.7457490}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmts/LuoYWPDZWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/whispers/QianWGMTL15, author = {Yonggang Qian and Ning Wang and Caixia Gao and Lingling Ma and Lingli Tang and Chuanrong Li}, title = {Evaluation of temperature and emissivity separation method using the hyperspectral data for contrast emissivity surfaces}, booktitle = {7th Workshop on Hyperspectral Image and Signal Processing: Evolution in Remote Sensing, {WHISPERS} 2015, Tokyo, Japan, June 2-5, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WHISPERS.2015.8075454}, doi = {10.1109/WHISPERS.2015.8075454}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/whispers/QianWGMTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BocheCN15, author = {Holger Boche and Ning Cai and Janis N{\"{o}}tzel}, title = {The Classical-Quantum Channel with Random State Parameters Known to the Sender}, journal = {CoRR}, volume = {abs/1506.06479}, year = {2015}, url = {http://arxiv.org/abs/1506.06479}, eprinttype = {arXiv}, eprint = {1506.06479}, timestamp = {Fri, 01 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/BocheCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CaiDK15, author = {Ning Cai and Chen Diao and M. Junaid Khan}, title = {A Novel Clustering Approach Based on Group Quasi-Consensus of Unstable Dynamic Linear High-Order Multi-Agent Systems}, journal = {CoRR}, volume = {abs/1501.02588}, year = {2015}, url = {http://arxiv.org/abs/1501.02588}, eprinttype = {arXiv}, eprint = {1501.02588}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CaiDK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/SchochRR14, author = {Conrad L. Schoch and Barbara Robbertse and Vincent Robert and Duong Vu and Gianluigi Cardinali and Laszlo Irinyi and Wieland Meyer and R. Henrik Nilsson and Karen Hughes and Andrew N. Miller and Paul M. Kirk and Kessy Abarenkov and M. Catherine Aime and Hiran A. Ariyawansa and Martin Bidartondo and Teun Boekhout and Bart Buyck and Qing Cai and Jie Chen and Ana Crespo and Pedro W. Crous and Ulrike Damm and Z. Wilhelm De Beer and Bryn T. M. Dentinger and Pradeep K. Divakar and Margarita Due{\~{n}}as and Nicolas Feau and Katerina Fliegerova and Miguel A. Garc{\'{\i}}a and Zai{-}Wei Ge and Gareth W. Griffith and Johannes Z. Groenewald and Marizeth Groenewald and Martin Grube and Marieka Gryzenhout and C{\'{e}}cile Gueidan and Liangdong Guo and Sarah Hambleton and Richard C. Hamelin and Karen Hansen and Val{\'{e}}rie Hofstetter and Seung{-}Beom Hong and Jos Houbraken and Kevin D. Hyde and Patrik Inderbitzin and Peter R. Johnston and Samantha C. Karunarathna and Urmas K{\~{o}}ljalg and G{\'{a}}bor M. Kov{\'{a}}cs and Ekaphan Kraichak and Krisztina Krizsan and Cletus P. Kurtzman and Karl{-}Henrik Larsson and Steven Leavitt and Peter M. Letcher and Kare Liimatainen and Jian{-}Kui Liu and D. Jean Lodge and Janet Jennifer Luangsa{-}ard and H. Thorsten Lumbsch and Sajeewa S. N. Maharachchikumbura and Dimuthu Manamgoda and Mar{\'{\i}}a P. Mart{\'{\i}}n and Andrew M. Minnis and Jean{-}Marc Moncalvo and Giuseppina Mul{\`{e}} and Karen K. Nakasone and Tuula Niskanen and Ibai Olariaga and Tam{\'{a}}s Papp and Tam{\'{a}}s Petkovits and Raquel Pino{-}Bodas and Martha J. Powell and Huzefa A. Raja and Dirk Redecker and J. M. Sarmiento{-}Ramirez and Keith A. Seifert and Bhushan Shrestha and Soili Stenroos and Benjamin Stielow and Sung{-}Oui Suh and Kazuaki Tanaka and Leho Tedersoo and M. Teresa Telleria and Dhanushka Udayanga and Wendy A. Untereiner and Javier Di{\'{e}}guez Uribeondo and Krishna V. Subbarao and Csaba V{\'{a}}gv{\"{o}}lgyi and Cobus M. Visagie and Kerstin Voigt and Donald M. Walker and Bevan S. Weir and Michael Wei{\ss} and Nalin N. Wijayawardene and Michael J. Wingfield and J. P. Xu and Zhu L. Yang and Ning Zhang and Wen{-}Ying Zhuang and Scott Federhen}, title = {Finding needles in haystacks: linking scientific names, reference specimens and molecular data for Fungi}, journal = {Database J. Biol. Databases Curation}, volume = {2014}, year = {2014}, url = {https://doi.org/10.1093/database/bau061}, doi = {10.1093/DATABASE/BAU061}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/SchochRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/CaiHCGZ14, author = {Yudong Cai and Tao Huang and Lei Chen and Shan Gao and Ning Zhang}, title = {Novel Computational Methods and Tools in Biomedicine and Biopharmacy}, journal = {Comput. Math. Methods Medicine}, volume = {2014}, pages = {127515:1--127515:2}, year = {2014}, url = {https://doi.org/10.1155/2014/127515}, doi = {10.1155/2014/127515}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/CaiHCGZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cp/LiWSC14, author = {Maohai Li and Han Wang and Lining Sun and Zesu Cai}, title = {A robust vision-based method for staircase detection and localization}, journal = {Cogn. Process.}, volume = {15}, number = {2}, pages = {173--194}, year = {2014}, url = {https://doi.org/10.1007/s10339-013-0588-7}, doi = {10.1007/S10339-013-0588-7}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cp/LiWSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dmgt/CaiLN14, author = {Junqing Cai and Hao Li and Wantao Ning}, title = {An implicit weighted degree condition for heavy cycles}, journal = {Discuss. Math. Graph Theory}, volume = {34}, number = {4}, pages = {801--810}, year = {2014}, url = {https://doi.org/10.7151/dmgt.1762}, doi = {10.7151/DMGT.1762}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dmgt/CaiLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/Cai14, author = {Ning Cai}, title = {The Maximum Error Probability Criterion, Random Encoder, and Feedback, in Multiple Input Channels}, journal = {Entropy}, volume = {16}, number = {3}, pages = {1211--1242}, year = {2014}, url = {https://doi.org/10.3390/e16031211}, doi = {10.3390/E16031211}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/Cai14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZhangLQC14, author = {Zhi{-}Hai Zhang and Binfeng Li and Xiang Qian and Lin{-}Ning Cai}, title = {An integrated supply chain network design problem for bidirectional flows}, journal = {Expert Syst. Appl.}, volume = {41}, number = {9}, pages = {4298--4308}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2013.12.053}, doi = {10.1016/J.ESWA.2013.12.053}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ZhangLQC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/LiuC14, author = {Binyue Liu and Ning Cai}, title = {Design of amplify-and-forward relaying schemes for layered relay networks}, journal = {{IET} Commun.}, volume = {8}, number = {11}, pages = {1910--1918}, year = {2014}, url = {https://doi.org/10.1049/iet-com.2013.1150}, doi = {10.1049/IET-COM.2013.1150}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-com/LiuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/AsifKC14, author = {Muhammad Asif and Muhammad Junaid Khan and Ning Cai}, title = {Adaptive sliding mode dynamic controller with integrator in the loop for nonholonomic wheeled mobile robot trajectory tracking}, journal = {Int. J. Control}, volume = {87}, number = {5}, pages = {964--975}, year = {2014}, url = {https://doi.org/10.1080/00207179.2013.862597}, doi = {10.1080/00207179.2013.862597}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/AsifKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/CaiLS14, author = {Ning Cai and Chenxu Li and Chao Shi}, title = {Closed-Form Expansions of Discretely Monitored Asian Options in Diffusion Models}, journal = {Math. Oper. Res.}, volume = {39}, number = {3}, pages = {789--822}, year = {2014}, url = {https://doi.org/10.1287/moor.2013.0619}, doi = {10.1287/MOOR.2013.0619}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/CaiLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcomp/ZouCCDN14, author = {DeYi Zou and Ming Cai and Feng Chen and Pan Deng and Huahua Ning}, editor = {Victor C. M. Leung and Roy Xiaorong Lai and Min Chen and Jiafu Wan}, title = {The Application of {RFID} and Two-Dimensional Bar Code in Substation Inspection}, booktitle = {Cloud Computing - 5th International Conference, CloudComp 2014, Guilin, China, October 19-21, 2014, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {142}, pages = {197--203}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-16050-4\_20}, doi = {10.1007/978-3-319-16050-4\_20}, timestamp = {Sat, 05 Sep 2020 17:58:59 +0200}, biburl = {https://dblp.org/rec/conf/cloudcomp/ZouCCDN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZhengCZZS14, author = {Zhongming Zheng and Lin X. Cai and Ning Zhang and Ran Zhang and Xuemin Sherman Shen}, title = {A game theoretical approach for energy trading in wireless networks powered by green energy}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2014, Austin, TX, USA, December 8-12, 2014}, pages = {2562--2567}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOM.2014.7037193}, doi = {10.1109/GLOCOM.2014.7037193}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ZhengCZZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic2e/ChenCS14, author = {Yanling Chen and Ning Cai and Aydin Sezgin}, title = {Wiretap Channel with Correlated Sources}, booktitle = {2014 {IEEE} International Conference on Cloud Engineering, Boston, MA, USA, March 11-14, 2014}, pages = {472--477}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IC2E.2014.80}, doi = {10.1109/IC2E.2014.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic2e/ChenCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/ZhaoWNWTCZ14, author = {Jing Zhao and Yanbin Wang and Gao{-}Rong Ning and Cheng{-}Hong Wang and Kishor S. Trivedi and Kai{-}Yuan Cai and Zhenyu Zhang}, title = {Software Maintenance Optimization Based on Stackelberg Game Methods}, booktitle = {25th {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Naples, Italy, November 3-6, 2014}, pages = {426--430}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISSREW.2014.38}, doi = {10.1109/ISSREW.2014.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/ZhaoWNWTCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CaiK14, author = {Ning Cai and M. Junaid Khan}, title = {On Swarm Stability of Linear Time-Invariant Descriptor Compartmental Networks}, journal = {CoRR}, volume = {abs/1401.3815}, year = {2014}, url = {http://arxiv.org/abs/1401.3815}, eprinttype = {arXiv}, eprint = {1401.3815}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CaiK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CaiK14a, author = {Ning Cai and M. Junaid Khan}, title = {Almost Decouplability of any Directed Weighted Network Topology}, journal = {CoRR}, volume = {abs/1408.0355}, year = {2014}, url = {http://arxiv.org/abs/1408.0355}, eprinttype = {arXiv}, eprint = {1408.0355}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CaiK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CaiMK14, author = {Ning Cai and Hai{-}Ying Ma and M. Junaid Khan}, title = {Agent-Based Model for Rural-Urban Migration: {A} Dynamic Consideration}, journal = {CoRR}, volume = {abs/1411.5336}, year = {2014}, url = {http://arxiv.org/abs/1411.5336}, eprinttype = {arXiv}, eprint = {1411.5336}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CaiMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/CaiLN13, author = {Junqing Cai and Hao Li and Wantao Ning}, title = {An implicit degree condition for hamiltonian cycles}, journal = {Ars Comb.}, volume = {108}, pages = {365--378}, year = {2013}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/CaiLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LiWSC13, author = {Maohai Li and Han Wang and Lining Sun and Zesu Cai}, title = {Robust omnidirectional mobile robot topological navigation system using omnidirectional vision}, journal = {Eng. Appl. Artif. Intell.}, volume = {26}, number = {8}, pages = {1942--1952}, year = {2013}, url = {https://doi.org/10.1016/j.engappai.2013.05.010}, doi = {10.1016/J.ENGAPPAI.2013.05.010}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/LiWSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WuCYZX13, author = {Yunfeng Wu and Suxian Cai and Shanshan Yang and Fang Zheng and Ning Xiang}, title = {Classification of Knee Joint Vibration Signals Using Bivariate Feature Distribution Estimation and Maximal Posterior Probability Decision Criterion}, journal = {Entropy}, volume = {15}, number = {4}, pages = {1375--1387}, year = {2013}, url = {https://doi.org/10.3390/e15041375}, doi = {10.3390/E15041375}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/WuCYZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/XiangCYZZHW13, author = {Ning Xiang and Suxian Cai and Shanshan Yang and Zhangting Zhong and Fang Zheng and Jia He and Yunfeng Wu}, title = {Statistical Analysis of Gait Maturation in Children Using Nonparametric Probability Density Function Modeling}, journal = {Entropy}, volume = {15}, number = {3}, pages = {753--766}, year = {2013}, url = {https://doi.org/10.3390/e15030753}, doi = {10.3390/E15030753}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/XiangCYZZHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gc/LiCN13, author = {Hao Li and Junqing Cai and Wantao Ning}, title = {An Implicit Degree Condition for Pancyclicity of Graphs}, journal = {Graphs Comb.}, volume = {29}, number = {5}, pages = {1459--1469}, year = {2013}, url = {https://doi.org/10.1007/s00373-012-1198-3}, doi = {10.1007/S00373-012-1198-3}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gc/LiCN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/ZhaoWNTMC13, author = {Jing Zhao and Yanbin Wang and Gao{-}Rong Ning and Kishor S. Trivedi and Rivalino Matias Jr. and Kai{-}Yuan Cai}, title = {A comprehensive approach to optimal software rejuvenation}, journal = {Perform. Evaluation}, volume = {70}, number = {11}, pages = {917--933}, year = {2013}, url = {https://doi.org/10.1016/j.peva.2013.05.010}, doi = {10.1016/J.PEVA.2013.05.010}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pe/ZhaoWNTMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/CaiFRLLYY13, author = {Deyun Cai and Haipeng Fu and Junyan Ren and Wei Li and Ning Li and Hao Yu and Kiat Seng Yeo}, title = {A Dividerless {PLL} With Low Power and Low Reference Spur by Aperture-Phase Detector and Phase-to-Analog Converter}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {1}, pages = {37--50}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2012.2215751}, doi = {10.1109/TCSI.2012.2215751}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/CaiFRLLYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/GuoSCM13, author = {Wangmei Guo and Xiaomeng Shi and Ning Cai and Muriel M{\'{e}}dard}, title = {Localized Dimension Growth: {A} Convolutional Random Network Coding Approach to Managing Memory and Decoding Delay}, journal = {{IEEE} Trans. Commun.}, volume = {61}, number = {9}, pages = {3894--3905}, year = {2013}, url = {https://doi.org/10.1109/TCOMM.2013.071013.120857}, doi = {10.1109/TCOMM.2013.071013.120857}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/GuoSCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/Cai13, author = {Ning Cai}, title = {Localized Error Correction in Projective Space}, journal = {{IEEE} Trans. Inf. Theory}, volume = {59}, number = {6}, pages = {3282--3294}, year = {2013}, url = {https://doi.org/10.1109/TIT.2013.2244032}, doi = {10.1109/TIT.2013.2244032}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/Cai13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhengLC13, author = {Lei Zheng and Ning Lu and Lin Cai}, title = {Reliable Wireless Communication Networks for Demand Response Control}, journal = {{IEEE} Trans. Smart Grid}, volume = {4}, number = {1}, pages = {133--140}, year = {2013}, url = {https://doi.org/10.1109/TSG.2012.2224892}, doi = {10.1109/TSG.2012.2224892}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/ZhengLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/YinLWLCL13, author = {Xiaohong Yin and Shaoyuan Li and Jing Wu and Ning Li and Wenjian Cai and Kang Li}, title = {Data-driven based predictive controller design for vapor compression refrigeration cycle systems}, booktitle = {9th Asian Control Conference, {ASCC} 2013, Istanbul, Turkey, June 23-26, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASCC.2013.6606343}, doi = {10.1109/ASCC.2013.6606343}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/YinLWLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/LiuC13, author = {Binyue Liu and Ning Cai}, editor = {Harout K. Aydinian and Ferdinando Cicalese and Christian Deppe}, title = {Optimal Rate Region of Two-Hop Multiple Access Channel via Amplify-and-Forward Scheme}, booktitle = {Information Theory, Combinatorics, and Search Theory - In Memory of Rudolf Ahlswede}, series = {Lecture Notes in Computer Science}, volume = {7777}, pages = {44--70}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-36899-8\_3}, doi = {10.1007/978-3-642-36899-8\_3}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/birthday/LiuC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconas/CaiLLL13, author = {Xin Cai and Shaoyuan Li and Ning Li and Kang Li}, editor = {Pedro M. Ferreira}, title = {On Variation of Infinite Horizon Performance of Model Predictive Control with Varying Receding Horizon}, booktitle = {3rd {IFAC} International Conference on Intelligent Control and Automation Science, {ICONS} 2013, Sichuan, Chengdu, China, September 2-4, 2013}, pages = {701--706}, publisher = {International Federation of Automatic Control}, year = {2013}, url = {https://doi.org/10.3182/20130902-3-CN-3020.00194}, doi = {10.3182/20130902-3-CN-3020.00194}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconas/CaiLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/FengYLLZZXLEHLR13, author = {Xuan Feng and Yue Yu and Qi Lu and Cai Liu and Jianguo Zhao and Yan Zhang and Congmei Xie and Wenjing Liang and Delihai Enhe and Ning Hu and Hong{-}Li Li and Qianci Ren}, title = {Application of freeman decomposition to full polarimetric {GPR}}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {3534--3537}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6723592}, doi = {10.1109/IGARSS.2013.6723592}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/FengYLLZZXLEHLR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/QianWGJMWLT13, author = {Yonggang Qian and Ning Wang and Caixia Gao and Yuan{-}Yuan Jia and Lingling Ma and Hua Wu and Zhao{-}Liang Li and Lingli Tang}, title = {Preliminary evaluation of linear spectral emissivity constraint temperature and emissivity separation method for contrast samples from hyperspectral thermal infrared data}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {465--468}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6721193}, doi = {10.1109/IGARSS.2013.6721193}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/QianWGJMWLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/AhlswedeC13, author = {Rudolf Ahlswede and Ning Cai}, editor = {Ronald L. Graham and Jaroslav Nesetril and Steve Butler}, title = {Cross-Disjoint Pairs of Clouds in the Interval Lattice}, booktitle = {The Mathematics of Paul Erd{\H{o}}s {I}}, pages = {107--117}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-1-4614-7258-2\_8}, doi = {10.1007/978-1-4614-7258-2\_8}, timestamp = {Fri, 02 Nov 2018 09:27:04 +0100}, biburl = {https://dblp.org/rec/books/daglib/p/AhlswedeC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-3412, author = {Holger Boche and Minglai Cai and Ning Cai and Christian Deppe}, title = {Secrecy capacities of compound quantum wiretap channels and applications}, journal = {CoRR}, volume = {abs/1302.3412}, year = {2013}, url = {http://arxiv.org/abs/1302.3412}, eprinttype = {arXiv}, eprint = {1302.3412}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-3412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1303-4484, author = {Wangmei Guo and Xiaomeng Shi and Ning Cai and Muriel M{\'{e}}dard}, title = {Localized Dimension Growth: {A} Convolutional Random Network Coding Approach to Managing Memory and Decoding Delay}, journal = {CoRR}, volume = {abs/1303.4484}, year = {2013}, url = {http://arxiv.org/abs/1303.4484}, eprinttype = {arXiv}, eprint = {1303.4484}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1303-4484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/GholamiCB12, author = {Mohammad Gholami and Ningxu Cai and Robert W. Brennan}, title = {Evaluating alternative approaches to mobile object localization in wireless sensor networks with passive architecture}, journal = {Comput. Ind.}, volume = {63}, number = {9}, pages = {941--947}, year = {2012}, url = {https://doi.org/10.1016/j.compind.2012.08.017}, doi = {10.1016/J.COMPIND.2012.08.017}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/GholamiCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cis/CaiXZ12, author = {Ning Cai and Jianxiang Xi and Yisheng Zhong}, title = {Asymptotic Swarm stability of High-Order Multi-Agent Systems: Condition and Application}, journal = {Control. Intell. Syst.}, volume = {40}, number = {1}, year = {2012}, url = {https://doi.org/10.2316/Journal.201.2012.1.201-2314}, doi = {10.2316/JOURNAL.201.2012.1.201-2314}, timestamp = {Tue, 11 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cis/CaiXZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/RenFPLYLGCYN12, author = {Yinzi Ren and Xiao Fu and Qing Pan and Chengyu Lin and Guiqiu Yang and Li Li and Shijin Gong and Guolong Cai and Jing Yan and Gangmin Ning}, title = {Fast Parameters Estimation in Medication Efficacy Assessment Model for Heart Failure Treatment}, journal = {Comput. Math. Methods Medicine}, volume = {2012}, pages = {608637:1--608637:9}, year = {2012}, url = {https://doi.org/10.1155/2012/608637}, doi = {10.1155/2012/608637}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmmm/RenFPLYLGCYN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LiNC12, author = {Hao Li and Wantao Ning and Junqing Cai}, title = {An implicit degree condition for hamiltonian graphs}, journal = {Discret. Math.}, volume = {312}, number = {14}, pages = {2190--2196}, year = {2012}, url = {https://doi.org/10.1016/j.disc.2012.03.001}, doi = {10.1016/J.DISC.2012.03.001}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LiNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/GuoCS12, author = {Wangmei Guo and Ning Cai and Qifu Tyler Sun}, title = {Time-Variant Decoding of Convolutional Network Codes}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {10}, pages = {1656--1659}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2012.080312.120789}, doi = {10.1109/LCOMM.2012.080312.120789}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/GuoCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/HuangGTCZZ12, author = {Yan Huang and Zhimin Gu and Jie Tang and Min Cai and Jianxun Zhang and Ninghan Zheng}, title = {Estimating Effective Prefetch Distance in Threaded Prefetching for Linked Data Structures}, journal = {Int. J. Parallel Program.}, volume = {40}, number = {5}, pages = {465--487}, year = {2012}, url = {https://doi.org/10.1007/s10766-012-0194-9}, doi = {10.1007/S10766-012-0194-9}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/HuangGTCZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/HuangTGCZZ12, author = {Yan Huang and Jie Tang and Zhimin Gu and Min Cai and Jianxun Zhang and Ninghan Zheng}, title = {The Performance Optimization of Threaded Prefetching for Linked Data Structures}, journal = {Int. J. Parallel Program.}, volume = {40}, number = {2}, pages = {141--163}, year = {2012}, url = {https://doi.org/10.1007/s10766-011-0172-7}, doi = {10.1007/S10766-011-0172-7}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/HuangTGCZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/CaiK12, author = {Ning Cai and Steven S. G. Kou}, title = {Pricing Asian Options Under a Hyper-Exponential Jump Diffusion Model}, journal = {Oper. Res.}, volume = {60}, number = {1}, pages = {64--77}, year = {2012}, url = {https://doi.org/10.1287/opre.1110.1006}, doi = {10.1287/OPRE.1110.1006}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/CaiK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/CaiGYB12, author = {Ningxu Cai and Mohammad Gholami and Litao Yang and Robert W. Brennan}, title = {Application-Oriented Intelligent Middleware for Distributed Sensing and Control}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {42}, number = {6}, pages = {947--956}, year = {2012}, url = {https://doi.org/10.1109/TSMCC.2011.2174982}, doi = {10.1109/TSMCC.2011.2174982}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/CaiGYB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/WangZCSL12, author = {Yan Wang and Liang{-}Jie Zhang and Hui Cai and Jian Sun and Ning Li}, title = {Evaluating the Quality of Distance Education Services by Using Modern Information Technology}, booktitle = {2012 {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2012, Guilin, China, December 6-8, 2012}, pages = {192--199}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/APSCC.2012.50}, doi = {10.1109/APSCC.2012.50}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apscc/WangZCSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/CaiWXZHSX12, author = {Suxian Cai and Yunfeng Wu and Ning Xiang and Zhangting Zhong and Jia He and Lei Shi and Fang Xu}, title = {Detrending knee joint vibration signals with a cascade moving average filter}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {4357--4360}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346931}, doi = {10.1109/EMBC.2012.6346931}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/CaiWXZHSX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WuZCXZHX12, author = {Yunfeng Wu and Fang Zheng and Suxian Cai and Ning Xiang and Zhangting Zhong and Jia He and Fang Xu}, title = {Effective collaborative learning in biomedical education using a web-based infrastructure}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5070--5073}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347133}, doi = {10.1109/EMBC.2012.6347133}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/WuZCXZHX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WangSCLSB12, author = {Miao Wang and Hangguan Shan and Lin X. Cai and Ning Lu and Xuemin (Sherman) Shen and Fan Bai}, title = {Throughput capacity of VANETs by exploiting mobility diversity}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {4980--4984}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364316}, doi = {10.1109/ICC.2012.6364316}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/WangSCLSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icica/CaiLL12, author = {Ying Cai and Ning Li and Chengxia Liu}, editor = {Baoxiang Liu and Maode Ma and Jincai Chang}, title = {Security Uniform Office Format Specification and {API} Design Based on the Java Platform}, booktitle = {Information Computing and Applications - Third International Conference, {ICICA} 2012, Chengde, China, September 14-16, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7473}, pages = {560--568}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34062-8\_73}, doi = {10.1007/978-3-642-34062-8\_73}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icica/CaiLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/CaiYWF12, author = {Yan{-}Ning Cai and Xuemei Ye and Hongqiao Wang and Qinggang Fan}, title = {Uncoupled mixture probability density estimation based on an improved support vector machine model}, booktitle = {Eighth International Conference on Natural Computation, {ICNC} 2012, 29-31 May 2012, Chongqing, China}, pages = {126--129}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICNC.2012.6234690}, doi = {10.1109/ICNC.2012.6234690}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icnc/CaiYWF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HuangGTCZZ12, author = {Yan Huang and Zhimin Gu and Jie Tang and Min Cai and Jianxun Zhang and Ninghan Zheng}, title = {Reducing Cache Pollution of Threaded Prefetching by Controlling Prefetch Distance}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium Workshops {\&} PhD Forum, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {1812--1819}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPSW.2012.224}, doi = {10.1109/IPDPSW.2012.224}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/HuangGTCZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/CaiCD12, author = {Minglai Cai and Ning Cai and Christian Deppe}, title = {Capacities of classical compound quantum wiretap and classical quantum compound wiretap channels}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Information Theory, {ISIT} 2012, Cambridge, MA, USA, July 1-6, 2012}, pages = {726--730}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIT.2012.6284548}, doi = {10.1109/ISIT.2012.6284548}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/CaiCD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1202-0773, author = {Minglai Cai and Ning Cai and Christian Deppe}, title = {Capacities of classical compound quantum wiretap and classical quantum compound wiretap channels}, journal = {CoRR}, volume = {abs/1202.0773}, year = {2012}, url = {http://arxiv.org/abs/1202.0773}, eprinttype = {arXiv}, eprint = {1202.0773}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1202-0773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1203-4867, author = {Binyue Liu and Ning Cai}, title = {Multi-hop Analog Network Coding: An Amplify-and-Forward Approach}, journal = {CoRR}, volume = {abs/1203.4867}, year = {2012}, url = {http://arxiv.org/abs/1203.4867}, eprinttype = {arXiv}, eprint = {1203.4867}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1203-4867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/ZhangYTC11, author = {Yunong Zhang and Yiwen Yang and Ning Tan and Binghuang Cai}, title = {Zhang neural network solving for time-varying full-rank matrix Moore-Penrose inverse}, journal = {Computing}, volume = {92}, number = {2}, pages = {97--121}, year = {2011}, url = {https://doi.org/10.1007/s00607-010-0133-9}, doi = {10.1007/S00607-010-0133-9}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/ZhangYTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/XiaoLC11, author = {Song Xiao and Ji Lu and Ning Cai}, title = {{MANET} Multicast Model with Poisson Distribution and Its Performance for Network Coding}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {3}, pages = {823--826}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.823}, doi = {10.1587/TRANSCOM.E94.B.823}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/XiaoLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcac/CaiRWG11, author = {Hong Cai and Berthold Reinwald and Ning Wang and Changjie Guo}, title = {SaaS Multi-Tenancy: Framework, Technology, and Case Study}, journal = {Int. J. Cloud Appl. Comput.}, volume = {1}, number = {1}, pages = {62--77}, year = {2011}, url = {https://doi.org/10.4018/ijcac.2011010105}, doi = {10.4018/IJCAC.2011010105}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcac/CaiRWG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/WuCLTW11, author = {Han{-}Ying Wu and Wan{-}Fei Cai and Lai{-}Cai Li and An{-}Min Tian and Ning{-}Bew Wong}, title = {Theoretical study on the ring-opening isomerization reaction mechanism of the ring isomers of N\({}_{\mbox{8}}\)H\({}_{\mbox{8}}\)}, journal = {J. Comput. Chem.}, volume = {32}, number = {12}, pages = {2555--2563}, year = {2011}, url = {https://doi.org/10.1002/jcc.21835}, doi = {10.1002/JCC.21835}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/WuCLTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/CaiK11, author = {Ning Cai and Steven S. G. Kou}, title = {Option Pricing Under a Mixed-Exponential Jump Diffusion Model}, journal = {Manag. Sci.}, volume = {57}, number = {11}, pages = {2067--2081}, year = {2011}, url = {https://doi.org/10.1287/mnsc.1110.1393}, doi = {10.1287/MNSC.1110.1393}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/CaiK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WangCS11, author = {Hongqiao Wang and Yan{-}Ning Cai and Fuchun Sun}, title = {A non-biased form of least squares support vector classifier and its fast online learning}, journal = {Neural Comput. Appl.}, volume = {20}, number = {7}, pages = {1075--1085}, year = {2011}, url = {https://doi.org/10.1007/s00521-010-0517-y}, doi = {10.1007/S00521-010-0517-Y}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/WangCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/CaiC11, author = {Ning Cai and Terence Chan}, title = {Theory of Secure Network Coding}, journal = {Proc. {IEEE}}, volume = {99}, number = {3}, pages = {421--437}, year = {2011}, url = {https://doi.org/10.1109/JPROC.2010.2094592}, doi = {10.1109/JPROC.2010.2094592}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/CaiC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tedu/ShiHC11, author = {Xifan Shi and Ning Hong and Tiefeng Cai}, title = {An Automatic and Robust Chessboard Corner Extraction}, journal = {Trans. Edutainment}, volume = {6}, pages = {49--56}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22639-7\_6}, doi = {10.1007/978-3-642-22639-7\_6}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tedu/ShiHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiY11, author = {Ning Cai and Raymond W. Yeung}, title = {Secure Network Coding on a Wiretap Network}, journal = {{IEEE} Trans. Inf. Theory}, volume = {57}, number = {1}, pages = {424--435}, year = {2011}, url = {https://doi.org/10.1109/TIT.2010.2090197}, doi = {10.1109/TIT.2010.2090197}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/CaiY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/TanYHC11, author = {Min Tan and Raymond W. Yeung and Siu{-}Ting Ho and Ning Cai}, title = {A Unified Framework for Linear Network Coding}, journal = {{IEEE} Trans. Inf. Theory}, volume = {57}, number = {1}, pages = {416--423}, year = {2011}, url = {https://doi.org/10.1109/TIT.2010.2090216}, doi = {10.1109/TIT.2010.2090216}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/TanYHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/YanXCGWLH11, author = {Jing Yan and Ning{-}Yi Xu and Xiongfei Cai and Rui Gao and Yu Wang and Rong Luo and Feng{-}Hsiung Hsu}, title = {An FPGA-based accelerator for LambdaRank in Web search engines}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {4}, number = {3}, pages = {25:1--25:19}, year = {2011}, url = {https://doi.org/10.1145/2000832.2000837}, doi = {10.1145/2000832.2000837}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/YanXCGWLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaim/LiNC11, author = {Hao Li and Wantao Ning and Junqing Cai}, editor = {Mikhail J. Atallah and Xiang{-}Yang Li and Binhai Zhu}, title = {An Implicit Degree Condition for Cyclability in Graphs}, booktitle = {Frontiers in Algorithmics and Algorithmic Aspects in Information and Management - Joint International Conference, {FAW-AAIM} 2011, Jinhua, China, May 28-31, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6681}, pages = {82--89}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21204-8\_12}, doi = {10.1007/978-3-642-21204-8\_12}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaim/LiNC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/CaiFR0LYY11, author = {Deyun Cai and Haipeng Fu and Junyan Ren and Wei Li and Ning Li and Hao Yu and Kiat Seng Yeo}, title = {A 2.1-GHz {PLL} with -80dBc/-74dBc reference spur based on aperture-phase detector and phase-to-analog converter}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {141--144}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123622}, doi = {10.1109/ASSCC.2011.6123622}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/CaiFR0LYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/DuZZJYL11, author = {Ninglin Du and Xuezhong Zhou and Runshun Zhang and Caiyan Jia and Jian Yu and Baoyan Liu}, editor = {Yongsheng Ding and Yonghong Peng and Riyi Shi and Kuangrong Hao and Lipo Wang}, title = {Multiscale backbone based network comparison algorithm for effective herbal interaction analysis}, booktitle = {4th International Conference on Biomedical Engineering and Informatics, {BMEI} 2011, Shanghai, China, October 15-17, 2011}, pages = {1757--1762}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/BMEI.2011.6098734}, doi = {10.1109/BMEI.2011.6098734}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/DuZZJYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/HuangSWY11, author = {Ning{-}Ping Huang and Jun{-}Cai Shi and Yan{-}Yan Wang and Hai Yu}, editor = {Yongsheng Ding and Yonghong Peng and Riyi Shi and Kuangrong Hao and Lipo Wang}, title = {Simple fabrication of PEG-based chemical micropatterns for neural network formation}, booktitle = {4th International Conference on Biomedical Engineering and Informatics, {BMEI} 2011, Shanghai, China, October 15-17, 2011}, pages = {1256--1259}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/BMEI.2011.6098627}, doi = {10.1109/BMEI.2011.6098627}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmei/HuangSWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/GuFZZCHT11, author = {Zhimin Gu and Yinxia Fu and Ninghan Zheng and Jianxun Zhang and Min Cai and Yan Huang and Jie Tang}, editor = {Jang{-}Ping Sheu and Cho{-}Li Wang}, title = {Improving Performance of the Irregular Data Intensive Application with Small Computation Workload for CMPs}, booktitle = {2011 International Conference on Parallel Processing Workshops, {ICPPW} 2011, Taipei, Taiwan, Sept. 13-16, 2011}, pages = {279--288}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPPW.2011.7}, doi = {10.1109/ICPPW.2011.7}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/GuFZZCHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeecmc/YanCL11, author = {Wei Yan and Yueming Cai and Ning Li}, editor = {Dongfeng Yuan and Maoyong Cao and Cheng{-}Xiang Wang and Hua Huang}, title = {Power Allocation for Bidirectional {AF} Relaying with Direct Link over Rayleigh Fading Environment}, booktitle = {Third International Conference on Communications and Mobile Computing, {CMC} 2011, Qingdao, China, 18-20 April 2011}, pages = {315--318}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CMC.2011.90}, doi = {10.1109/CMC.2011.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeecmc/YanCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ZhangXCD11, author = {Li Zhang and Song Xiao and Ning Cai and Jianchao Du}, editor = {Xiamu Niu and Mingchu Li and Y{\^{o}}iti Suzuki and Jeng{-}Shyang Pan and Lakhmi C. Jain}, title = {An Opportunistic Network Coding Algorithm Based on the Queue State and Network Topology}, booktitle = {Seventh International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2011, Dalian, China, October 14-16, 2011}, pages = {290--293}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IIHMSP.2011.92}, doi = {10.1109/IIHMSP.2011.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/ZhangXCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/Cai11, author = {Ning Cai}, editor = {Alexander Kuleshov and Vladimir M. Blinovsky and Anthony Ephremides}, title = {Network localized error correction: For non-coherent coding}, booktitle = {2011 {IEEE} International Symposium on Information Theory Proceedings, {ISIT} 2011, St. Petersburg, Russia, July 31 - August 5, 2011}, pages = {1146--1150}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISIT.2011.6033711}, doi = {10.1109/ISIT.2011.6033711}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/Cai11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/GuoCSM11, author = {Wangmei Guo and Ning Cai and Xiaomeng Shi and Muriel M{\'{e}}dard}, editor = {Alexander Kuleshov and Vladimir M. Blinovsky and Anthony Ephremides}, title = {Localized dimension growth in random network coding: {A} convolutional approach}, booktitle = {2011 {IEEE} International Symposium on Information Theory Proceedings, {ISIT} 2011, St. Petersburg, Russia, July 31 - August 5, 2011}, pages = {1156--1160}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISIT.2011.6033714}, doi = {10.1109/ISIT.2011.6033714}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/GuoCSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LiuC11, author = {Binyue Liu and Ning Cai}, editor = {Alexander Kuleshov and Vladimir M. Blinovsky and Anthony Ephremides}, title = {Analog network coding in the generalized high-SNR regime}, booktitle = {2011 {IEEE} International Symposium on Information Theory Proceedings, {ISIT} 2011, St. Petersburg, Russia, July 31 - August 5, 2011}, pages = {74--78}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISIT.2011.6034234}, doi = {10.1109/ISIT.2011.6034234}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/LiuC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/NingTHC11, author = {Gao{-}Rong Ning and Kishor S. Trivedi and Hai Hu and Kai{-}Yuan Cai}, title = {Multi-granularity Software Rejuvenation Policy Based on Continuous Time Markov Chain}, booktitle = {{IEEE} Third International Workshop on Software Aging and Rejuvenation, WoSAR 2011, Hiroshima, Japan, November 29 - December 2, 2011}, pages = {32--37}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WoSAR.2011.9}, doi = {10.1109/WOSAR.2011.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/NingTHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1103-6258, author = {Wangmei Guo and Ning Cai and Xiaomeng Shi and Muriel M{\'{e}}dard}, title = {Localized Dimension Growth in Random Network Coding: {A} Convolutional Approach}, journal = {CoRR}, volume = {abs/1103.6258}, year = {2011}, url = {http://arxiv.org/abs/1103.6258}, eprinttype = {arXiv}, eprint = {1103.6258}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1103-6258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1106-3627, author = {Binyue Liu and Ning Cai}, title = {Analog Network Coding in the Generalized High-SNR Regime}, journal = {CoRR}, volume = {abs/1106.3627}, year = {2011}, url = {http://arxiv.org/abs/1106.3627}, eprinttype = {arXiv}, eprint = {1106.3627}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1106-3627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1109-3095, author = {Wangmei Guo and Ning Cai and Qifu Tyler Sun}, title = {Convolutional Network Coding Based on Matrix Power Series Representation}, journal = {CoRR}, volume = {abs/1109.3095}, year = {2011}, url = {http://arxiv.org/abs/1109.3095}, eprinttype = {arXiv}, eprint = {1109.3095}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1109-3095.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/GuoC10, author = {Wangmei Guo and Ning Cai}, title = {The Minimum Decoding Delay for Convolutional Network Coding}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {8}, pages = {1518--1523}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1518}, doi = {10.1587/TRANSFUN.E93.A.1518}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/GuoC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/ShenTMC10, author = {Ruijing Shen and Sheldon X.{-}D. Tan and Ning Mi and Yici Cai}, title = {Statistical modeling and analysis of chip-level leakage power by spectral stochastic method}, journal = {Integr.}, volume = {43}, number = {1}, pages = {156--165}, year = {2010}, url = {https://doi.org/10.1016/j.vlsi.2009.09.003}, doi = {10.1016/J.VLSI.2009.09.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/ShenTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/CaiCW10, author = {Ning Cai and Nan Chen and Xiangwei Wan}, title = {Occupation Times of Jump-Diffusion Processes with Double Exponential Jumps and the Pricing of Options}, journal = {Math. Oper. Res.}, volume = {35}, number = {2}, pages = {412--437}, year = {2010}, url = {https://doi.org/10.1287/moor.1100.0447}, doi = {10.1287/MOOR.1100.0447}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/CaiCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SunJZZ10, author = {Ning Sun and Zhen{-}hai Ji and Cairong Zou and Li Zhao}, title = {Two-dimensional canonical correlation analysis and its application in small sample size face recognition}, journal = {Neural Comput. Appl.}, volume = {19}, number = {3}, pages = {377--382}, year = {2010}, url = {https://doi.org/10.1007/s00521-009-0291-x}, doi = {10.1007/S00521-009-0291-X}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SunJZZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/WangSCDC10, author = {Hongqiao Wang and Fuchun Sun and Yan{-}Ning Cai and Linge Ding and Ning Chen}, title = {An unbiased {LSSVM} model for classification and regression}, journal = {Soft Comput.}, volume = {14}, number = {2}, pages = {171--180}, year = {2010}, url = {https://doi.org/10.1007/s00500-009-0435-z}, doi = {10.1007/S00500-009-0435-Z}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/WangSCDC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/WangSCZ10, author = {Hongqiao Wang and Fuchun Sun and Yan{-}Ning Cai and Zongtao Zhao}, title = {Online chaotic time series prediction using unbiased composite kernel machine via Cholesky factorization}, journal = {Soft Comput.}, volume = {14}, number = {9}, pages = {931--944}, year = {2010}, url = {https://doi.org/10.1007/s00500-009-0479-0}, doi = {10.1007/S00500-009-0479-0}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/WangSCZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/WangCFM10, author = {Lihui Wang and Ningxu Cai and Hsi{-}Yung Feng and Ji Ma}, title = {{ASP:} An Adaptive Setup Planning Approach for Dynamic Machine Assignments}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {7}, number = {1}, pages = {2--14}, year = {2010}, url = {https://doi.org/10.1109/TASE.2008.2011919}, doi = {10.1109/TASE.2008.2011919}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/WangCFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/CaiYCWL10, author = {Jiwen Cai and Ping Yi and Jialin Chen and Zhiyang Wang and Ning Liu}, title = {An Adaptive Approach to Detecting Black and Gray Hole Attacks in Ad Hoc Network}, booktitle = {24th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2010, Perth, Australia, 20-13 April 2010}, pages = {775--780}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/AINA.2010.143}, doi = {10.1109/AINA.2010.143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/CaiYCWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiTMC10, author = {Duo Li and Sheldon X.{-}D. Tan and Ning Mi and Yici Cai}, title = {Efficient power grid integrity analysis using on-the-fly error check and reduction}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {763--768}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419788}, doi = {10.1109/ASPDAC.2010.5419788}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LiTMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ZhuYLYLXYC10, author = {Bin B. Zhu and Jeff Yan and Qiujie Li and Chao Yang and Jia Liu and Ning Xu and Meng Yi and Kaiwei Cai}, editor = {Ehab Al{-}Shaer and Angelos D. Keromytis and Vitaly Shmatikov}, title = {Attacks and design of image recognition CAPTCHAs}, booktitle = {Proceedings of the 17th {ACM} Conference on Computer and Communications Security, {CCS} 2010, Chicago, Illinois, USA, October 4-8, 2010}, pages = {187--200}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1866307.1866329}, doi = {10.1145/1866307.1866329}, timestamp = {Tue, 10 Nov 2020 20:00:21 +0100}, biburl = {https://dblp.org/rec/conf/ccs/ZhuYLYLXYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/YanXCGWLH10, author = {Jing Yan and Ningyi Xu and Xiongfei Cai and Rui Gao and Yu Wang and Rong Luo and Feng{-}Hsiung Hsu}, editor = {Peter Y. K. Cheung and John Wawrzynek}, title = {LambdaRank acceleration for relevance ranking in web search engines (abstract only)}, booktitle = {Proceedings of the {ACM/SIGDA} 18th International Symposium on Field Programmable Gate Arrays, {FPGA} 2010, Monterey, California, USA, February 21-23, 2010}, pages = {285}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1723112.1723166}, doi = {10.1145/1723112.1723166}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/YanXCGWLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/CaiXZ10, author = {Ning Cai and Jianxiang Xi and Yisheng Zhong}, title = {Necessary and sufficient conditions for asymptotic swarm stability of high order swarm systems}, booktitle = {8th {IEEE} International Conference on Control and Automation, {ICCA} 2010, Xiamen, China, June 9-11, 2010}, pages = {1870--1875}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCA.2010.5524335}, doi = {10.1109/ICCA.2010.5524335}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icca/CaiXZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/CaiCG10, author = {Ning Cai and Terence Chan and Alex J. Grant}, title = {The arbitrarily varying channel when the jammer knows the channel input}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2010, June 13-18, 2010, Austin, Texas, USA, Proceedings}, pages = {295--299}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISIT.2010.5513324}, doi = {10.1109/ISIT.2010.5513324}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/CaiCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/WangJZG10, author = {Fei Wang and Caigui Jiang and Nanning Zheng and Yu Guo}, editor = {George Bebis and Richard D. Boyle and Bahram Parvin and Darko Koracin and Ronald Chung and Riad I. Hammoud and Muhammad Hussain and Kar{-}Han Tan and Roger Crawfis and Daniel Thalmann and David L. Kao and Lisa Avila}, title = {Camera Pose Estimation Based on Angle Constraints}, booktitle = {Advances in Visual Computing - 6th International Symposium, {ISVC} 2010, Las Vegas, NV, USA, November 29-December 1, 2010. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6453}, pages = {96--107}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17289-2\_10}, doi = {10.1007/978-3-642-17289-2\_10}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isvc/WangJZG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/services/CaiWZ10, author = {Hong Cai and Ning Wang and Ming Jun Zhou}, title = {A Transparent Approach of Enabling SaaS Multi-tenancy in the Cloud}, booktitle = {6th World Congress on Services, {SERVICES} 2010, Miami, Florida, USA, July 5-10, 2010}, pages = {40--47}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SERVICES.2010.48}, doi = {10.1109/SERVICES.2010.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/services/CaiWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LinCLCX09, author = {Guan Ning Lin and Zhipeng Cai and Guohui Lin and Sounak Chakraborty and Dong Xu}, title = {ComPhy: prokaryotic composite distance phylogenies inferred from whole-genome gene sets}, journal = {{BMC} Bioinform.}, volume = {10}, number = {{S-1}}, year = {2009}, url = {https://doi.org/10.1186/1471-2105-10-S1-S5}, doi = {10.1186/1471-2105-10-S1-S5}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LinCLCX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/YangNC09, author = {Dong Yang and Ruola Ning and Weixing Cai}, title = {Circle Plus Partial Helical Scan Scheme for a Flat Panel Detector-Based Cone Beam Breast X-Ray {CT}}, journal = {Int. J. Biomed. Imaging}, volume = {2009}, pages = {637867:1--637867:11}, year = {2009}, url = {https://doi.org/10.1155/2009/637867}, doi = {10.1155/2009/637867}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/YangNC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/WangCF09, author = {Lihui Wang and Ningxu Cai and Hsi{-}Yung Feng}, title = {Function blocks enabled dynamic set-up dispatching and execution monitoring}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {22}, number = {1}, pages = {3--12}, year = {2009}, url = {https://doi.org/10.1080/09511920801911027}, doi = {10.1080/09511920801911027}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcim/WangCF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/Cai09, author = {Ning Cai}, title = {On first passage times of a hyper-exponential jump diffusion process}, journal = {Oper. Res. Lett.}, volume = {37}, number = {2}, pages = {127--134}, year = {2009}, url = {https://doi.org/10.1016/j.orl.2009.01.002}, doi = {10.1016/J.ORL.2009.01.002}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/Cai09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/CaiCW09, author = {Ning Cai and Nan Chen and Xiangwei Wan}, title = {Pricing double-barrier options under a flexible jump diffusion model}, journal = {Oper. Res. Lett.}, volume = {37}, number = {3}, pages = {163--167}, year = {2009}, url = {https://doi.org/10.1016/j.orl.2009.02.006}, doi = {10.1016/J.ORL.2009.02.006}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/CaiCW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/YeungCHW09, author = {Raymond W. Yeung and Ning Cai and Siu{-}Wai Ho and Aaron B. Wagner}, title = {Reliable Communication in the Absence of a Common Clock}, journal = {{IEEE} Trans. Inf. Theory}, volume = {55}, number = {2}, pages = {700--712}, year = {2009}, url = {https://doi.org/10.1109/TIT.2008.2008155}, doi = {10.1109/TIT.2008.2008155}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/YeungCHW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/XuCGZH09, author = {Ningyi Xu and Xiongfei Cai and Rui Gao and Lei Zhang and Feng{-}Hsiung Hsu}, title = {{FPGA} Acceleration of RankBoost in Web Search Engines}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {1}, number = {4}, pages = {19:1--19:19}, year = {2009}, url = {https://doi.org/10.1145/1462586.1462588}, doi = {10.1145/1462586.1462588}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trets/XuCGZH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShenMTCH09, author = {Ruijing Shen and Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, editor = {Kazutoshi Wakabayashi}, title = {Statistical modeling and analysis of chip-level leakage power by spectral stochastic method}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {161--166}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796474}, doi = {10.1109/ASPDAC.2009.4796474}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShenMTCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caip/YeS09, author = {Ning Ye and Terence Sim}, editor = {Xiaoyi Jiang and Nicolai Petkov}, title = {Combining Facial Appearance and Dynamics for Face Recognition}, booktitle = {Computer Analysis of Images and Patterns, 13th International Conference, {CAIP} 2009, M{\"{u}}nster, Germany, September 2-4, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5702}, pages = {133--140}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03767-2\_16}, doi = {10.1007/978-3-642-03767-2\_16}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/caip/YeS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esiat/CaiS09, author = {Ning Cai and Qi Taisong Shen}, title = {Analysis on the Multi-system Collaborative Model of the Enterprise Circular Economy - {A} Case Study of Hangzhou Iron {\&} Steel Group in China}, booktitle = {2009 International Conference on Environmental Science and Information Application Technology, {ESIAT} 2009, Wuhan, China, 4-5 July 2009, 3 Volumes}, pages = {700--703}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ESIAT.2009.464}, doi = {10.1109/ESIAT.2009.464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esiat/CaiS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/YanXCGWLH09, author = {Jing Yan and Ningyi Xu and Xiongfei Cai and Rui Gao and Yu Wang and Rong Luo and Feng{-}Hsiung Hsu}, editor = {Martin Danek and Jiri Kadlec and Brent E. Nelson}, title = {FPGA-based acceleration of neural network for ranking in web search engine with a streaming architecture}, booktitle = {19th International Conference on Field Programmable Logic and Applications, {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic}, pages = {662--665}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/FPL.2009.5272343}, doi = {10.1109/FPL.2009.5272343}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/YanXCGWLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/CaiSG09, author = {Ze{-}Su Cai and Li{-}ning Sun and Hai{-}Bo Gao}, editor = {Lihong Xu and Erik D. Goodman and Guoliang Chen and L. Darrell Whitley and Yongsheng Ding}, title = {A novel hierarchical decomposition for multi-player pursuit evasion differential game with superior evaders}, booktitle = {Proceedings of the first {ACM/SIGEVO} Summit on Genetic and Evolutionary Computation, {GEC} Summit 2009, Shanghai, China, June 12-14, 2009}, pages = {795--798}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1543834.1543945}, doi = {10.1145/1543834.1543945}, timestamp = {Tue, 11 Feb 2020 11:20:57 +0100}, biburl = {https://dblp.org/rec/conf/gecco/CaiSG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/holomas/CaiB09, author = {Ningxu Cai and Robert W. Brennan}, editor = {Vladim{\'{\i}}r Mar{\'{\i}}k and Thomas I. Strasser and Alois Zoitl}, title = {Distributed Sensing and Control Architecture for Automotive Factory Automation}, booktitle = {Holonic and Multi-Agent Systems for Manufacturing, 4th International Conference on Industrial Applications of Holonic and Multi-Agent Systems, HoloMAS 2009, Linz, Austria, August 31 - September 2, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5696}, pages = {165--174}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03668-2\_16}, doi = {10.1007/978-3-642-03668-2\_16}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/holomas/CaiB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShinXXGCMH09, author = {Ji{-}Yong Shin and Zenglin Xia and Ning{-}Yi Xu and Rui Gao and Xiongfei Cai and Seungryoul Maeng and Feng{-}Hsiung Hsu}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {{FTL} design exploration in reconfigurable high-performance {SSD} for server applications}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {338--349}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542324}, doi = {10.1145/1542275.1542324}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/ShinXXGCMH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/Cai09, author = {Ning Cai}, title = {Valuable messages and random outputs of channels in linear network coding}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2009, June 28 - July 3, 2009, Seoul, Korea, Proceedings}, pages = {413--417}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISIT.2009.5205747}, doi = {10.1109/ISIT.2009.5205747}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/Cai09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ChanCG09, author = {Terence Chan and Ning Cai and Alex J. Grant}, title = {Robust key agreement schemes}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2009, June 28 - July 3, 2009, Seoul, Korea, Proceedings}, pages = {2106--2110}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISIT.2009.5205259}, doi = {10.1109/ISIT.2009.5205259}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/ChanCG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0901-4551, author = {Terence Chan and Ning Cai and Alex J. Grant}, title = {Robust Key Agreement Schemes}, journal = {CoRR}, volume = {abs/0901.4551}, year = {2009}, url = {http://arxiv.org/abs/0901.4551}, eprinttype = {arXiv}, eprint = {0901.4551}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0901-4551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/XingCYHSC08, author = {Ling{-}Ning Xing and Ying{-}Wu Chen and Ke{-}Wei Yang and Feng Hou and Xue{-}Shi Shen and Huai{-}Ping Cai}, title = {A hybrid approach combining an improved genetic algorithm and optimization strategies for the asymmetric traveling salesman problem}, journal = {Eng. Appl. Artif. Intell.}, volume = {21}, number = {8}, pages = {1370--1380}, year = {2008}, url = {https://doi.org/10.1016/j.engappai.2008.01.003}, doi = {10.1016/J.ENGAPPAI.2008.01.003}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/XingCYHSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SunWJZZ08, author = {Ning Sun and Haixian Wang and Zhen{-}hai Ji and Cairong Zou and Li Zhao}, title = {An efficient algorithm for Kernel two-dimensional principal component analysis}, journal = {Neural Comput. Appl.}, volume = {17}, number = {1}, pages = {59--64}, year = {2008}, url = {https://doi.org/10.1007/s00521-007-0111-0}, doi = {10.1007/S00521-007-0111-0}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SunWJZZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MiTCH08, author = {Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {11}, pages = {1996--2006}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.2006077}, doi = {10.1109/TCAD.2008.2006077}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MiTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MiFTCH08, author = {Ning Mi and Jeffrey Fan and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, title = {Statistical Analysis of On-Chip Power Delivery Networks Considering Lognormal Leakage Current Variations With Spatial Correlation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {7}, pages = {2064--2075}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2008.918215}, doi = {10.1109/TCSI.2008.918215}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MiFTCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/CaiSGZPH08, author = {Ze{-}Su Cai and Li{-}Ning Sun and Hai{-}Bo Gao and Pu{-}Cheng Zhou and Songhao Piao and Qing{-}Cheng Huang}, editor = {Caihua Xiong and Honghai Liu and Yongan Huang and Youlun Xiong}, title = {Multi-robot Cooperative Pursuit Based on Task Bundle Auctions}, booktitle = {Intelligent Robotics and Applications, First International Conference, {ICIRA} 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5314}, pages = {235--244}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88513-9\_26}, doi = {10.1007/978-3-540-88513-9\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icira/CaiSGZPH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LiXHCGX08, author = {Zhijun Li and Ning{-}Yi Xu and Feng{-}Hsiung Hsu and Xiongfei Cai and Rui Gao and Zenglin Xia}, title = {Distributed RankBoost Acceleration Using {FPGA} and {MPI} for Web Relevance Ranking}, booktitle = {14th International Conference on Parallel and Distributed Systems, {ICPADS} 2008, Melbourne, Victoria, Australia, December 8-10, 2008}, pages = {35--42}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPADS.2008.106}, doi = {10.1109/ICPADS.2008.106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LiXHCGX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycs/LiDLLWZ08, author = {Jiaxin Li and Ning Deng and Caixia Liu and Mengxiao Liu and Zuo Wang and Qi Zuo}, title = {{FG-NC:} {A} Schedule Algorithm of Designing Concurrent Multi-direction Data Switch Structure}, booktitle = {Proceedings of the 9th International Conference for Young Computer Scientists, {ICYCS} 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008}, pages = {178--182}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICYCS.2008.446}, doi = {10.1109/ICYCS.2008.446}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icycs/LiDLLWZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/YeungC08, author = {Raymond W. Yeung and Ning Cai}, editor = {Frank R. Kschischang and En{-}Hui Yang}, title = {On the optimality of a construction of secure network codes}, booktitle = {2008 {IEEE} International Symposium on Information Theory, {ISIT} 2008, Toronto, ON, Canada, July 6-11, 2008}, pages = {166--170}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISIT.2008.4594969}, doi = {10.1109/ISIT.2008.4594969}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/YeungC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/WeiCJ08, author = {Ning Wei and Hongming Cai and Lihong Jiang}, editor = {Susanto Rahardja and Enhua Wu and Daniel Thalmann and Zhiyong Huang}, title = {A dynamical adjustment partitioning algorithm for distributed virtual environment systems}, booktitle = {Proceedings of the 7th International Conference on Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2008, Singapore, December 8-9, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1477862.1477887}, doi = {10.1145/1477862.1477887}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/WeiCJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WuC07, author = {Huai{-}Ning Wu and Kai{-}Yuan Cai}, title = {Robust fuzzy control for uncertain discrete-time nonlinear Markovian jump systems without mode observations}, journal = {Inf. Sci.}, volume = {177}, number = {6}, pages = {1509--1522}, year = {2007}, url = {https://doi.org/10.1016/j.ins.2006.07.031}, doi = {10.1016/J.INS.2006.07.031}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WuC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FanMTCH07, author = {Jeffrey Fan and Ning Mi and Sheldon X.{-}D. Tan and Yici Cai and Xianlong Hong}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Statistical model order reduction for interconnect circuits considering spatial correlations}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1508--1513}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266697}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/FanMTCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XuCGZH07, author = {Ning{-}Yi Xu and Xiongfei Cai and Rui Gao and Lei Zhang and Feng{-}Hsiung Hsu}, editor = {Hideharu Amano and Andy Ye and Takeshi Ikenaga}, title = {FPGA-based Accelerator Design for RankBoost in Web Search Engines}, booktitle = {2007 International Conference on Field-Programmable Technology, {ICFPT} 2007, Kitakyushu, Japan, December 12-14, 2007}, pages = {33--40}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPT.2007.4439229}, doi = {10.1109/FPT.2007.4439229}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/XuCGZH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/CaiFB07, author = {Kui Cai and Yong Ning Foo and Jan W. M. Bergmans}, title = {Combating Media Noise for High-Density Optical Recording}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {6255--6260}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.1036}, doi = {10.1109/ICC.2007.1036}, timestamp = {Tue, 19 Jun 2018 07:53:33 +0200}, biburl = {https://dblp.org/rec/conf/icc/CaiFB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiTLCCH07, author = {Ning Mi and Sheldon X.{-}D. Tan and Pu Liu and Jian Cui and Yici Cai and Xianlong Hong}, editor = {Georges G. E. Gielen}, title = {Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397242}, doi = {10.1109/ICCAD.2007.4397242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MiTLCCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/NingWZQH07, author = {Qianhui Ning and Weiqiang Wang and Caifeng Zhu and Laiyun Qing and Qingming Huang}, title = {A Fast Approach for Natural Image Matting using Structure Information}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {1399--1402}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284921}, doi = {10.1109/ICME.2007.4284921}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/NingWZQH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/CaiNLZ07, author = {Rongying Cai and Zhengyuan Ning and Lishan Li and Yiwen Zhong}, editor = {Jingsheng Lei and JingTao Yao and Qingfu Zhang}, title = {Simulated Annealing Algorithm for Independent Tasks Assignment in Heterogeneous Computing Systems}, booktitle = {Third International Conference on Natural Computation, {ICNC} 2007, Haikou, Hainan, China, 24-27 August 2007, Volume 5}, pages = {105--109}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICNC.2007.663}, doi = {10.1109/ICNC.2007.663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/CaiNLZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/SongZCZZGNHZGQG07, author = {Chunhe Song and Hai Zhao and Wei Cai and Haohua Zhang and Ming Zhao and Wei Gao and Xuanjie Ning and Xudong Han and Peng Zhu and Jie Gao and Tianyu Qi and Hongyan Gong}, editor = {Bin{-}Yih Liao and Jeng{-}Shyang Pan and Lakhmi C. Jain and Mark Liao and Hideki Noda and Anthony T. S. Ho}, title = {The Distance-Guided Particle Swarm Optimizer with Dynamic Mutation}, booktitle = {3rd International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2007), Kaohsiung, Taiwan, 26-28 November 2007, Proceedings}, pages = {342--345}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IIH-MSP.2007.292}, doi = {10.1109/IIH-MSP.2007.292}, timestamp = {Fri, 24 Mar 2023 08:33:27 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/SongZCZZGNHZGQG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/CaiY07, author = {Ning Cai and Raymond W. Yeung}, title = {A Security Condition for Multi-Source Linear Network Coding}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2007, Nice, France, June 24-29, 2007}, pages = {561--565}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISIT.2007.4557284}, doi = {10.1109/ISIT.2007.4557284}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/CaiY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZouSJZ07, author = {Cairong Zou and Ning Sun and Zhen{-}hai Ji and Li Zhao}, title = {2DCCA: {A} Novel Method for Small Sample Size Face Recognition}, booktitle = {8th {IEEE} Workshop on Applications of Computer Vision {(WACV} 2007), 20-21 February 2007, Austin, Texas, {USA}}, pages = {43}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/WACV.2007.1}, doi = {10.1109/WACV.2007.1}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ZouSJZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/XingCC06, author = {Lining Xing and Ying{-}Wu Chen and Huai{-}Ping Cai}, title = {An intelligent genetic algorithm designed for global optimization of multi-minima functions}, journal = {Appl. Math. Comput.}, volume = {178}, number = {2}, pages = {355--371}, year = {2006}, url = {https://doi.org/10.1016/j.amc.2005.11.058}, doi = {10.1016/J.AMC.2005.11.058}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/XingCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/WuC06, author = {Huai{-}Ning Wu and Kai{-}Yuan Cai}, title = {H\({}_{\mbox{2}}\) guaranteed cost fuzzy control design for discrete-time nonlinear systems with parameter uncertainty}, journal = {Autom.}, volume = {42}, number = {7}, pages = {1183--1188}, year = {2006}, url = {https://doi.org/10.1016/j.automatica.2006.02.025}, doi = {10.1016/J.AUTOMATICA.2006.02.025}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/WuC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cominfsys/CaiY06, author = {Ning Cai and Raymond W. Yeung}, title = {Network Error Correction, {I:} Basic Concepts and Upper Bounds}, journal = {Commun. Inf. Syst.}, volume = {6}, number = {1}, pages = {19--35}, year = {2006}, url = {https://doi.org/10.4310/cis.2006.v6.n1.a2}, doi = {10.4310/CIS.2006.V6.N1.A2}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cominfsys/CaiY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cominfsys/CaiY06a, author = {Ning Cai and Raymond W. Yeung}, title = {Network Error Correction, {II:} Lower Bounds}, journal = {Commun. Inf. Syst.}, volume = {6}, number = {1}, pages = {37--54}, year = {2006}, url = {https://doi.org/10.4310/cis.2006.v6.n1.a3}, doi = {10.4310/CIS.2006.V6.N1.A3}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cominfsys/CaiY06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC06, author = {Rudolf Ahlswede and Ning Cai}, title = {An Interpretation of Identification Entropy}, journal = {{IEEE} Trans. Inf. Theory}, volume = {52}, number = {9}, pages = {4198--4207}, year = {2006}, url = {https://doi.org/10.1109/TIT.2006.879972}, doi = {10.1109/TIT.2006.879972}, timestamp = {Thu, 22 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiCEKMPSTY06, author = {Ning Cai and Mung Chiang and Michelle Effros and Ralf Koetter and Muriel M{\'{e}}dard and Balaji Prabhakar and R. Srikant and Donald F. Towsley and Raymond W. Yeung}, title = {Introduction to the special issue on networking and information theory}, journal = {{IEEE} Trans. Inf. Theory}, volume = {52}, number = {6}, pages = {2285--2288}, year = {2006}, url = {https://doi.org/10.1109/TIT.2006.874965}, doi = {10.1109/TIT.2006.874965}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/CaiCEKMPSTY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/SongYC06, author = {Lihua Song and Richard W. Yeung and Ning Cai}, title = {A separation theorem for single-source network coding}, journal = {{IEEE} Trans. Inf. Theory}, volume = {52}, number = {5}, pages = {1861--1871}, year = {2006}, url = {https://doi.org/10.1109/TIT.2006.872983}, doi = {10.1109/TIT.2006.872983}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/SongYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/CaiCEKMPSTY06, author = {Ning Cai and Mung Chiang and Michelle Effros and Ralf Koetter and Muriel M{\'{e}}dard and Balaji Prabhakar and R. Srikant and Donald F. Towsley and Raymond W. Yeung}, title = {Introduction to the special issue on networking and information theory}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {14}, number = {4}, pages = {674}, year = {2006}, url = {http://doi.acm.org/10.1145/1217646}, doi = {10.1145/1217646}, timestamp = {Thu, 22 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/CaiCEKMPSTY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/WuC06, author = {Huai{-}Ning Wu and Kai{-}Yuan Cai}, title = {Mode-independent robust stabilization for uncertain Markovian jump nonlinear systems via fuzzy control}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {36}, number = {3}, pages = {509--519}, year = {2006}, url = {https://doi.org/10.1109/TSMCB.2005.862486}, doi = {10.1109/TSMCB.2005.862486}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/WuC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Watermarking Identification Codes with Related Topics on Common Randomness}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {107--153}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_7}, doi = {10.1007/11889342\_7}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06a, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Codes with the Identifiable Parent Property and the Multiple-Access Channel}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {249--257}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_12}, doi = {10.1007/11889342\_12}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06b, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Transmission, Identification and Common Randomness Capacities for Wire-Tape Channels with Secure Feedback from the Decoder}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {258--275}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_13}, doi = {10.1007/11889342\_13}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06c, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {A Strong Converse Theorem for Quantum Multiple Access Channels}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {460--485}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_26}, doi = {10.1007/11889342\_26}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06d, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Search with Noisy and Delayed Responses}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {695--703}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_43}, doi = {10.1007/11889342\_43}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06e, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {A Kraft-Type Inequality for d-Delay Binary Search Codes}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {704--706}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_44}, doi = {10.1007/11889342\_44}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeC06f, author = {Rudolf Ahlswede and Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Appendix: On Edge-Isoperimetric Theorems for Uniform Hypergraphs}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {979--1005}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_63}, doi = {10.1007/11889342\_63}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeC06f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/AhlswedeCZ06, author = {Rudolf Ahlswede and Ning Cai and Zhaozhi Zhang}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Secrecy Systems for Identification Via Channels with Additive-Like Instantaneous Block Encipherer}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {285--292}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_15}, doi = {10.1007/11889342\_15}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/AhlswedeCZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gtitc/Cai06, author = {Ning Cai}, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {Private Capacity of Broadcast Channels}, booktitle = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, pages = {1076--1078}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342\_73}, doi = {10.1007/11889342\_73}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gtitc/Cai06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/SunWJZZ06, author = {Ning Sun and Haixian Wang and Zhen{-}hai Ji and Cairong Zou and Li Zhao}, editor = {De{-}Shuang Huang and Kang Li and George W. Irwin}, title = {A Fast Feature Extraction Method for Kernel 2DPCA}, booktitle = {Intelligent Computing, International Conference on Intelligent Computing, {ICIC} 2006, Kunming, China, August 16-19, 2006. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4113}, pages = {767--774}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11816157\_93}, doi = {10.1007/11816157\_93}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/SunWJZZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/CaiLNWH06, author = {Kai{-}Yuan Cai and Yong{-}Chao Li and Wei{-}Yi Ning and W. Eric Wong and Hai Hu}, editor = {Hong Zhu and Joseph R. Horgan and Shing{-}Chi Cheung and J. Jenny Li}, title = {Optimal and Adaptive Testing with Cost Constraints}, booktitle = {Proceedings of the 2006 International Workshop on Automation of Software Test, {AST} 2006, Shanghai, China, May 23, 2006}, pages = {71--77}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1138929.1138944}, doi = {10.1145/1138929.1138944}, timestamp = {Thu, 30 Apr 2020 12:10:35 +0200}, biburl = {https://dblp.org/rec/conf/icse/CaiLNWH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/SunZSZZ06, author = {Ning Sun and Wenming Zheng and Changyin Sun and Cairong Zou and Li Zhao}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {Facial Expression Recognition Based on BoostingTree}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3972}, pages = {77--84}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760023\_12}, doi = {10.1007/11760023\_12}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/SunZSZZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/SunZSZZ06a, author = {Ning Sun and Wenming Zheng and Changyin Sun and Cairong Zou and Li Zhao}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {Gender Classification Based on Boosting Local Binary Pattern}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3972}, pages = {194--201}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760023\_29}, doi = {10.1007/11760023\_29}, timestamp = {Mon, 28 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isnn/SunZSZZ06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/ZhuWN06, author = {Caifeng Zhu and Weiqiang Wang and Qianhui Ning}, editor = {Yueting Zhuang and Shiqiang Yang and Yong Rui and Qinming He}, title = {Text Detection in Images Using Texture Feature from Strokes}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2006, 7th Pacific Rim Conference on Multimedia, Hangzhou, China, November 2-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4261}, pages = {295--301}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11922162\_35}, doi = {10.1007/11922162\_35}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/pcm/ZhuWN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/JieLSCC06, author = {Degang Jie and Yanjie Liu and Lining Sun and Zhichao Chen and Hegao Cai}, title = {A Novel High Speed/High Precision Displacement Measurement Method Using Double Grating Scales for a Macro/Micro Driven System: Principle Design and Experimental Verification}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2006, Kunming, China, 17-20 December 2006}, pages = {1588--1593}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ROBIO.2006.340181}, doi = {10.1109/ROBIO.2006.340181}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/JieLSCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/gtitc/2006, editor = {Rudolf Ahlswede and Lars B{\"{a}}umer and Ning Cai and Harout K. Aydinian and Vladimir M. Blinovsky and Christian Deppe and Haik Mashurian}, title = {General Theory of Information Transfer and Combinatorics}, series = {Lecture Notes in Computer Science}, volume = {4123}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889342}, doi = {10.1007/11889342}, isbn = {3-540-46244-9}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gtitc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05, author = {Rudolf Ahlswede and Ning Cai}, title = {A Kraft-type inequality for d-delay binary search codes}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {133--136}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.010}, doi = {10.1016/J.ENDM.2005.07.010}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05a, author = {Rudolf Ahlswede and Ning Cai}, title = {A Strong Converse Theorem for Quantum Multiple Access Channels}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {137--141}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.011}, doi = {10.1016/J.ENDM.2005.07.011}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05b, author = {Rudolf Ahlswede and Ning Cai}, title = {Codes with the identifiable parent property and the multiple-access channel}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {143--147}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.012}, doi = {10.1016/J.ENDM.2005.07.012}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05c, author = {Rudolf Ahlswede and Ning Cai}, title = {Search with noisy and delayed response}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {149--154}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.013}, doi = {10.1016/J.ENDM.2005.07.013}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05d, author = {Rudolf Ahlswede and Ning Cai}, title = {Transmission, identification and common randomness capacities for wire-tape channels with secure feedback from the decoder}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {155--159}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.014}, doi = {10.1016/J.ENDM.2005.07.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeC05e, author = {Rudolf Ahlswede and Ning Cai}, title = {Watermarking Identification Codes with Related Topics on Common Randomness}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {161--165}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.015}, doi = {10.1016/J.ENDM.2005.07.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeC05e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/AhlswedeCZ05, author = {Rudolf Ahlswede and Ning Cai and Zhaozhi Zhang}, title = {Secrecy Systems for Identification Via Channels with Additive-Like Instantaneous Block Encipherers}, journal = {Electron. Notes Discret. Math.}, volume = {21}, pages = {167--168}, year = {2005}, url = {https://doi.org/10.1016/j.endm.2005.07.016}, doi = {10.1016/J.ENDM.2005.07.016}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/AhlswedeCZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/CaiLN05, author = {Kai{-}Yuan Cai and Yong{-}Chao Li and Wei{-}Yi Ning}, title = {Optimal software testing in the setting of controlled Markov chains}, journal = {Eur. J. Oper. Res.}, volume = {162}, number = {2}, pages = {552--579}, year = {2005}, url = {https://doi.org/10.1016/j.ejor.2002.11.002}, doi = {10.1016/J.EJOR.2002.11.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/CaiLN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ftcit/YeungLCZ05, author = {Raymond W. Yeung and Shuo{-}Yen Robert Li and Ning Cai and Zhen Zhang}, title = {Network Coding Theory - Part {I:} Single Source}, journal = {Found. Trends Commun. Inf. Theory}, volume = {2}, number = {4}, year = {2005}, url = {https://doi.org/10.1561/0100000007I}, doi = {10.1561/0100000007I}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ftcit/YeungLCZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ftcit/YeungLCZ05a, author = {Raymond W. Yeung and Shuo{-}Yen Robert Li and Ning Cai and Zhen Zhang}, title = {Network Coding Theory - Part {II:} Multiple Source}, journal = {Found. Trends Commun. Inf. Theory}, volume = {2}, number = {5}, year = {2005}, url = {https://doi.org/10.1561/0100000007II}, doi = {10.1561/0100000007II}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ftcit/YeungLCZ05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/LiWC05, author = {Xiaoqian Li and Lihui Wang and Ningxu Cai}, title = {On-line calibration of positioning accuracy of {CNC} lathe using a double-frequency laser interferometer}, journal = {Int. J. Comput. Appl. Technol.}, volume = {24}, number = {4}, pages = {212--217}, year = {2005}, url = {https://doi.org/10.1504/IJCAT.2005.008267}, doi = {10.1504/IJCAT.2005.008267}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcat/LiWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/WangZZDC05, author = {Xi{-}Ning Wang and Xiao{-}Lin Zhao and Yong Zhou and Xu{-}Han Dai and Bing{-}Chu Cai}, title = {Fabrication and performance of novel {RF} spiral inductors on silicon}, journal = {Microelectron. J.}, volume = {36}, number = {8}, pages = {737--740}, year = {2005}, url = {https://doi.org/10.1016/j.mejo.2004.11.007}, doi = {10.1016/J.MEJO.2004.11.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/WangZZDC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/JiangZSC05, author = {Dan{-}Ning Jiang and Wei Zhang and Liqin Shen and Lianhong Cai}, title = {Prosody Analysis and Modeling for Emotional Speech Synthesis}, booktitle = {2005 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '05, Philadelphia, Pennsylvania, USA, March 18-23, 2005}, pages = {281--284}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICASSP.2005.1415105}, doi = {10.1109/ICASSP.2005.1415105}, timestamp = {Thu, 04 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/JiangZSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/Li0Y05, author = {Shuo{-}Yen Robert Li and Ning Cai and Raymond W. Yeung}, title = {On theory of linear network coding}, booktitle = {Proceedings of the 2005 {IEEE} International Symposium on Information Theory, {ISIT} 2005, Adelaide, South Australia, Australia, 4-9 September 2005}, pages = {273--277}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISIT.2005.1523337}, doi = {10.1109/ISIT.2005.1523337}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/Li0Y05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/CaiCLNY05, author = {Kai{-}Yuan Cai and Tsong Yueh Chen and Yong{-}Chao Li and Wei{-}Yi Ning and Yuen{-}Tak Yu}, editor = {Hisham Haddad and Lorie M. Liebrock and Andrea Omicini and Roger L. Wainwright}, title = {Adaptive testing of software components}, booktitle = {Proceedings of the 2005 {ACM} Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005}, pages = {1463--1469}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1066677.1067011}, doi = {10.1145/1066677.1067011}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/CaiCLNY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/WuC04, author = {Huai{-}Ning Wu and Kai{-}Yuan Cai}, title = {\emph{H\({}_{\mbox{2}}\)} guaranteed cost fuzzy control for uncertain nonlinear systems via linear matrix inequalities}, journal = {Fuzzy Sets Syst.}, volume = {148}, number = {3}, pages = {411--429}, year = {2004}, url = {https://doi.org/10.1016/j.fss.2003.11.015}, doi = {10.1016/J.FSS.2003.11.015}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/WuC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/poit/Cai0Y04, author = {Ning Cai and Andreas J. Winter and Raymond W. Yeung}, title = {Quantum privacy and quantum wiretap channels}, journal = {Probl. Inf. Transm.}, volume = {40}, number = {4}, pages = {318--336}, year = {2004}, url = {https://doi.org/10.1007/s11122-004-0002-2}, doi = {10.1007/S11122-004-0002-2}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/poit/Cai0Y04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC04, author = {Rudolf Ahlswede and Ning Cai}, title = {On Lossless Quantum Data Compression With a Classical Helper}, journal = {{IEEE} Trans. Inf. Theory}, volume = {50}, number = {6}, pages = {1208--1219}, year = {2004}, url = {https://doi.org/10.1109/TIT.2004.828071}, doi = {10.1109/TIT.2004.828071}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JiangC04, author = {Dan{-}Ning Jiang and Lian{-}Hong Cai}, title = {Speech emotion classification with the combination of statistic features and temporal features}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Multimedia and Expo, {ICME} 2004, 27-30 June 2004, Taipei, Taiwan}, pages = {1967--1970}, publisher = {{IEEE} Computer Society}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/JiangC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JiangC04, author = {Dan{-}Ning Jiang and Lian{-}Hong Cai}, title = {Classifying emotion in Chinese speech by decomposing prosodic features}, booktitle = {{INTERSPEECH} 2004 - ICSLP, 8th International Conference on Spoken Language Processing, Jeju Island, Korea, October 4-8, 2004}, pages = {1325--1328}, publisher = {{ISCA}}, year = {2004}, url = {https://doi.org/10.21437/Interspeech.2004-326}, doi = {10.21437/INTERSPEECH.2004-326}, timestamp = {Thu, 22 Jun 2023 16:42:17 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JiangC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/SlimaneCZZ03, author = {Slimane Ben Slimane and Meng Cai and Xi Zhang and Ning Zhou}, title = {On the capacity of {CDMA} with linear successive interference cancellation}, journal = {Eur. Trans. Telecommun.}, volume = {14}, number = {6}, pages = {501--513}, year = {2003}, url = {https://doi.org/10.1002/ett.950}, doi = {10.1002/ETT.950}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/SlimaneCZZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/CaiL03, author = {Ning Cai and Kwok{-}Yan Lam}, title = {On identification secret sharing schemes}, journal = {Inf. Comput.}, volume = {184}, number = {2}, pages = {298--310}, year = {2003}, url = {https://doi.org/10.1016/S0890-5401(03)00056-7}, doi = {10.1016/S0890-5401(03)00056-7}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iandc/CaiL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiTH03, author = {Ning Cai and Ludo M. G. M. Tolhuizen and Henk D. L. Hollmann}, title = {Common coordinates in consecutive addresses}, journal = {{IEEE} Trans. Inf. Theory}, volume = {49}, number = {12}, pages = {3308--3312}, year = {2003}, url = {https://doi.org/10.1109/TIT.2003.820034}, doi = {10.1109/TIT.2003.820034}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/CaiTH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/LiYC03, author = {Shuo{-}Yen Robert Li and Raymond W. Yeung and Ning Cai}, title = {Linear network coding}, journal = {{IEEE} Trans. Inf. Theory}, volume = {49}, number = {2}, pages = {371--381}, year = {2003}, url = {https://doi.org/10.1109/TIT.2002.807285}, doi = {10.1109/TIT.2002.807285}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/LiYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/SongYC03, author = {Lihua Song and Richard W. Yeung and Ning Cai}, title = {Zero-error network coding for acyclic network}, journal = {{IEEE} Trans. Inf. Theory}, volume = {49}, number = {12}, pages = {3129--3139}, year = {2003}, url = {https://doi.org/10.1109/TIT.2003.820015}, doi = {10.1109/TIT.2003.820015}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/SongYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeBC02, author = {Rudolf Ahlswede and Bernhard Balkenhol and Ning Cai}, title = {Parallel error correcting codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {48}, number = {4}, pages = {959--962}, year = {2002}, url = {https://doi.org/10.1109/18.992800}, doi = {10.1109/18.992800}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeBC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC02, author = {Rudolf Ahlswede and Ning Cai}, title = {Seminoisy deterministic multiple-access channels: Coding theorems for list codes and codes with feedback}, journal = {{IEEE} Trans. Inf. Theory}, volume = {48}, number = {8}, pages = {2153--2162}, year = {2002}, url = {https://doi.org/10.1109/TIT.2002.800477}, doi = {10.1109/TIT.2002.800477}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JiangLZTC02, author = {Dan{-}Ning Jiang and Lie Lu and Hong{-}Jiang Zhang and Jianhua Tao and Lian{-}Hong Cai}, title = {Music type classification by spectral contrast feature}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Multimedia and Expo, {ICME} 2002, Lausanne, Switzerland. August 26-29, 2002. Volume {I}}, pages = {113--116}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICME.2002.1035731}, doi = {10.1109/ICME.2002.1035731}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JiangLZTC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itw/0001Y02, author = {Ning Cai and Raymond W. Yeung}, title = {Network coding and error correction}, booktitle = {Proceedings of the 2002 {IEEE} Information Theory Workshop, {ITW} 2002, 20-25 October 2002, Bangalore, India}, pages = {119--122}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ITW.2002.1115432}, doi = {10.1109/ITW.2002.1115432}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/itw/0001Y02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/poit/AhlswedeCD01, author = {Rudolf Ahlswede and Ning Cai and Christian Deppe}, title = {An Isoperimetric Theorem for Sequences Generated by Feedback and Feedback-Codes for Unequal Error Protection}, journal = {Probl. Inf. Transm.}, volume = {37}, number = {4}, pages = {332--338}, year = {2001}, url = {https://doi.org/10.1023/A\%3A1013823417501}, doi = {10.1023/A\%3A1013823417501}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/poit/AhlswedeCD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeCLY00, author = {Rudolf Ahlswede and Ning Cai and Shuo{-}Yen Robert Li and Raymond W. Yeung}, title = {Network information flow}, journal = {{IEEE} Trans. Inf. Theory}, volume = {46}, number = {4}, pages = {1204--1216}, year = {2000}, url = {https://doi.org/10.1109/18.850663}, doi = {10.1109/18.850663}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeCLY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cpc/AhlswedeC99, author = {Rudolf Ahlswede and Ning Cai}, title = {A Counterexample To Kleitman's Conjecture Concerning An Edge-Isoperimetric Problem}, journal = {Comb. Probab. Comput.}, volume = {8}, number = {4}, pages = {301--305}, year = {1999}, url = {http://journals.cambridge.org/action/displayAbstract?aid=46719}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cpc/AhlswedeC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC99, author = {Rudolf Ahlswede and Ning Cai}, title = {Arbitrarily Varying Multiple-Access Channels Part {I} - Ericson's Symmetrizability Is Adequate, Gubner's Conjecture Is True}, journal = {{IEEE} Trans. Inf. Theory}, volume = {45}, number = {2}, pages = {742--749}, year = {1999}, url = {https://doi.org/10.1109/18.749024}, doi = {10.1109/18.749024}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC99a, author = {Rudolf Ahlswede and Ning Cai}, title = {Arbitrarily Varying Multiple-Access Channels - Part {II} - Correlated Senders' Side Information, Correlated Messages, and Ambiguous Transmission}, journal = {{IEEE} Trans. Inf. Theory}, volume = {45}, number = {2}, pages = {749--756}, year = {1999}, url = {https://doi.org/10.1109/18.749025}, doi = {10.1109/18.749025}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC99b, author = {Rudolf Ahlswede and Ning Cai}, title = {Identification without randomization}, journal = {{IEEE} Trans. Inf. Theory}, volume = {45}, number = {7}, pages = {2636--2642}, year = {1999}, url = {https://doi.org/10.1109/18.796419}, doi = {10.1109/18.796419}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC99b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC98a, author = {Rudolf Ahlswede and Ning Cai}, title = {Information and Control: Matching Channels}, journal = {{IEEE} Trans. Inf. Theory}, volume = {44}, number = {2}, pages = {542--563}, year = {1998}, url = {https://doi.org/10.1109/18.661504}, doi = {10.1109/18.661504}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC98a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeCZ98, author = {Rudolf Ahlswede and Ning Cai and Zhen Zhang}, title = {Zero-Error Capacity for Models with Memory and the Enlightened Dictator Channel}, journal = {{IEEE} Trans. Inf. Theory}, volume = {44}, number = {3}, pages = {1250--1252}, year = {1998}, url = {https://doi.org/10.1109/18.669303}, doi = {10.1109/18.669303}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeCZ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorica/AhlswedeC97, author = {Rudolf Ahlswede and Ning Cai}, title = {Shadows and Isoperimetry Under the Sequence-Subsequence Relation}, journal = {Comb.}, volume = {17}, number = {1}, pages = {11--29}, year = {1997}, url = {https://doi.org/10.1007/BF01196128}, doi = {10.1007/BF01196128}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorica/AhlswedeC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejc/AhlswedeC97, author = {Rudolf Ahlswede and Ning Cai}, title = {General Edge-isoperimetric Inequalities, Part {I:} Information-theoretical Methods}, journal = {Eur. J. Comb.}, volume = {18}, number = {4}, pages = {355--372}, year = {1997}, url = {https://doi.org/10.1006/eujc.1996.0105}, doi = {10.1006/EUJC.1996.0105}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejc/AhlswedeC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejc/AhlswedeC97a, author = {Rudolf Ahlswede and Ning Cai}, title = {General Edge-isoperimetric Inequalities, Part {II:} a Local-Global Principle for Lexicographical Solutions}, journal = {Eur. J. Comb.}, volume = {18}, number = {5}, pages = {479--489}, year = {1997}, url = {https://doi.org/10.1006/eujc.1996.0106}, doi = {10.1006/EUJC.1996.0106}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejc/AhlswedeC97a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/AhlswedeC97, author = {Rudolf Ahlswede and Ning Cai}, title = {Models of Multi-User Write-Efficient Memories and General Diametric Theorems}, journal = {Inf. Comput.}, volume = {135}, number = {1}, pages = {37--67}, year = {1997}, url = {https://doi.org/10.1006/inco.1997.2629}, doi = {10.1006/INCO.1997.2629}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iandc/AhlswedeC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC97, author = {Rudolf Ahlswede and Ning Cai}, title = {Correlated sources help transmission over an arbitrarily varying channel}, journal = {{IEEE} Trans. Inf. Theory}, volume = {43}, number = {4}, pages = {1254--1255}, year = {1997}, url = {https://doi.org/10.1109/18.605589}, doi = {10.1109/18.605589}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeCZ97, author = {Rudolf Ahlswede and Ning Cai and Zhen Zhang}, title = {On interactive communication}, journal = {{IEEE} Trans. Inf. Theory}, volume = {43}, number = {1}, pages = {22--37}, year = {1997}, url = {https://doi.org/10.1109/18.567643}, doi = {10.1109/18.567643}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeCZ97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejc/AhlswedeC96, author = {Rudolf Ahlswede and Ning Cai}, title = {Incomparability and Intersection Properties of Boolean Interval Lattices and Chain Posets}, journal = {Eur. J. Comb.}, volume = {17}, number = {8}, pages = {677--687}, year = {1996}, url = {https://doi.org/10.1006/eujc.1996.0059}, doi = {10.1006/EUJC.1996.0059}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejc/AhlswedeC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeCZ96, author = {Rudolf Ahlswede and Ning Cai and Zhen Zhang}, title = {Erasure, list, and detection zero-error capacities for low noise and a relation to identification}, journal = {{IEEE} Trans. Inf. Theory}, volume = {42}, number = {1}, pages = {55--62}, year = {1996}, url = {https://doi.org/10.1109/18.481778}, doi = {10.1109/18.481778}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeCZ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cpc/AhlswedeC94, author = {Rudolf Ahlswede and Ning Cai}, title = {On Partitioning and Packing Products with Rectangles}, journal = {Comb. Probab. Comput.}, volume = {3}, pages = {429--434}, year = {1994}, url = {https://doi.org/10.1017/S0963548300001334}, doi = {10.1017/S0963548300001334}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cpc/AhlswedeC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC94, author = {Rudolf Ahlswede and Ning Cai}, title = {On communication complexity of vector-valued functions}, journal = {{IEEE} Trans. Inf. Theory}, volume = {40}, number = {6}, pages = {2062--2067}, year = {1994}, url = {https://doi.org/10.1109/18.340481}, doi = {10.1109/18.340481}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aaecc/AhlswedeC93, author = {Rudolf Ahlswede and Ning Cai}, title = {Rank Formulas for Certain Products of Matrices}, journal = {Appl. Algebra Eng. Commun. Comput.}, volume = {4}, pages = {253--261}, year = {1993}, url = {https://doi.org/10.1007/BF01200149}, doi = {10.1007/BF01200149}, timestamp = {Thu, 28 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aaecc/AhlswedeC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorica/AhlswedeC93, author = {Rudolf Ahlswede and Ning Cai}, title = {A generalization of the {AZ} identity}, journal = {Comb.}, volume = {13}, number = {3}, pages = {241--248}, year = {1993}, url = {https://doi.org/10.1007/BF01202350}, doi = {10.1007/BF01202350}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorica/AhlswedeC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cpc/AhlswedeC93, author = {Rudolf Ahlswede and Ning Cai}, title = {On Extremal Set Partitions in Cartesian Product Spaces}, journal = {Comb. Probab. Comput.}, volume = {2}, pages = {211--220}, year = {1993}, url = {https://doi.org/10.1017/S0963548300000626}, doi = {10.1017/S0963548300000626}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cpc/AhlswedeC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorica/AhlswedeCZ92, author = {Rudolf Ahlswede and Ning Cai and Zhen Zhang}, title = {Diametric theorems in seuence spaces}, journal = {Comb.}, volume = {12}, number = {1}, pages = {1--17}, year = {1992}, url = {https://doi.org/10.1007/BF01191200}, doi = {10.1007/BF01191200}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorica/AhlswedeCZ92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/BrualdiCP92, author = {Richard A. Brualdi and Ning Cai and Vera Pless}, title = {Orphan structure of the first-order Reed-Muller codes}, journal = {Discret. Math.}, volume = {102}, number = {3}, pages = {239--247}, year = {1992}, url = {https://doi.org/10.1016/0012-365X(92)90118-Y}, doi = {10.1016/0012-365X(92)90118-Y}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/BrualdiCP92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/AhlswedeC91, author = {Rudolf Ahlswede and Ning Cai}, title = {Two proofs of Pinsker's conjecture concerning arbitrarily varying channels}, journal = {{IEEE} Trans. Inf. Theory}, volume = {37}, number = {6}, pages = {1647--1649}, year = {1991}, url = {https://doi.org/10.1109/18.104326}, doi = {10.1109/18.104326}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/AhlswedeC91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/StottsNC89, author = {P. David Stotts and Robert W. Newcomb and Z. Ning Cai}, title = {Modelling the logical structure of flexible manufacturing systems with Petri-nets}, journal = {Comput. Commun.}, volume = {12}, number = {4}, pages = {193--203}, year = {1989}, url = {https://doi.org/10.1016/0140-3664(89)90196-5}, doi = {10.1016/0140-3664(89)90196-5}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/StottsNC89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/CaiFGVRN87, author = {Z. Ning Cai and A. Farnham and A. Ghalwash and Pedro G{\'{o}}mez and Victoria Rodellar and Robert Newcomb}, title = {Petri nets for robot lattices}, booktitle = {Proceedings of the 1987 {IEEE} International Conference on Robotics and Automation, Raleigh, North Carolina, USA, March 31 - April 3, 1987}, pages = {999--1004}, publisher = {{IEEE}}, year = {1987}, url = {https://doi.org/10.1109/ROBOT.1987.1087865}, doi = {10.1109/ROBOT.1987.1087865}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/CaiFGVRN87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.