default search action
Search dblp for Publications
export results for "Mohit Kumar"
@book{DBLP:books/sp/AkhtarKN24, author = {Mohammad Amir Khusru Akhtar and Mohit Kumar and Anand Nayyar}, title = {Towards Ethical and Socially Responsible Explainable {AI} - Challenges and Opportunities}, volume = {551}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-66489-2}, doi = {10.1007/978-3-031-66489-2}, isbn = {978-3-031-66488-5}, timestamp = {Sun, 15 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/AkhtarKN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChatterjeeAPCKVKA24, author = {Rajesh Chatterjee and Mohammad Amir Khusru Akhtar and Dinesh Kumar Pradhan and Falguni Chakraborty and Mohit Kumar and Sahil Verma and Ruba Abu Khurma and Maribel Garc{\'{\i}}a Arenas}, title = {{FNN} for Diabetic Prediction Using Oppositional Whale Optimization Algorithm}, journal = {{IEEE} Access}, volume = {12}, pages = {20396--20408}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3357993}, doi = {10.1109/ACCESS.2024.3357993}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChatterjeeAPCKVKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SinghalSAVKVKKRKA24, author = {Saurabh Singhal and Ashish Sharma and Anushree and Pawan Kumar Verma and Mohit Kumar and Sahil Verma and Kavita and Maninder Kaur and Joel J. P. C. Rodrigues and Ruba Abu Khurma and Maribel Garc{\'{\i}}a Arenas}, title = {Energy Efficient Load Balancing Algorithm for Cloud Computing Using Rock Hyrax Optimization}, journal = {{IEEE} Access}, volume = {12}, pages = {48737--48749}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3380159}, doi = {10.1109/ACCESS.2024.3380159}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SinghalSAVKVKKRKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/RatandharaK24, author = {Harshit M. Ratandhara and Mohit Kumar}, title = {An {\(\alpha\)}-cut intervals based Fuzzy Best-Worst Method for Multi-Criteria Decision-Making}, journal = {Appl. Soft Comput.}, volume = {159}, pages = {111625}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2024.111625}, doi = {10.1016/J.ASOC.2024.111625}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/RatandharaK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/GuptaJBDJMSISS24, author = {Vishu Gupta and Bani Jolly and Rahul C. Bhoyar and Mohit Kumar Divakar and Abhinav Jain and Anushree Mishra and Vigneshwar Senthivel and Mohamed Imran and Vinod Scaria and Sridhar Sivasubbu}, title = {Spectrum of rare and common mitochondrial {DNA} variations from 1029 whole genomes of self-declared healthy individuals from India}, journal = {Comput. Biol. Chem.}, volume = {112}, pages = {108118}, year = {2024}, url = {https://doi.org/10.1016/j.compbiolchem.2024.108118}, doi = {10.1016/J.COMPBIOLCHEM.2024.108118}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/GuptaJBDJMSISS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/GuptaKG24, author = {Mansi Gupta and Mohit Kumar and Yash Gupta}, title = {A blockchain-empowered federated learning-based framework for data privacy in lung disease detection system}, journal = {Comput. Hum. Behav.}, volume = {158}, pages = {108302}, year = {2024}, url = {https://doi.org/10.1016/j.chb.2024.108302}, doi = {10.1016/J.CHB.2024.108302}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/GuptaKG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ChakrabortyKC24, author = {Ananya Chakraborty and Mohit Kumar and Nisha Chaurasia}, title = {An intelligent offloading and resource allocation using Fuzzy-based {HHGA} algorithm for IoT applications}, journal = {Clust. Comput.}, volume = {27}, number = {8}, pages = {11167--11185}, year = {2024}, url = {https://doi.org/10.1007/s10586-024-04536-x}, doi = {10.1007/S10586-024-04536-X}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ChakrabortyKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/WaliaKG24, author = {Guneet Kaur Walia and Mohit Kumar and Sukhpal Singh Gill}, title = {AI-Empowered Fog/Edge Resource Management for IoT Applications: {A} Comprehensive Review, Research Challenges, and Future Perspectives}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {26}, number = {1}, pages = {619--669}, year = {2024}, url = {https://doi.org/10.1109/COMST.2023.3338015}, doi = {10.1109/COMST.2023.3338015}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/WaliaKG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/MalikSMKG24, author = {Aruna Malik and Samayveer Singh and Manju and Mohit Kumar and Sukhpal Singh Gill}, title = {IoT based sensor network clustering for intelligent transportation system using meta-heuristic algorithm}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {20}, year = {2024}, url = {https://doi.org/10.1002/cpe.8193}, doi = {10.1002/CPE.8193}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/MalikSMKG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csr/KumarDKG24, author = {Surendra Kumar and Mridula Dwivedi and Mohit Kumar and Sukhpal Singh Gill}, title = {A comprehensive review of vulnerabilities and AI-enabled defense against DDoS attacks for securing cloud services}, journal = {Comput. Sci. Rev.}, volume = {53}, pages = {100661}, year = {2024}, url = {https://doi.org/10.1016/j.cosrev.2024.100661}, doi = {10.1016/J.COSREV.2024.100661}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csr/KumarDKG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/BeniwalSK24, author = {Mohit Beniwal and Archana Singh and Nand Kumar}, title = {Forecasting multistep daily stock prices for long-term investment decisions: {A} study of deep learning models on global indices}, journal = {Eng. Appl. Artif. Intell.}, volume = {129}, pages = {107617}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107617}, doi = {10.1016/J.ENGAPPAI.2023.107617}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/BeniwalSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/KumarSB24, author = {Mohit Kumar and Sukhvir Singh and Juliana Bowles}, title = {Variational Bayesian deep fuzzy models for interpretable classification}, journal = {Eng. Appl. Artif. Intell.}, volume = {132}, pages = {107900}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.107900}, doi = {10.1016/J.ENGAPPAI.2024.107900}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/KumarSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WuHYISBZ24, author = {Jianbin Wu and Sami Ahmed Haider and Heejung Yu and Muhammad Irshad and Mukesh Soni and Mohit Kumar Bhadla and Yousaf Bin Zikria}, title = {An intelligent IoT intrusion detection system using HeInit-WGAN and {SSO-BNMCNN} based multivariate feature analysis}, journal = {Eng. Appl. Artif. Intell.}, volume = {127}, number = {Part {A}}, pages = {107132}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107132}, doi = {10.1016/J.ENGAPPAI.2023.107132}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/WuHYISBZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/YadavKYKJM24, author = {Divakar Yadav and Kritarth Kapoor and Arun Kumar Yadav and Mohit Kumar and Arti Jain and Jorge Morato}, title = {Satellite image classification using deep learning approach}, journal = {Earth Sci. Informatics}, volume = {17}, number = {3}, pages = {2495--2508}, year = {2024}, url = {https://doi.org/10.1007/s12145-024-01301-x}, doi = {10.1007/S12145-024-01301-X}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esi/YadavKYKJM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/AnkurRG24, author = {Ankur and Mohit Kumar Rohilla and Rahul Gupta}, title = {Edge feature enhanced convolutional neural networks for face recognition using IoT devices}, journal = {Int. J. Comput. Vis. Robotics}, volume = {14}, number = {2}, pages = {119--153}, year = {2024}, url = {https://doi.org/10.1504/IJCVR.2024.136994}, doi = {10.1504/IJCVR.2024.136994}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/AnkurRG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsa/MehtaAK24, author = {Vivek Mehta and Mohit Agarwal and Rohit Kumar Kaliyar}, title = {A comprehensive and analytical review of text clustering techniques}, journal = {Int. J. Data Sci. Anal.}, volume = {18}, number = {3}, pages = {239--258}, year = {2024}, url = {https://doi.org/10.1007/s41060-024-00540-x}, doi = {10.1007/S41060-024-00540-X}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsa/MehtaAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/KumarCG24, author = {Gopal Kumar and Felix T. S. Chan and Mohit Goswami}, title = {Market reactions to drugs price reduction during {COVID-19} distress in an emerging economy: value creation through {CSR}}, journal = {Ind. Manag. Data Syst.}, volume = {124}, number = {1}, pages = {140--181}, year = {2024}, url = {https://doi.org/10.1108/IMDS-03-2023-0142}, doi = {10.1108/IMDS-03-2023-0142}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imds/KumarCG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jair/KumarMF24, author = {Mohit Kumar and Bernhard Alois Moser and Lukas Fischer}, title = {On Mitigating the Utility-Loss in Differentially Private Learning: {A} New Perspective by a Geometrically Inspired Kernel Approach}, journal = {J. Artif. Intell. Res.}, volume = {79}, pages = {515--567}, year = {2024}, url = {https://doi.org/10.1613/jair.1.15071}, doi = {10.1613/JAIR.1.15071}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jair/KumarMF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/ShirleyKRKRHT24, author = {C. P. Shirley and Jaydip Kumar and Kantilal Pitambar Rane and Narendra Kumar and Deevi Radha Rani and Kuntamukkula Harshitha and Mohit Tiwari}, title = {IoT device type identification using training deep quantum neural networks optimized with a chimp optimization algorithm for enhancing IoT security}, journal = {J. High Speed Networks}, volume = {30}, number = {2}, pages = {191--201}, year = {2024}, url = {https://doi.org/10.3233/JHS-230028}, doi = {10.3233/JHS-230028}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/ShirleyKRKRHT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/DuaKDD24, author = {Shelza Dua and Atul Kumar and Mohit Dua and Deepti Dhingra}, title = {{ICFCM-MIE:} Improved Cosine Fractional Chaotic Map based Medical Image Encryption}, journal = {Multim. Tools Appl.}, volume = {83}, number = {17}, pages = {52035--52060}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17438-8}, doi = {10.1007/S11042-023-17438-8}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/DuaKDD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KhartheesvarKYY24, author = {G. Khartheesvar and Mohit Kumar and Arun Kumar Yadav and Divakar Yadav}, title = {Automatic Indian sign language recognition using MediaPipe holistic and {LSTM} network}, journal = {Multim. Tools Appl.}, volume = {83}, number = {20}, pages = {58329--58348}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17361-y}, doi = {10.1007/S11042-023-17361-Y}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KhartheesvarKYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarD24, author = {Atul Kumar and Mohit Dua}, title = {Image encryption using a novel hybrid chaotic map and dynamic permutation-diffusion}, journal = {Multim. Tools Appl.}, volume = {83}, number = {11}, pages = {32789--32812}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16817-5}, doi = {10.1007/S11042-023-16817-5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarRSK24, author = {Mohit Kumar and Atul Rai and Surbhit and Neeraj Kumar}, title = {Autonomic edge cloud assisted framework for heart disease prediction using {RF-LRG} algorithm}, journal = {Multim. Tools Appl.}, volume = {83}, number = {2}, pages = {5929--5953}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15736-9}, doi = {10.1007/S11042-023-15736-9}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/KumarRSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MangalampalliKKKRS24, author = {Sudheer Mangalampalli and Ganesh Reddy Karri and Mohit Kumar and Osamah Ibrahim Khalaf and Carlos Andr{\'{e}}s Tavera Romero and GhaidaMuttashar Abdul Sahib}, title = {{DRLBTSA:} Deep reinforcement learning based task-scheduling algorithm in cloud computing}, journal = {Multim. Tools Appl.}, volume = {83}, number = {3}, pages = {8359--8387}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16008-2}, doi = {10.1007/S11042-023-16008-2}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/MangalampalliKKKRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SinghPAASP24, author = {Kavinder Singh and Ashutosh Pandey and Akshat Agarwal and Mohit Kumar Agarwal and Aditya Shankar and Anil Singh Parihar}, title = {{FRN:} Fusion and recalibration network for low-light image enhancement}, journal = {Multim. Tools Appl.}, volume = {83}, number = {4}, pages = {12235--12252}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15908-7}, doi = {10.1007/S11042-023-15908-7}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/SinghPAASP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/VermaYKY24, author = {Akash Verma and Arun Kumar Yadav and Mohit Kumar and Divakar Yadav}, title = {Automatic image caption generation using deep learning}, journal = {Multim. Tools Appl.}, volume = {83}, number = {2}, pages = {5309--5325}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15555-y}, doi = {10.1007/S11042-023-15555-Y}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/VermaYKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/AgarwalGB24, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, title = {{DECACNN:} differential evolution-based approach to compress and accelerate the convolution neural network model}, journal = {Neural Comput. Appl.}, volume = {36}, number = {6}, pages = {2665--2681}, year = {2024}, url = {https://doi.org/10.1007/s00521-023-09166-9}, doi = {10.1007/S00521-023-09166-9}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/AgarwalGB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/saem/KandpalKYYB24, author = {Meenakshi Kandpal and Niharika Keshari and Amrendra Singh Yadav and Mohit Yadav and Rabindra Kumar Barik}, title = {Modelling of blockchain based queuing theory implementing preemptive and non-preemptive algorithms}, journal = {Int. J. Syst. Assur. Eng. Manag.}, volume = {15}, number = {6}, pages = {2554--2570}, year = {2024}, url = {https://doi.org/10.1007/s13198-024-02276-0}, doi = {10.1007/S13198-024-02276-0}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/saem/KandpalKYYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sap/KumarSSKG24, author = {Ravi Kumar and Samayveer Singh and Deepti Singh and Mohit Kumar and Sukhpal Singh Gill}, title = {A robust and secure user authentication scheme based on multifactor and multi-gateway in IoT enabled sensor networks}, journal = {Secur. Priv.}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/spy2.335}, doi = {10.1002/SPY2.335}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sap/KumarSSKG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/snam/YadavKKY23, author = {Arun Kumar Yadav and Abhishek Kumar and Mohit Kumar and Divakar Yadav}, title = {Semantic proximity assessment in Bhojpuri and Maithili: a word embedding perspective}, journal = {Soc. Netw. Anal. Min.}, volume = {14}, number = {1}, pages = {130}, year = {2024}, url = {https://doi.org/10.1007/s13278-024-01287-w}, doi = {10.1007/S13278-024-01287-W}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/snam/YadavKKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/BajpaiTYCK24, author = {Abhishek Bajpai and Naveen Tiwari and Aditya Yadav and Divyansh Chaurasia and Mohit Kumar}, title = {Enhancing Underwater Object Detection: Leveraging YOLOv8m for Improved Subaquatic Monitoring}, journal = {{SN} Comput. Sci.}, volume = {5}, number = {6}, pages = {793}, year = {2024}, url = {https://doi.org/10.1007/s42979-024-03170-z}, doi = {10.1007/S42979-024-03170-Z}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/BajpaiTYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/KumarSDG24, author = {Mohit Kumar and Jitendra Kumar Samriya and Kalka Dubey and Sukhpal Singh Gill}, title = {QoS-aware resource scheduling using whale optimization algorithm for microservice applications}, journal = {Softw. Pract. Exp.}, volume = {54}, number = {4}, pages = {546--565}, year = {2024}, url = {https://doi.org/10.1002/spe.3211}, doi = {10.1002/SPE.3211}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/KumarSDG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KumarB24, author = {Mohit Kumar and Ashish Kumar Bhandari}, title = {Unsupervised Real-Time Mobile-Based Highly Dark Image Texture Enhancement App}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {608--616}, year = {2024}, url = {https://doi.org/10.1109/TCE.2024.3351711}, doi = {10.1109/TCE.2024.3351711}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KumarB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KumarWSSG24, author = {Mohit Kumar and Guneet Kaur Walia and Haresh Shingare and Samayveer Singh and Sukhpal Singh Gill}, title = {AI-Based Sustainable and Intelligent Offloading Framework for IIoT in Collaborative Cloud-Fog Environments}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {1414--1422}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3320673}, doi = {10.1109/TCE.2023.3320673}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KumarWSSG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SamriyaCSKR24, author = {Jitendra Kumar Samriya and Chinmay Chakraborty and Aditi Sharma and Mohit Kumar and Sravanth Kumar Ramakuri}, title = {Adversarial ML-Based Secured Cloud Architecture for Consumer Internet of Things of Smart Healthcare}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2058--2065}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3341696}, doi = {10.1109/TCE.2023.3341696}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/SamriyaCSKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SamriyaKKXWG24, author = {Jitendra Kumar Samriya and Surendra Kumar and Mohit Kumar and Minxian Xu and Huaming Wu and Sukhpal Singh Gill}, title = {Blockchain and Reinforcement Neural Network for Trusted Cloud-Enabled IoT Network}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2311--2322}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3347690}, doi = {10.1109/TCE.2023.3347690}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/SamriyaKKXWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/KumarCCG24, author = {Rajeev Ranjan Kumar and Felix T. S. Chan and Abhishek Chakraborty and Mohit Goswami}, title = {Driving Electric Mobility Considering Manufacturers' Power Asymmetry in Presence of the Government's Policy Instruments}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {9906--9919}, year = {2024}, url = {https://doi.org/10.1109/TEM.2023.3290944}, doi = {10.1109/TEM.2023.3290944}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/KumarCCG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/TrivediSYKS24, author = {Shrawan Kumar Trivedi and Amrinder Singh and Mohit Yadav and Ajay Kumar and Praveen Ranjan Srivastava}, title = {Intention to Use Social Media in Online and Blended Learning: Potential Predictors and Mediation of Collectivism}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {13558--13571}, year = {2024}, url = {https://doi.org/10.1109/TEM.2023.3257422}, doi = {10.1109/TEM.2023.3257422}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/TrivediSYKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/TrivediVSY24, author = {Shrawan Kumar Trivedi and Sriranga Vishnu and Amrinder Singh and Mohit Yadav}, title = {Research Trends in Sustainable E-Payment Systems: {A} Study Using Topic Modeling Approach}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {7511--7525}, year = {2024}, url = {https://doi.org/10.1109/TEM.2023.3280216}, doi = {10.1109/TEM.2023.3280216}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/TrivediVSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/JyotiGKSPM24, author = {Kumari Jyoti and Mohit Kumar Gautam and Sanjay Kumar and Sai Sushma and Ram Bilas Pachori and Shaibal Mukherjee}, title = {Memristive Crossbar Array-Based Computing Framework via {DWT} for Biomedical Image Enhancement}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {12}, number = {3}, pages = {766--779}, year = {2024}, url = {https://doi.org/10.1109/TETC.2023.3318303}, doi = {10.1109/TETC.2023.3318303}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/JyotiGKSPM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/FormosaQSMMM24, author = {Nicolette Formosa and Mohammed A. Quddus and Mohit Kumar Singh and Cheuk Ki Man and Craig Morton and Cansu Bahar Masera}, title = {An Experiment and Simulation Study on Developing Algorithms for CAVs to Navigate Through Roadworks}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {1}, pages = {120--132}, year = {2024}, url = {https://doi.org/10.1109/TITS.2023.3318370}, doi = {10.1109/TITS.2023.3318370}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/FormosaQSMMM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YuPLGBAAC24, author = {Pengbo Yu and Flavio Ponzina and Alexandre Levisse and Mohit Gupta and Dwaipayan Biswas and Giovanni Ansaloni and David Atienza and Francky Catthoor}, title = {An Energy Efficient Soft {SIMD} Microarchitecture and Its Application on Quantized CNNs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {6}, pages = {1018--1031}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2024.3375793}, doi = {10.1109/TVLSI.2024.3375793}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YuPLGBAAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SrivastavaSS24, author = {Mohit Kumar Srivastava and Manoj Kumar Shukla and Arun Kumar Singh}, title = {{VLC-FBMC} Enabled Optical Wireless Data Centers}, journal = {Wirel. Pers. Commun.}, volume = {136}, number = {4}, pages = {2145--2161}, year = {2024}, url = {https://doi.org/10.1007/s11277-024-11330-w}, doi = {10.1007/S11277-024-11330-W}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/SrivastavaSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TyagiMK24, author = {Mohit Tyagi and Poornima Mittal and Parvin Kumar}, title = {Performance Optimization of {SAR} {ADC} using Dynamic Controlled Comparator at 45 nm Technology for Biomedical and IoT Applications}, journal = {Wirel. Pers. Commun.}, volume = {134}, number = {2}, pages = {1035--1057}, year = {2024}, url = {https://doi.org/10.1007/s11277-024-10971-1}, doi = {10.1007/S11277-024-10971-1}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TyagiMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiih/CosmaSWJB24, author = {Georgina Cosma and Mohit Kumar Singh and Patrick Waterson and Gyuchan Thomas Jun and Jonathan Back}, editor = {Xianghua Xie and Iain B. Styles and Gibin G. Powathil and Marco Ceccarelli}, title = {Unveiling Disparities in Maternity Care: {A} Topic Modelling Approach to Analysing Maternity Incident Investigation Reports}, booktitle = {Artificial Intelligence in Healthcare - First International Conference, AIiH 2024, Swansea, UK, September 4-6, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14975}, pages = {295--308}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-67278-1\_23}, doi = {10.1007/978-3-031-67278-1\_23}, timestamp = {Wed, 28 Aug 2024 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/aiih/CosmaSWJB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiih/CosmaSWJB24a, author = {Georgina Cosma and Mohit Kumar Singh and Patrick Waterson and Gyuchan Thomas Jun and Jonathan Back}, editor = {Xianghua Xie and Iain B. Styles and Gibin G. Powathil and Marco Ceccarelli}, title = {Intelligent Multi-document Summarisation for Extracting Insights on Racial Inequalities from Maternity Incident Investigation Reports}, booktitle = {Artificial Intelligence in Healthcare - First International Conference, AIiH 2024, Swansea, UK, September 4-6, 2024, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14976}, pages = {316--329}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-67285-9\_23}, doi = {10.1007/978-3-031-67285-9\_23}, timestamp = {Wed, 28 Aug 2024 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/aiih/CosmaSWJB24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/SinghAGKKJ24, author = {Usneek Singh and Piyush Arora and Shamika Ganesan and Mohit Kumar and Siddhant Kulkarni and Salil Rajeev Joshi}, editor = {Sriraam Natarajan and Indrajit Bhattacharya and Richa Singh and Arun Kumar and Sayan Ranu and Kalika Bali and Abinaya K}, title = {Comparative Analysis of Transformers for Modeling Tabular Data: {A} Casestudy using Industry Scale Dataset}, booktitle = {Proceedings of the 7th Joint International Conference on Data Science {\&} Management of Data (11th {ACM} {IKDD} {CODS} and 29th COMAD), Bangalore, India, January 4-7, 2024}, pages = {449--453}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3632410.3632456}, doi = {10.1145/3632410.3632456}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/SinghAGKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/MakwanaTDK24, author = {Mohit Dilip Makwana and Vedant Thakkar and Debanshu Das and Rajesh Kumar}, title = {Simulating Cyber-Attack Scenarios by Discovering Petri-Nets from Large-Scale Event Logs}, booktitle = {16th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2024, Bengaluru, India, January 3-7, 2024}, pages = {49--54}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMSNETS59351.2024.10427052}, doi = {10.1109/COMSNETS59351.2024.10427052}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/comsnets/MakwanaTDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccr/KumarRVV24, author = {Pranav Kumar and Neyara Radwan and Mohit Vij and Anu Vij}, title = {The Role of Robotics in Enhancing Service Quality, Efficiency, and Customer Satisfaction in the Hospitality Industry}, booktitle = {2024 2nd International Conference on Cyber Resilience (ICCR), Dubai, United Arab Emirates, February 26-28, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCR61006.2024.10532969}, doi = {10.1109/ICCR61006.2024.10532969}, timestamp = {Wed, 29 May 2024 13:40:21 +0200}, biburl = {https://dblp.org/rec/conf/iccr/KumarRVV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccr/ShameemKVVVA24, author = {Beenish Shameem and Pranav Kumar and Anu Vij and Mohit Vij and Sultan Al Shehri Vij and Haitham M. Alzoubi}, title = {Assessing the Adoption of Technology in Banking Services in India: {A} Structural Equation Modeling Analysis}, booktitle = {2024 2nd International Conference on Cyber Resilience (ICCR), Dubai, United Arab Emirates, February 26-28, 2024}, pages = {1--9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCR61006.2024.10533065}, doi = {10.1109/ICCR61006.2024.10533065}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccr/ShameemKVVVA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccr/VijVFK24, author = {Anu Vij and Mohit Vij and Maged Farouk and Pranav Kumar}, title = {Evaluating the Effectiveness of AI-Integrated Digital Marketing on Consumer Behavior, Brand Perception, and Sales Performance}, booktitle = {2024 2nd International Conference on Cyber Resilience (ICCR), Dubai, United Arab Emirates, February 26-28, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCR61006.2024.10533049}, doi = {10.1109/ICCR61006.2024.10533049}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccr/VijVFK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccr/VijVKS24, author = {Mohit Vij and Anu Vij and Pranav Kumar and Beenish Shameem}, title = {Impact of AI-Driven Customer Insights on Brand Engagement and Loyalty in Tourism Marketing}, booktitle = {2024 2nd International Conference on Cyber Resilience (ICCR), Dubai, United Arab Emirates, February 26-28, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCR61006.2024.10532832}, doi = {10.1109/ICCR61006.2024.10532832}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccr/VijVKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/BharadhwajVSGTK24, author = {Homanga Bharadhwaj and Jay Vakil and Mohit Sharma and Abhinav Gupta and Shubham Tulsiani and Vikash Kumar}, title = {RoboAgent: Generalization and Efficiency in Robot Manipulation via Semantic Augmentations and Action Chunking}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {4788--4795}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611293}, doi = {10.1109/ICRA57147.2024.10611293}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/BharadhwajVSGTK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/GargMPT24, author = {Purushottam Kumar Garg and Sandipan Mukherjee and Mohit Prajapati and Ashutosh Tiwari}, title = {Glacier Area, Mass Balance and Surface Ice Velocity Estimations in the Rulung Massif, Ladakh: Exploring High Altitude Glacier Changes}, booktitle = {{IGARSS} 2024 - 2024 {IEEE} International Geoscience and Remote Sensing Symposium, Athens, Greece, July 7-12, 2024}, pages = {156--159}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IGARSS53475.2024.10642257}, doi = {10.1109/IGARSS53475.2024.10642257}, timestamp = {Thu, 26 Sep 2024 12:36:11 +0200}, biburl = {https://dblp.org/rec/conf/igarss/GargMPT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KumarKRCB24, author = {Mohit Kumar and Abhik Kumar Khan and Sudip Roy and Krishnendu Chakrabarty and Sukanta Bhattacharjee}, title = {Accelerating Fluid Loading in Sample Preparation with Fully Programmable Valve Arrays}, booktitle = {37th International Conference on {VLSI} Design and 23rd International Conference on Embedded Systems, {VLSID} 2024, Kolkata, India, January 6-10, 2024}, pages = {402--407}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSID60093.2024.00073}, doi = {10.1109/VLSID60093.2024.00073}, timestamp = {Mon, 08 Apr 2024 20:48:39 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/KumarKRCB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/JinCVHCK24, author = {Yiqiao Jin and Mohit Chandra and Gaurav Verma and Yibo Hu and Munmun De Choudhury and Srijan Kumar}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {Better to Ask in English: Cross-Lingual Evaluation of Large Language Models for Healthcare Queries}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {2627--2638}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645643}, doi = {10.1145/3589334.3645643}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/JinCVHCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10985, author = {Mikhail Kazdagli and Mohit Tiwari and Akshat Kumar}, title = {Leveraging {AI} Planning For Detecting Cloud Security Vulnerabilities}, journal = {CoRR}, volume = {abs/2402.10985}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10985}, doi = {10.48550/ARXIV.2402.10985}, eprinttype = {arXiv}, eprint = {2402.10985}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17199, author = {Braja Gopal Patra and Lauren A. Lepow and Praneet Kasi Reddy Jagadeesh Kumar and Veer Vekaria and Mohit Manoj Sharma and Prakash Adekkanattu and Brian Fennessy and Gavin Hynes and Isotta Landi and Jorge A. Sanchez{-}Ruiz and Euijung Ryu and Joanna M. Biernacka and Girish N. Nadkarni and Ardesheer Talati and Myrna Weissman and Mark Olfson and J. John Mann and Alexander W. Charney and Jyotishman Pathak}, title = {Extracting Social Support and Social Isolation Information from Clinical Psychiatry Notes: Comparing a Rule-based {NLP} System and a Large Language Model}, journal = {CoRR}, volume = {abs/2403.17199}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17199}, doi = {10.48550/ARXIV.2403.17199}, eprinttype = {arXiv}, eprint = {2403.17199}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17199.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13099, author = {Avinash Anand and Mohit Gupta and Kritarth Prasad and Navya Singla and Sanjana Sanjeev and Jatin Kumar and Adarsh Raj Shivam and Rajiv Ratn Shah}, title = {Mathify: Evaluating Large Language Models on Mathematical Problem Solving Tasks}, journal = {CoRR}, volume = {abs/2404.13099}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13099}, doi = {10.48550/ARXIV.2404.13099}, eprinttype = {arXiv}, eprint = {2404.13099}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13099.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-05505, author = {Mohit Kumar Singh and Georgina Cosma and Patrick Waterson and Jonathan Back and Gyuchan Thomas Jun}, title = {I-SIRch: AI-Powered Concept Annotation Tool For Equitable Extraction And Analysis Of Safety Insights From Maternity Investigations}, journal = {CoRR}, volume = {abs/2406.05505}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.05505}, doi = {10.48550/ARXIV.2406.05505}, eprinttype = {arXiv}, eprint = {2406.05505}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-05505.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17279, author = {Bikram Pandit and Ashutosh Gupta and Mohitvishnu S. Gadde and Addison Johnson and Aayam Kumar Shrestha and Helei Duan and Jeremy Dao and Alan Fern}, title = {Learning Decentralized Multi-Biped Control for Payload Transport}, journal = {CoRR}, volume = {abs/2406.17279}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17279}, doi = {10.48550/ARXIV.2406.17279}, eprinttype = {arXiv}, eprint = {2406.17279}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17279.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04053, author = {Sukhpal Singh Gill and Muhammed Golec and Jianmin Hu and Minxian Xu and Junhui Du and Huaming Wu and Guneet Kaur Walia and Subramaniam Subramanian Murugesan and Babar Ali and Mohit Kumar and Kejiang Ye and Prabal Verma and Surendra Kumar and F{\'{e}}lix Cuadrado and Steve Uhlig}, title = {Edge {AI:} {A} Taxonomy, Systematic Review and Future Directions}, journal = {CoRR}, volume = {abs/2407.04053}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04053}, doi = {10.48550/ARXIV.2407.04053}, eprinttype = {arXiv}, eprint = {2407.04053}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04053.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04335, author = {Mohit Kumar and Alexander Valentinitsch and Magdalena Fuchs and Mathias Brucker and Juliana Bowles and Adnan Husakovic and Ali Abbas and Bernhard Alois Moser}, title = {Geometrically Inspired Kernel Machines for Collaborative Learning Beyond Gradient Descent}, journal = {CoRR}, volume = {abs/2407.04335}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04335}, doi = {10.48550/ARXIV.2407.04335}, eprinttype = {arXiv}, eprint = {2407.04335}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04335.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08322, author = {Georgina Cosma and Mohit Kumar Singh and Patrick Waterson and Gyuchan Thomas Jun and Jonathan Back}, title = {Intelligent Multi-Document Summarisation for Extracting Insights on Racial Inequalities from Maternity Incident Investigation Reports}, journal = {CoRR}, volume = {abs/2407.08322}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08322}, doi = {10.48550/ARXIV.2407.08322}, eprinttype = {arXiv}, eprint = {2407.08322}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08328, author = {Georgina Cosma and Mohit Kumar Singh and Patrick Waterson and Gyuchan Thomas Jun and Jonathan Back}, title = {Unveiling Disparities in Maternity Care: {A} Topic Modelling Approach to Analysing Maternity Incident Investigation Reports}, journal = {CoRR}, volume = {abs/2407.08328}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08328}, doi = {10.48550/ARXIV.2407.08328}, eprinttype = {arXiv}, eprint = {2407.08328}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-00951, author = {Zoey Qiuyu Chen and Zhao Mandi and Homanga Bharadhwaj and Mohit Sharma and Shuran Song and Abhishek Gupta and Vikash Kumar}, title = {Semantically Controllable Augmentations for Generalizable Robot Learning}, journal = {CoRR}, volume = {abs/2409.00951}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.00951}, doi = {10.48550/ARXIV.2409.00951}, eprinttype = {arXiv}, eprint = {2409.00951}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-00951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/KumarKTR23, author = {Mohit Kumar and Samuel Kolb and Stefano Teso and Luc De Raedt}, title = {Learning {MAX-SAT} from contextual examples for combinatorial optimisation}, journal = {Artif. Intell.}, volume = {314}, pages = {103794}, year = {2023}, url = {https://doi.org/10.1016/j.artint.2022.103794}, doi = {10.1016/J.ARTINT.2022.103794}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ai/KumarKTR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/KumarMFF23, author = {Mohit Kumar and Bernhard Alois Moser and Lukas Fischer and Bernhard Freudenthaler}, title = {An Information Theoretic Approach to Privacy-Preserving Interpretable and Transferable Learning}, journal = {Algorithms}, volume = {16}, number = {9}, pages = {450}, year = {2023}, url = {https://doi.org/10.3390/a16090450}, doi = {10.3390/A16090450}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/KumarMFF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/GoswamiDPP23, author = {Mohit Goswami and Yash Daultani and Sanjoy Kumar Paul and Saurabh Pratap}, title = {A framework for the estimation of treatment costs of cardiovascular conditions in the presence of disease transition}, journal = {Ann. Oper. Res.}, volume = {328}, number = {1}, pages = {577--616}, year = {2023}, url = {https://doi.org/10.1007/s10479-022-04914-x}, doi = {10.1007/S10479-022-04914-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/GoswamiDPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/BeniwalSK23, author = {Mohit Beniwal and Archana Singh and Nand Kumar}, title = {Forecasting long-term stock prices of global indices: {A} forward-validating Genetic Algorithm optimization approach for Support Vector Regression}, journal = {Appl. Soft Comput.}, volume = {145}, pages = {110566}, year = {2023}, url = {https://doi.org/10.1016/j.asoc.2023.110566}, doi = {10.1016/J.ASOC.2023.110566}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/BeniwalSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/CheemaSKS23, author = {Amandeep Cheema and Mandeep Singh and Mohit Kumar and Gautam Setia}, title = {Combined empirical mode decomposition and phase space reconstruction based psychologically stressed and non-stressed state classification from cardiac sound signals}, journal = {Biomed. Signal Process. Control.}, volume = {82}, pages = {104585}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.104585}, doi = {10.1016/J.BSPC.2023.104585}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/CheemaSKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MehdiKMSA23, author = {Rana Raza Mehdi and Mohit Kumar and Emilio A. Mendiola and Sakthivel Sadayappan and Reza Avazmohammadi}, title = {Machine learning-based classification of cardiac relaxation impairment using sarcomere length and intracellular calcium transients}, journal = {Comput. Biol. Medicine}, volume = {163}, pages = {107134}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107134}, doi = {10.1016/J.COMPBIOMED.2023.107134}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MehdiKMSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MoholKS23, author = {Shubham Shankar Mohol and Mohit Kumar and Varun Sharma}, title = {PLA-based nature-inspired architecture for bone scaffolds: {A} finite element analysis}, journal = {Comput. Biol. Medicine}, volume = {163}, pages = {107163}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107163}, doi = {10.1016/J.COMPBIOMED.2023.107163}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MoholKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChaurasiaKVPA23, author = {Nisha Chaurasia and Mohit Kumar and Ankit Vidyarthi and Kunwar Pal and Ahmed Alkhayyat}, title = {An efficient and optimized Markov chain-based prediction for server consolidation in cloud environment}, journal = {Comput. Electr. Eng.}, volume = {108}, pages = {108707}, year = {2023}, url = {https://doi.org/10.1016/j.compeleceng.2023.108707}, doi = {10.1016/J.COMPELECENG.2023.108707}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ChaurasiaKVPA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/MangalampalliKK23, author = {Sudheer Mangalampalli and Ganesh Reddy Karri and Mohit Kumar}, title = {Multi objective task scheduling algorithm in cloud computing using grey wolf optimization}, journal = {Clust. Comput.}, volume = {26}, number = {6}, pages = {3803--3822}, year = {2023}, url = {https://doi.org/10.1007/s10586-022-03786-x}, doi = {10.1007/S10586-022-03786-X}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/MangalampalliKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/SharmaKMSJ23, author = {Mohit Sharma and Sandeep Kumar Kajala and Manoj Mishra and Brajraj Singh and Soumendu Jana}, title = {A novel algorithm to determine the input energy for soliton generation in a media with a patterned property}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {126}, pages = {107513}, year = {2023}, url = {https://doi.org/10.1016/j.cnsns.2023.107513}, doi = {10.1016/J.CNSNS.2023.107513}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/SharmaKMSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/KumarDSSG23, author = {Mohit Kumar and Kalka Dubey and Samayveer Singh and Jitendra Kumar Samriya and Sukhpal Singh Gill}, title = {Experimental performance analysis of cloud resource allocation framework using spider monkey optimization algorithm}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {2}, year = {2023}, url = {https://doi.org/10.1002/cpe.7469}, doi = {10.1002/CPE.7469}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/KumarDSSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/RathoreNSS23, author = {Hemant Rathore and Adarsh Nandanwar and Sanjay K. Sahay and Mohit Sewak}, title = {Adversarial superiority in android malware detection: Lessons from reinforcement learning based evasion attacks and defenses}, journal = {Forensic Sci. Int. Digit. Investig.}, volume = {44}, number = {Supplement}, pages = {301511}, year = {2023}, url = {https://doi.org/10.1016/j.fsidi.2023.301511}, doi = {10.1016/J.FSIDI.2023.301511}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/RathoreNSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/SinghKVKG23, author = {Samayveer Singh and Mohit Kumar and Om Prakash Verma and Rajeev Kumar and Sukhpal Singh Gill}, title = {An IIoT based secure and sustainable smart supply chain system using sensor networks}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {34}, number = {2}, year = {2023}, url = {https://doi.org/10.1002/ett.4681}, doi = {10.1002/ETT.4681}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/SinghKVKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ew/GoudKKKBCS23, author = {B. Srikanth Goud and M. Kiran Kumar and Narisetti Ashok Kumar and Ch. Naga Sai Kalyan and Mohit Bajaj and Subhashree Choudhury and Swati Shukla}, title = {Dynamic Voltage Restorer to Mitigate Voltage Sag/Swell using Black Widow Optimization Technique with {FOPID} Controller}, journal = {{EAI} Endorsed Trans. Energy Web}, volume = {10}, year = {2023}, url = {https://doi.org/10.4108/ew.4331}, doi = {10.4108/EW.4331}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ew/GoudKKKBCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijait/BeniwalSK23, author = {Mohit Beniwal and Archana Singh and Nand Kumar}, title = {Alternative to Buy-and-Hold: Predicting Indices Direction and Improving Returns Using a Novel Hybrid {LSTM} Model}, journal = {Int. J. Artif. Intell. Tools}, volume = {32}, number = {7}, pages = {2350028:1--2350028:25}, year = {2023}, url = {https://doi.org/10.1142/S0218213023500288}, doi = {10.1142/S0218213023500288}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijait/BeniwalSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/ParimalasundarSKJSBB23, author = {E. Parimalasundar and R. Senthilkumar and B. Hemanth Kumar and K. Janardhan and Arvind R. Singh and Mohit Bajaj and Victoriia Bereznychenko}, title = {Artificial Neural Network-Based Experimental Investigations for Sliding Mode Control of an Induction Motor in Power Steering Applications}, journal = {Int. J. Intell. Syst.}, volume = {2023}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1155/2023/9381915}, doi = {10.1155/2023/9381915}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/ParimalasundarSKJSBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnm/SamriyaKG23, author = {Jitendra Kumar Samriya and Mohit Kumar and Sukhpal Singh Gill}, title = {Secured data offloading using reinforcement learning and Markov decision process in mobile edge computing}, journal = {Int. J. Netw. Manag.}, volume = {33}, number = {5}, year = {2023}, url = {https://doi.org/10.1002/nem.2243}, doi = {10.1002/NEM.2243}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnm/SamriyaKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/GuptaKYY23, author = {Chinmay Gupta and Mohit Kumar and Arun Kumar Yadav and Divakar Yadav}, title = {{FERNET:} An Integrated Hybrid {DCNN} Model for Driver Stress Monitoring via Facial Expressions}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {37}, number = {3}, pages = {2357002:1--2357002:25}, year = {2023}, url = {https://doi.org/10.1142/S0218001423570021}, doi = {10.1142/S0218001423570021}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/GuptaKYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/IftikharGSXATDWGCGKACVRDU23, author = {Sundas Iftikhar and Sukhpal Singh Gill and Chenghao Song and Minxian Xu and Mohammad Sadegh Aslanpour and Adel Nadjaran Toosi and Junhui Du and Huaming Wu and Shreya Ghosh and Deepraj Chowdhury and Muhammed Golec and Mohit Kumar and Ahmed M. Abdelmoniem and F{\'{e}}lix Cuadrado and Blesson Varghese and Omer F. Rana and Schahram Dustdar and Steve Uhlig}, title = {AI-based fog and edge computing: {A} systematic review, taxonomy and future directions}, journal = {Internet Things}, volume = {21}, pages = {100674}, year = {2023}, url = {https://doi.org/10.1016/j.iot.2022.100674}, doi = {10.1016/J.IOT.2022.100674}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/IftikharGSXATDWGCGKACVRDU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/VermaGKG23, author = {Prabal Verma and Aditya Gupta and Mohit Kumar and Sukhpal Singh Gill}, title = {{FCMCPS-COVID:} {AI} propelled fog-cloud inspired scalable medical cyber-physical system, specific to coronavirus disease}, journal = {Internet Things}, volume = {23}, pages = {100828}, year = {2023}, url = {https://doi.org/10.1016/j.iot.2023.100828}, doi = {10.1016/J.IOT.2023.100828}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/VermaGKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KumarKSZ23, author = {Mohit Kumar and Avadh Kishor and Jitendra Kumar Samariya and Albert Y. Zomaya}, title = {An Autonomic Workload Prediction and Resource Allocation Framework for Fog-Enabled Industrial IoT}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {11}, pages = {9513--9522}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3235107}, doi = {10.1109/JIOT.2023.3235107}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/KumarKSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/RathoreSSS23, author = {Hemant Rathore and Adithya Samavedhi and Sanjay K. Sahay and Mohit Sewak}, title = {Towards Adversarially Superior Malware Detection Models: An Adversary Aware Proactive Approach using Adversarial Attacks and Defenses}, journal = {Inf. Syst. Frontiers}, volume = {25}, number = {2}, pages = {567--587}, year = {2023}, url = {https://doi.org/10.1007/s10796-022-10331-z}, doi = {10.1007/S10796-022-10331-Z}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/RathoreSSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/SewakSR23, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Deep Reinforcement Learning in the Advanced Cybersecurity Threat Detection and Protection}, journal = {Inf. Syst. Frontiers}, volume = {25}, number = {2}, pages = {589--611}, year = {2023}, url = {https://doi.org/10.1007/s10796-022-10333-x}, doi = {10.1007/S10796-022-10333-X}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/SewakSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/istr/ChakrabortyKC23, author = {Ananya Chakraborty and Mohit Kumar and Nisha Chaurasia}, title = {Secure framework for IoT applications using Deep Learning in fog Computing}, journal = {J. Inf. Secur. Appl.}, volume = {77}, pages = {103569}, year = {2023}, url = {https://doi.org/10.1016/j.jisa.2023.103569}, doi = {10.1016/J.JISA.2023.103569}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/istr/ChakrabortyKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/AgarwalGBG23, author = {Mohit Agarwal and Suneet K. Gupta and Mainak Biswas and Deepak Garg}, title = {Compression and acceleration of convolution neural network: a Genetic Algorithm based approach}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {10}, pages = {13387--13397}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-03793-1}, doi = {10.1007/S12652-022-03793-1}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/AgarwalGBG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/Dubey00KN23, author = {Kalka Dubey and S. C. Sharma and Mohit Kumar and Prashant Kumar and Aida A. Nasr}, title = {A secured {GA-WPC} framework for scheduling the independent tasks in cloud environment}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {9}, pages = {13003--13015}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-04207-y}, doi = {10.1007/S12652-022-04207-Y}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/Dubey00KN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/Samriya0T23, author = {Jitendra Kumar Samriya and Mohit Kumar and Rajeev Tiwari}, title = {Energy-aware {ACO-DNN} optimization model for intrusion detection of unmanned aerial vehicle (UAVs)}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {8}, pages = {10947--10962}, year = {2023}, url = {https://doi.org/10.1007/s12652-022-04362-2}, doi = {10.1007/S12652-022-04362-2}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/Samriya0T23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdiq/MatroukSKBSS23, author = {Khaled Matrouk and V. Srikanth and Sumit Kumar and Mohit Kumar Bhadla and Mirza Sabirov and Mohamed J. Saadh}, title = {Deep Learning-based Dynamic User Alignment in Social Networks}, journal = {{ACM} J. Data Inf. Qual.}, volume = {15}, number = {3}, pages = {33:1--33:26}, year = {2023}, url = {https://doi.org/10.1145/3603711}, doi = {10.1145/3603711}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdiq/MatroukSKBSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/PradhanSKMMKNV23, author = {Nrusingh Charan Pradhan and Pramod Kumar Sahoo and Dilip Kumar Kushwaha and Yash Makwana and Indra Mani and Mohit Kumar and Aruna T. N. and Soumya Krishnan V.}, title = {A finite element modeling-based approach to predict vibrations transmitted through different body segments of the operator within the workspace of a small tractor}, journal = {J. Field Robotics}, volume = {40}, number = {6}, pages = {1543--1561}, year = {2023}, url = {https://doi.org/10.1002/rob.22191}, doi = {10.1002/ROB.22191}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfr/PradhanSKMMKNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm/BhatnagarDSUUK23, author = {Sonika Bhatnagar and Mohit Dayal and Deepti Singh and Shitiz Upreti and Kamal Upreti and Jitender Kumar}, title = {Block-Hash Signature {(BHS)} for Transaction Validation in Smart Contracts for Security and Privacy using Blockchain}, journal = {J. Mobile Multimedia}, volume = {19}, number = {4}, pages = {935--962}, year = {2023}, url = {https://doi.org/10.13052/jmm1550-4646.1941}, doi = {10.13052/JMM1550-4646.1941}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm/BhatnagarDSUUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/AgarwalGB23, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, title = {Genetic algorithm based approach to compress and accelerate the trained Convolution Neural Network model}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {14}, number = {7}, pages = {2367--2383}, year = {2023}, url = {https://doi.org/10.1007/s13042-022-01768-4}, doi = {10.1007/S13042-022-01768-4}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/AgarwalGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarD23, author = {Atul Kumar and Mohit Dua}, title = {A {GRU} and chaos-based novel image encryption approach for transport images}, journal = {Multim. Tools Appl.}, volume = {82}, number = {12}, pages = {18381--18408}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13902-z}, doi = {10.1007/S11042-022-13902-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarYGKV23, author = {Saurav Kumar and Drishti Yadav and Himanshu Gupta and Mohit Kumar and Om Prakash Verma}, title = {Towards smart surveillance as an aftereffect of {COVID-19} outbreak for recognition of face masked individuals using YOLOv3 algorithm}, journal = {Multim. Tools Appl.}, volume = {82}, number = {6}, pages = {8381--8403}, year = {2023}, url = {https://doi.org/10.1007/s11042-021-11560-1}, doi = {10.1007/S11042-021-11560-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarYGKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/PandaJPP23, author = {Sandeep Kumar Panda and Ajay Kumar Jena and Mohit Ranjan Panda and Susmita Panda}, title = {Speech emotion recognition using multimodal feature fusion with machine learning approach}, journal = {Multim. Tools Appl.}, volume = {82}, number = {27}, pages = {42763--42781}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-15275-3}, doi = {10.1007/S11042-023-15275-3}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/PandaJPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/AgarwalGB23, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, title = {Development of a compressed {FCN} architecture for semantic segmentation using Particle Swarm Optimization}, journal = {Neural Comput. Appl.}, volume = {35}, number = {16}, pages = {11833--11846}, year = {2023}, url = {https://doi.org/10.1007/s00521-023-08324-3}, doi = {10.1007/S00521-023-08324-3}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/AgarwalGB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncs/PillaiHJG23, author = {Maalavika Pillai and Emilia Hojel and Mohit Kumar Jolly and Yogesh Goyal}, title = {Unraveling non-genetic heterogeneity in cancer with dynamical models and computational tools}, journal = {Nat. Comput. Sci.}, volume = {3}, number = {4}, pages = {301--313}, year = {2023}, url = {https://doi.org/10.1038/s43588-023-00427-0}, doi = {10.1038/S43588-023-00427-0}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncs/PillaiHJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/SinghSSDM23, author = {Simranjit Singh and Mohit Sajwan and Gurbhej Singh and Anil Kumar Dixit and Amrinder Mehta}, title = {Efficient surface detection for assisting Collaborative Robots}, journal = {Robotics Auton. Syst.}, volume = {161}, pages = {104339}, year = {2023}, url = {https://doi.org/10.1016/j.robot.2022.104339}, doi = {10.1016/J.ROBOT.2022.104339}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ras/SinghSSDM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarKMBLVKM23, author = {Ashwani Kumar and Mohit Kumar and Rajendra Prasad Mahapatra and Pronaya Bhattacharya and Thi{-}Thu{-}Huong Le and Sahil Verma and Kavita and Khalid Mohiuddin}, title = {Flamingo-Optimization-Based Deep Convolutional Neural Network for IoT-Based Arrhythmia Classification}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4353}, year = {2023}, url = {https://doi.org/10.3390/s23094353}, doi = {10.3390/S23094353}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarKMBLVKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SahooCRB23, author = {Gagan Kumar Sahoo and Subhashree Choudhury and Rajkumar Singh Rathore and Mohit Bajaj}, title = {A Novel Prairie Dog-Based Meta-Heuristic Optimization Algorithm for Improved Control, Better Transient Response, and Power Quality Enhancement of Hybrid Microgrids}, journal = {Sensors}, volume = {23}, number = {13}, pages = {5973}, year = {2023}, url = {https://doi.org/10.3390/s23135973}, doi = {10.3390/S23135973}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SahooCRB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/UpadhyayKUVKKKC23, author = {Shrikant Upadhyay and Mohit Kumar and Aditi Upadhyay and Sahil Verma and Kavita and Maninder Kaur and Ruba Abu Khurma and Pedro A. Castillo}, title = {Challenges and Limitation Analysis of an IoT-Dependent System for Deployment in Smart Healthcare Using Communication Standards Features}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5155}, year = {2023}, url = {https://doi.org/10.3390/s23115155}, doi = {10.3390/S23115155}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/UpadhyayKUVKKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/YadavKKKKMKY23, author = {Arun Kumar Yadav and Suraj Kumar and Dipesh Kumar and Lalit Kumar and Kapil Kumar and Sandeep Kumar Maurya and Mohit Kumar and Divakar Yadav}, title = {Fake News Detection Using Hybrid Deep Learning Method}, journal = {{SN} Comput. Sci.}, volume = {4}, number = {6}, pages = {845}, year = {2023}, url = {https://doi.org/10.1007/s42979-023-02296-w}, doi = {10.1007/S42979-023-02296-W}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sncs/YadavKKKKMKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/ChakrabortyKCG23, author = {Ananya Chakraborty and Mohit Kumar and Nisha Chaurasia and Sukhpal Singh Gill}, title = {Journey from cloud of things to fog of things: Survey, new trends, and research directions}, journal = {Softw. Pract. Exp.}, volume = {53}, number = {2}, pages = {496--551}, year = {2023}, url = {https://doi.org/10.1002/spe.3157}, doi = {10.1002/SPE.3157}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/ChakrabortyKCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tai/KumarB23, author = {Mohit Kumar and Ashish Kumar Bhandari}, title = {Novel Unsupervised Learning Architecture for Exposure-Based Classification and Enhancement}, journal = {{IEEE} Trans. Artif. Intell.}, volume = {4}, number = {5}, pages = {1064--1075}, year = {2023}, url = {https://doi.org/10.1109/TAI.2022.3190240}, doi = {10.1109/TAI.2022.3190240}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tai/KumarB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChamazcotiGOECKKF23, author = {Saeideh Alinezhad Chamazcoti and Mohit Gupta and Hyungrock Oh and Timon Evenblij and Francky Catthoor and Manu Perumkunnil Komalan and Gouri Sankar Kar and Arnaud Furn{\'{e}}mont}, title = {Exploring Pareto-Optimal Hybrid Main Memory Configurations Using Different Emerging Memories}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {2}, pages = {733--746}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2022.3222573}, doi = {10.1109/TCSI.2022.3222573}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/ChamazcotiGOECKKF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/000100F23, author = {Mohit Kumar and Weiping Zhang and Lukas Fischer and Bernhard Freudenthaler}, title = {Membership Mappings for Practical Secure Distributed Deep Learning}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {31}, number = {8}, pages = {2617--2631}, year = {2023}, url = {https://doi.org/10.1109/TFUZZ.2023.3235440}, doi = {10.1109/TFUZZ.2023.3235440}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/000100F23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/KumarGGA23, author = {Sanjeev Kumar and Suneet Kumar Gupta and Umesh Gupta and Mohit Agarwal}, title = {Non-overlapping block-level difference-based image forgery detection and localization (NB-localization)}, journal = {Vis. Comput.}, volume = {39}, number = {12}, pages = {6029--6040}, year = {2023}, url = {https://doi.org/10.1007/s00371-022-02710-z}, doi = {10.1007/S00371-022-02710-Z}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vc/KumarGGA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/SrivastavaSS23, author = {Mohit Kumar Srivastava and Manoj Kumar Shukla and Arun Kumar Singh}, title = {Effect of block size on {BER} performance of inverse filtering based {MIMO} {FBMC}}, journal = {Wirel. Networks}, volume = {29}, number = {7}, pages = {3295--3309}, year = {2023}, url = {https://doi.org/10.1007/s11276-023-03388-4}, doi = {10.1007/S11276-023-03388-4}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/SrivastavaSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ShahSBKABP23, author = {Neil Kumar Shah and Vivek Srivastava and Mohit Bhardwaj and Satej Kadlay and Dharmeshkumar Agrawal and Savita Bhat and Niranjan Pedanekar}, title = {It's What You Say and How You Say It: Exploring Audio and Textual Features for Podcast Data}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {1972--1977}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317306}, doi = {10.1109/APSIPAASC58517.2023.10317306}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ShahSBKABP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/MittalGPNKFKJ23, author = {Anant Mittal and Meghna Gupta and Roshni Poddar and Tarini Naik and Seethalakshmi Kuppuraj and James Fogarty and Pratyush Kumar and Mohit Jain}, title = {Jod: Examining Design and Implementation of a Videoconferencing Platform for Mixed Hearing Groups}, booktitle = {Proceedings of the 25th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} 2023, New York, NY, USA, October 22-25, 2023}, pages = {43:1--43:18}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3597638.3608382}, doi = {10.1145/3597638.3608382}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/assets/MittalGPNKFKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/PoddarYJNTTYKJ023, author = {Roshni Poddar and Pradyumna YM and Divya Prabha Jayakumar and Tarini Naik and Punyat Tripathi and Nabeel TP and Hemanth Reddy Yeddula and Pratyush Kumar and Mohit Jain and Manohar Swaminathan}, title = {SignIt! An Android Game for Sign Bilingual Play}, booktitle = {Proceedings of the 25th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} 2023, New York, NY, USA, October 22-25, 2023}, pages = {56:1--56:4}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3597638.3614484}, doi = {10.1145/3597638.3614484}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/assets/PoddarYJNTTYKJ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/BhatKSKJ23, author = {Karthik S. Bhat and Neha Kumar and Karthik Shamanna and Nipun Kwatra and Mohit Jain}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Towards Intermediated Workflows for Hybrid Telemedicine}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {347:1--347:17}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580653}, doi = {10.1145/3544548.3580653}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/BhatKSKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/SharmaRLMK23, author = {Kartik Sharma and Mohit Raghavendra and Yeon{-}Chang Lee and Anand Kumar M and Srijan Kumar}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Representation Learning in Continuous-Time Dynamic Signed Networks}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {2229--2238}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615032}, doi = {10.1145/3583780.3615032}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/SharmaRLMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/NeverlienLKM23, author = {Embla C. S. Neverlien and Rose Lu and Mohit Kumar and Marta Molinas}, title = {Decoding Emotions From {EEG} Responses Elicited by Videos Using Machine Learning Techniques on Two Datasets}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341106}, doi = {10.1109/EMBC40787.2023.10341106}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/NeverlienLKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeePSWJ23, author = {Dong{-}Ho Lee and Jay Pujara and Mohit Sewak and Ryen White and Sujay Kumar Jauhar}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Making Large Language Models Better Data Creators}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {15349--15360}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.948}, doi = {10.18653/V1/2023.EMNLP-MAIN.948}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeePSWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/SinghGGSGMMKGS23, author = {Harman Singh and Poorva Garg and Mohit Gupta and Kevin Shah and Ashish Goswami and Satyam Modi and Arnab Kumar Mondal and Dinesh Khandelwal and Dinesh Garg and Parag Singla}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Image Manipulation via Multi-Hop Instructions - {A} New Dataset and Weakly-Supervised Neuro-Symbolic Approach}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {2975--3007}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.181}, doi = {10.18653/V1/2023.EMNLP-MAIN.181}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/SinghGGSGMMKGS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esann/00010023, author = {Mohit Kumar and Bernhard Moser and Lukas Fischer}, title = {Secure Federated Learning with Kernel Affine Hull Machines}, booktitle = {31st European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, {ESANN} 2023, Bruges, Belgium, October 4-6, 2023}, year = {2023}, url = {https://doi.org/10.14428/esann/2023.ES2023-56}, doi = {10.14428/ESANN/2023.ES2023-56}, timestamp = {Mon, 10 Jun 2024 14:23:57 +0200}, biburl = {https://dblp.org/rec/conf/esann/00010023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/GuptaCDD23, author = {Mohit Gupta and Stefan Cosemans and Peter Debacker and Wim Dehaene}, title = {A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for {DNN} Inference supporting flexible bit precision and matrix size achieving 612 binary {TOPS/W}}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {417--420}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268763}, doi = {10.1109/ESSCIRC59616.2023.10268763}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/GuptaCDD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosp/SinghalLPTKSN23, author = {Mohit Singhal and Chen Ling and Pujan Paudel and Poojitha Thota and Nihal Kumarswamy and Gianluca Stringhini and Shirin Nilizadeh}, title = {SoK: Content Moderation in Social Media, from Guidelines to Enforcement, and Research to Practice}, booktitle = {8th {IEEE} European Symposium on Security and Privacy, EuroS{\&}P 2023, Delft, Netherlands, July 3-7, 2023}, pages = {868--895}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuroSP57164.2023.00056}, doi = {10.1109/EUROSP57164.2023.00056}, timestamp = {Mon, 07 Aug 2023 15:56:23 +0200}, biburl = {https://dblp.org/rec/conf/eurosp/SinghalLPTKSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3/ChaudharySKPS23, author = {Jaivardhan Singh Chaudhary and Anurag Soni and Mohit Kumar and Chandra Prakash and Narendra Sharma}, title = {A Pilot Study of Migraine Detection Using Computational Techniques}, booktitle = {Proceedings of the 2023 Fifteenth International Conference on Contemporary Computing, IC3-2023, Noida, India, August 3-5, 2023}, pages = {402--411}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3607947.3608039}, doi = {10.1145/3607947.3608039}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3/ChaudharySKPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/ChidipothuKPDTS23, author = {Vamsi Krishna Chidipothu and Lakshman kumar Kanulla and Chaitanya Kiran Pandey and Sandeep Kumar Davuluri and Mohit Tiwari and Devesh Pratap Singh}, title = {Design and Implementation of Block Chain with Cybersecurity Scheme for Fog Based Internet of Things}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {1409--1415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397622}, doi = {10.1109/IC3I59117.2023.10397622}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/ChidipothuKPDTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/KumarD23, author = {Mohit Kumar and Sanjay Kumar Dubey}, title = {Analytical Approach of Machine Learning for Prediction Models}, booktitle = {6th International Conference on Contemporary Computing and Informatics, {IC3I} 2023, Gautam Buddha Nagar, India, September 14-16, 2023}, pages = {628--632}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC3I59117.2023.10397812}, doi = {10.1109/IC3I59117.2023.10397812}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/KumarD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/NandanwarRSS23, author = {Adarsh Nandanwar and Hemant Rathore and Sanjay K. Sahay and Mohit Sewak}, editor = {Jir{\'{\i}} Mikyska and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {{RL-MAGE:} Strengthening Malware Detectors Against Smart Adversaries}, booktitle = {Computational Science - {ICCS} 2023 - 23rd International Conference, Prague, Czech Republic, July 3-5, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14074}, pages = {77--92}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36021-3\_6}, doi = {10.1007/978-3-031-36021-3\_6}, timestamp = {Wed, 05 Jul 2023 16:00:03 +0200}, biburl = {https://dblp.org/rec/conf/iccS/NandanwarRSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/DubeySK23, author = {Kalka Dubey and S. C. Sharma and Mohit Kumar}, title = {Resource Optimization based Virtual Machine Allocation Technique in Cloud Computing Domain}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10307781}, doi = {10.1109/ICCCNT56998.2023.10307781}, timestamp = {Thu, 30 Nov 2023 16:40:53 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/DubeySK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/KaliyarGSKA23, author = {Rohit Kumar Kaliyar and Anurag Goswami and Ujali Sharma and Kanika Kanojia and Mohit Agrawal}, title = {{HSDH:} Detection of Hate Speech on social media with an effective deep neural network for code-mixed Hinglish data}, booktitle = {14th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2023, Delhi, India, July 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCCNT56998.2023.10306709}, doi = {10.1109/ICCCNT56998.2023.10306709}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccnt/KaliyarGSKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/PatelASPKV23, author = {Raju Patel and Manoj Singh Adhikari and Manoj Kumar Shukla and Mohit Payal and Palvai Manoj Kumar and Yogesh Kumar Verma}, title = {Automatic Saline Monitoring System Using IoT}, booktitle = {{IEEE} International Carnahan Conference on Security Technology, {ICCST} 2023, Pune, India, October 11-15, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCST59048.2023.10474246}, doi = {10.1109/ICCST59048.2023.10474246}, timestamp = {Tue, 16 Apr 2024 14:15:02 +0200}, biburl = {https://dblp.org/rec/conf/iccst/PatelASPKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccta2/HazraGG023, author = {Dibyanarayan Hazra and Suneet Kumar Gupta and Umesh Gupta and Mohit Agarwal}, title = {Generalized framework using Federated Learning for tomato disease classification over unbalanced dataset}, booktitle = {Proceedings of the 9th International Conference on Computer Technology Applications, {ICCTA} 2023, Vienna, Austria, May 10-12, 2023}, pages = {67--71}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3605423.3605453}, doi = {10.1145/3605423.3605453}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccta2/HazraGG023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icimmi/SutharSK23, author = {Mohit Suthar and Sunil Sharma and Sarvesh Kumar}, editor = {Dinesh Goyal and Anil Kumar and Dharm Singh and Marcin Paprzycki and Pooja Jain and B. B. Gupta and Uday Pratap Singh}, title = {Current status and future directions for crowd management using machine learning}, booktitle = {Proceedings of the 5th International Conference on Information Management {\&} Machine Intelligence, Jaipur, India, November 23-25, 2023}, pages = {123:1--123:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3647444.3647950}, doi = {10.1145/3647444.3647950}, timestamp = {Fri, 17 May 2024 16:58:38 +0200}, biburl = {https://dblp.org/rec/conf/icimmi/SutharSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/DeshpandeKKPZ23, author = {Mohit Deshpande and Richard Kim and Dhruva Kumar and Jong Jin Park and Jim Zamiska}, title = {Lighthouses and Global Graph Stabilization: Active {SLAM} for Low-compute, Narrow-FoV Robots}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {4070--4076}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160381}, doi = {10.1109/ICRA48891.2023.10160381}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/DeshpandeKKPZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/SinghalKKN23, author = {Mohit Singhal and Nihal Kumarswamy and Shreyasi Kinhekar and Shirin Nilizadeh}, editor = {Yu{-}Ru Lin and Meeyoung Cha and Daniele Quercia}, title = {Cybersecurity Misinformation Detection on Social Media: Case Studies on Phishing Reports and Zoom's Threat}, booktitle = {Proceedings of the Seventeenth International {AAAI} Conference on Web and Social Media, {ICWSM} 2023, Limassol, Cyprus, June 5-8, 2023}, pages = {796--807}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/icwsm.v17i1.22189}, doi = {10.1609/ICWSM.V17I1.22189}, timestamp = {Mon, 03 Jun 2024 16:33:42 +0200}, biburl = {https://dblp.org/rec/conf/icwsm/SinghalKKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interact/SridharPJK23, author = {Advaith Sridhar and Roshni Poddar and Mohit Jain and Pratyush Kumar}, editor = {Jos{\'{e}} L. Abdelnour{-}Nocera and Marta Krist{\'{\i}}n L{\'{a}}rusd{\'{o}}ttir and Helen Petrie and Antonio Piccinno and Marco Winckler}, title = {Challenges Faced by the Employed Indian {DHH} Community}, booktitle = {Human-Computer Interaction - {INTERACT} 2023 - 19th {IFIP} {TC13} International Conference, York, UK, August 28 - September 1, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14142}, pages = {201--223}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-42280-5\_13}, doi = {10.1007/978-3-031-42280-5\_13}, timestamp = {Wed, 30 Aug 2023 14:45:01 +0200}, biburl = {https://dblp.org/rec/conf/interact/SridharPJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/0004PBCKFR23, author = {Mohit Kumar Gupta and Manu Perumkunnil and Dwaipayan Biswas and Saeideh Alinezhad Chamazcoti and Gouri Sankar Kar and Arnaud Furn{\'{e}}mont and Julien Ryckaert}, title = {Design Technology co-optimization of 1D-1VCMA to improve read performance for {SCM} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181335}, doi = {10.1109/ISCAS46773.2023.10181335}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0004PBCKFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/0004WKR23, author = {Mohit Kumar Gupta and Pieter Weckx and Manu Perumkunnil Komalan and Julien Ryckaert}, title = {Impact of interconnects enhancement on {SRAM} design beyond 5nm technology node}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181556}, doi = {10.1109/ISCAS46773.2023.10181556}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0004WKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncc/KumarSDS23, author = {M. Hemanta Kumar and Sanjeev Sharma and Kuntal Deka and Mohit K. Sharma}, title = {RIS-assisted User Pairing {NOMA} System for THz Communications}, booktitle = {28th National Conference on Communications, {NCC} 2023, Guwahati, India, February 23-26, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NCC56989.2023.10068095}, doi = {10.1109/NCC56989.2023.10068095}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ncc/KumarSDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/Jangid0L23, author = {Mohit Kumar Jangid and Yue Zhang and Zhiqiang Lin}, title = {Extrapolating Formal Analysis to Uncover Attacks in Bluetooth Passkey Entry Pairing}, booktitle = {30th Annual Network and Distributed System Security Symposium, {NDSS} 2023, San Diego, California, USA, February 27 - March 3, 2023}, publisher = {The Internet Society}, year = {2023}, url = {https://www.ndss-symposium.org/ndss-paper/extrapolating-formal-analysis-to-uncover-attacks-in-bluetooth-passkey-entry-pairing/}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ndss/Jangid0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scctt/AwotundePABB23, author = {Joseph Bamidele Awotunde and Ranjit Panigrahi and Mohit Agarwal and Biswajit Brahma and Akash Kumar Bhoi}, editor = {Deepak Gupta and Namita Gupta and Yogesh Sharma}, title = {{CNN-KPCA:} {A} hybrid Convolutional Neural Network with Kernel Principal Component Analysis for Intrusion Detection System for the Internet of Things Environments}, booktitle = {Proceedings of the International Symposium on Smart Cities Challenges Technologies and Trends Technologies, {SCCTT} 2023, New Delhi, India, December 7, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3584}, pages = {74--83}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3584/Paper-7.pdf}, timestamp = {Tue, 02 Jan 2024 17:44:44 +0100}, biburl = {https://dblp.org/rec/conf/scctt/AwotundePABB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/MohitSGSAK23, author = {Kumar Mohit and Ankit Shukla and Rajeev Gupta and Pramod Kumar Singh and Kushagra Agarwal and Basant Kumar}, title = {Contrastive Learning Embedded Siamese Neural Network for the Assessment of Fatty Liver}, booktitle = {{IEEE} Region 10 Conference, {TENCON} 2023, Chiang Mai, Thailand, October 31 - Nov. 3, 2023}, pages = {1261--1265}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TENCON58879.2023.10322413}, doi = {10.1109/TENCON58879.2023.10322413}, timestamp = {Sat, 02 Dec 2023 14:05:41 +0100}, biburl = {https://dblp.org/rec/conf/tencon/MohitSGSAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LambaKM23, author = {Mohit Lamba and M. V. A. Suhas Kumar and Kaushik Mitra}, title = {Real-Time Restoration of Dark Stereo Images}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {4903--4913}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00489}, doi = {10.1109/WACV56688.2023.00489}, timestamp = {Tue, 14 Feb 2023 22:26:55 +0100}, biburl = {https://dblp.org/rec/conf/wacv/LambaKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/PueyoSKDGJV23, author = {Llu{\'{\i}}s Garcia Pueyo and Vinodh Kumar Sunkara and Prathyusha Senthil Kumar and Mohit Diwan and Qian Ge and Behrang Javaherian and Vasilis Verroios}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Detecting and Limiting Negative User Experiences in Social Media Platforms}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {4086--4094}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583883}, doi = {10.1145/3543507.3583883}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/PueyoSKDGJV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-01300, author = {Mohit Kumar and Bernhard Alois Moser and Lukas Fischer}, title = {Kernel Affine Hull Machines for Differentially Private Learning}, journal = {CoRR}, volume = {abs/2304.01300}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.01300}, doi = {10.48550/ARXIV.2304.01300}, eprinttype = {arXiv}, eprint = {2304.01300}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-01300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14410, author = {Harman Singh and Poorva Garg and Mohit Gupta and Kevin Shah and Arnab Kumar Mondal and Dinesh Khandelwal and Parag Singla and Dinesh Garg}, title = {Image Manipulation via Multi-Hop Instructions - {A} New Dataset and Weakly-Supervised Neuro-Symbolic Approach}, journal = {CoRR}, volume = {abs/2305.14410}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14410}, doi = {10.48550/ARXIV.2305.14410}, eprinttype = {arXiv}, eprint = {2305.14410}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14410.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10463, author = {Mohit Deshpande and Richard Kim and Dhruva Kumar and Jong Jin Park and Jim Zamiska}, title = {Lighthouses and Global Graph Stabilization: Active {SLAM} for Low-compute, Narrow-FoV Robots}, journal = {CoRR}, volume = {abs/2306.10463}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10463}, doi = {10.48550/ARXIV.2306.10463}, eprinttype = {arXiv}, eprint = {2306.10463}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13602, author = {Eric Bragion and Habiba Akter and Mohit Kumar and Minxian Xu and Ahmed M. Abdelmoniem and Sukhpal Singh Gill}, title = {Fortaleza: The emergence of a network hub}, journal = {CoRR}, volume = {abs/2307.13602}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13602}, doi = {10.48550/ARXIV.2307.13602}, eprinttype = {arXiv}, eprint = {2307.13602}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13602.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13603, author = {Mohit Kumar and Hritu Raj and Nisha Chaurasia and Sukhpal Singh Gill}, title = {Blockchain inspired secure and reliable data exchange architecture for cyber-physical healthcare system 4.0}, journal = {CoRR}, volume = {abs/2307.13603}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13603}, doi = {10.48550/ARXIV.2307.13603}, eprinttype = {arXiv}, eprint = {2307.13603}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13603.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01918, author = {Homanga Bharadhwaj and Jay Vakil and Mohit Sharma and Abhinav Gupta and Shubham Tulsiani and Vikash Kumar}, title = {RoboAgent: Generalization and Efficiency in Robot Manipulation via Semantic Augmentations and Action Chunking}, journal = {CoRR}, volume = {abs/2309.01918}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01918}, doi = {10.48550/ARXIV.2309.01918}, eprinttype = {arXiv}, eprint = {2309.01918}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01918.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09495, author = {Pradyumna YM and Vinod Ganesan and Dinesh Kumar Arumugam and Meghna Gupta and Nischith Shadagopan and Tanay Dixit and Sameer Segal and Pratyush Kumar and Mohit Jain and Sriram Rajamani}, title = {PwR: Exploring the Role of Representations in Conversational Programming}, journal = {CoRR}, volume = {abs/2309.09495}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09495}, doi = {10.48550/ARXIV.2309.09495}, eprinttype = {arXiv}, eprint = {2309.09495}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09495.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08437, author = {Muhammed Golec and Guneet Kaur Walia and Mohit Kumar and F{\'{e}}lix Cuadrado and Sukhpal Singh Gill and Steve Uhlig}, title = {Cold Start Latency in Serverless Computing: {A} Systematic Review, Taxonomy, and Future Directions}, journal = {CoRR}, volume = {abs/2310.08437}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08437}, doi = {10.48550/ARXIV.2310.08437}, eprinttype = {arXiv}, eprint = {2310.08437}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08437.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08844, author = {Nihal Kumarswamy and Mohit Singhal and Shirin Nilizadeh}, title = {Impact of Stricter Content Moderation on Parler's Users' Discourse}, journal = {CoRR}, volume = {abs/2310.08844}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08844}, doi = {10.48550/ARXIV.2310.08844}, eprinttype = {arXiv}, eprint = {2310.08844}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-13132, author = {Yiqiao Jin and Mohit Chandra and Gaurav Verma and Yibo Hu and Munmun De Choudhury and Srijan Kumar}, title = {Better to Ask in English: Cross-Lingual Evaluation of Large Language Models for Healthcare Queries}, journal = {CoRR}, volume = {abs/2310.13132}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.13132}, doi = {10.48550/ARXIV.2310.13132}, eprinttype = {arXiv}, eprint = {2310.13132}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-13132.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-20111, author = {Dong{-}Ho Lee and Jay Pujara and Mohit Sewak and Ryen W. White and Sujay Kumar Jauhar}, title = {Making Large Language Models Better Data Creators}, journal = {CoRR}, volume = {abs/2310.20111}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.20111}, doi = {10.48550/ARXIV.2310.20111}, eprinttype = {arXiv}, eprint = {2310.20111}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-20111.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07888, author = {Sudev Kumar Padhi and Mohit Kumar and Debanka Giri and Subidh Ali}, title = {RoboSense At Edge: Detecting Slip, Crumple and Shape of the Object in Robotic Hand for Teleoprations}, journal = {CoRR}, volume = {abs/2311.07888}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07888}, doi = {10.48550/ARXIV.2311.07888}, eprinttype = {arXiv}, eprint = {2311.07888}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-14335, author = {Usneek Singh and Piyush Arora and Shamika Ganesan and Mohit Kumar and Siddhant Kulkarni and Salil Rajeev Joshi}, title = {Comparative Analysis of Transformers for Modeling Tabular Data: {A} Casestudy using Industry Scale Dataset}, journal = {CoRR}, volume = {abs/2311.14335}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.14335}, doi = {10.48550/ARXIV.2311.14335}, eprinttype = {arXiv}, eprint = {2311.14335}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-14335.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/KumarS22, author = {Mohit Kumar and Kulbir Singh}, title = {Fuzzy fault tree analysis of chlorine gas release hazard in Chlor-Alkali industry using {\(\alpha\)}-cut interval-based similarity aggregation method}, journal = {Appl. Soft Comput.}, volume = {125}, pages = {109199}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2022.109199}, doi = {10.1016/J.ASOC.2022.109199}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/KumarS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/JadhavKRLN22, author = {Aditya Jadhav and Tarun Kumar and Mohit Raghavendra and Tamizhini Loganathan and Manikandan Narayanan}, title = {Predicting cross-tissue hormone-gene relations using balanced word embeddings}, journal = {Bioinform.}, volume = {38}, number = {20}, pages = {4771--4781}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac578}, doi = {10.1093/BIOINFORMATICS/BTAC578}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/JadhavKRLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/DubeyKUP22, author = {Rahul Dubey and Mohit Kumar and Abhay Upadhyay and Ram Bilas Pachori}, title = {Automated diagnosis of muscle diseases from {EMG} signals using empirical mode decomposition based method}, journal = {Biomed. Signal Process. Control.}, volume = {71}, number = {Part}, pages = {103098}, year = {2022}, url = {https://doi.org/10.1016/j.bspc.2021.103098}, doi = {10.1016/J.BSPC.2021.103098}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/DubeyKUP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AgarwalASCGCPDM22, author = {Mohit Agarwal and Sushant Agarwal and Luca Saba and Gian Luca Chabert and Suneet K. Gupta and Alessandro Carriero and Alessio Pasche and Pietro Danna and Armin Mehmedovic and Gavino Faa and Saurabh Shrivastava and Kanishka Jain and Harsh Jain and Tanay Jujaray and Inder M. Singh and Monika Turk and Paramjit S. Chadha and Amer M. Johri and Narendra N. Khanna and Sophie Mavrogeni and John R. Laird and David W. Sobel and Martin Miner and Antonella Balestrieri and Petros P. Sfikakis and George Tsoulfas and Durga Prasanna Misra and Vikas Agarwal and George D. Kitas and Jagjit S. Teji and Mustafa Al{-}Maini and Surinder K. Dhanjil and Andrew Nicolaides and Aditya Sharma and Vijay Rathore and Mostafa Fatemi and Azra Alizad and Pudukode R. Krishnan and Rajanikant R. Yadav and Frence Nagy and Zsigmond Tam{\'{a}}s Kincses and Zoltan Ruzsa and Subbaram Naidu and Klaudija Viskovic and Manudeep K. Kalra and Jasjit S. Suri}, title = {Eight pruning deep learning models for low storage and high-speed {COVID-19} computed tomography lung segmentation and heatmap-based lesion localization: {A} multicenter study using {COVLIAS} 2.0}, journal = {Comput. Biol. Medicine}, volume = {146}, pages = {105571}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105571}, doi = {10.1016/J.COMPBIOMED.2022.105571}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AgarwalASCGCPDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AgrawalCSPGG22, author = {Amulya Agrawal and Aniket Chauhan and Manu Kumar Shetty and Girish M. P and Mohit D. Gupta and Anubha Gupta}, title = {ECG-iCOVIDNet: Interpretable {AI} model to identify changes in the {ECG} signals of post-COVID subjects}, journal = {Comput. Biol. Medicine}, volume = {146}, pages = {105540}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105540}, doi = {10.1016/J.COMPBIOMED.2022.105540}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AgrawalCSPGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SinghUPNSBSBKGK22, author = {Prateek Singh and Rajat Ujjainiya and Satyartha Prakash and Salwa Naushin and Viren Sardana and Nitin Bhatheja and Ajay Pratap Singh and Joydeb Barman and Kartik Kumar and Saurabh Gayali and Raju Khan and Birendra Singh Rawat and Karthik Bharadwaj Tallapaka and Mahesh Anumalla and Amit Lahiri and Susanta Kar and Vivek Bhosale and Mrigank Srivastava and Madhav Nilakanth Mugale and C. P. Pandey and Shaziya Khan and Shivani Katiyar and Desh Raj and Sharmeen Ishteyaque and Sonu Khanka and Ankita Rani and Promila and Jyotsna Sharma and Anuradha Seth and Mukul Dutta and Nishant Saurabh and Murugan Veerapandian and Ganesh Venkatachalam and Deepak Bansal and Dinesh Gupta and Prakash M. Halami and Muthukumar Serva Peddha and Ravindra P. Veeranna and Anirban Pal and Ranvijay Kumar Singh and Suresh Kumar Anandasadagopan and Parimala Karuppanan and Syed Nasar Rahman and Gopika Selvakumar and Venkatesan Subramanian and Malay Kumar Karmakar and Harish Kumar Sardana and Anamika Kothari and Devendra Singh Parihar and Anupma Thakur and Anas Saifi and Naman Gupta and Yogita Singh and Ritu Reddu and Rizul Gautam and Anuj Mishra and Avinash Mishra and Iranna Gogeri and Geethavani Rayasam and Yogendra Padwad and Vikram Patial and Vipin Hallan and Damanpreet Singh and Narendra Tirpude and Partha Chakrabarti and Sujay Krishna Maity and Dipyaman Ganguly and Ramakrishna Sistla and Narender Kumar Balthu and Kiran Kumar A and Siva Ranjith and B. Vijay Kumar and Piyush Singh Jamwal and Anshu Wali and Sajad Ahmed and Rekha Chouhan and Sumit G. Gandhi and Nancy Sharma and Garima Rai and Faisal Irshad and Vijay Lakshmi Jamwal and Masroor Ahmad Paddar and Sameer Ullah Khan and Fayaz Malik and Debashish Ghosh and Ghanshyam Thakkar and Saroj Kanta Barik and Prabhanshu Tripathi and Yatendra Kumar Satija and Sneha Mohanty and Md. Tauseef Khan and Umakanta Subudhi and Pradip Sen and Rashmi Kumar and Anshu Bhardwaj and Pawan Gupta and Deepak Sharma and Amit Tuli and Saumya Ray chaudhuri and Srinivasan Krishnamurthi and L. Prakash and Ch V. Rao and B. N. Singh and Arvindkumar Chaurasiya and Meera Chaurasiyar and Mayuri Bhadange and Bhagyashree Likhitkar and Sharada Mohite and Yogita Patil and Mahesh Kulkarni and Rakesh Joshi and Vaibhav Pandya and Sachin Mahajan and Amita Patil and Rachel Samson and Tejas Vare and Mahesh Dharne and Ashok Giri and Shilpa Paranjape and G. Narahari Sastry and Jatin Kalita and Tridip Phukan and Prasenjit Manna and Wahengbam Romi and Pankaj Bharali and Dibyajyoti Ozah and Ravi Kumar Sahu and Prachurjya Dutta and Moirangthem Goutam Singh and Gayatri Gogoi and Yasmin Begam Tapadar and Elapavalooru VSSK. Babu and Rajeev K. Sukumaran and Aishwarya R. Nair and Anoop Puthiyamadam and Prajeesh Kooloth Valappil and Adrash Velayudhan Pillai Prasannakumari and Kalpana Chodankar and Samir Damare and Ved Varun Agrawal and Kumardeep Chaudhary and Anurag Agrawal and Shantanu Sengupta and Debasis Dash}, title = {A machine learning-based approach to determine infection status in recipients of {BBV152} (Covaxin) whole-virion inactivated SARS-CoV-2 vaccine for serological surveys}, journal = {Comput. Biol. Medicine}, volume = {146}, pages = {105419}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105419}, doi = {10.1016/J.COMPBIOMED.2022.105419}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SinghUPNSBSBKGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/BajajS22, author = {Mohit Bajaj and Amit Kumar Singh}, title = {Optimal design of passive power filter for enhancing the harmonic-constrained hosting capacity of renewable {DG} systems}, journal = {Comput. Electr. Eng.}, volume = {97}, pages = {107646}, year = {2022}, url = {https://doi.org/10.1016/j.compeleceng.2021.107646}, doi = {10.1016/J.COMPELECENG.2021.107646}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/BajajS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/SewakSR22, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Neural AutoForensics: Comparing Neural Sample Search and Neural Architecture Search for malware detection and forensics}, journal = {Digit. Investig.}, volume = {43}, number = {Supplement}, pages = {301444}, year = {2022}, url = {https://doi.org/10.1016/j.fsidi.2022.301444}, doi = {10.1016/J.FSIDI.2022.301444}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/SewakSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/SewakSR22a, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {GreenForensics: Deep hybrid edge-cloud detection and forensics system for battery-performance-balance conscious devices}, journal = {Digit. Investig.}, volume = {43}, number = {Supplement}, pages = {301445}, year = {2022}, url = {https://doi.org/10.1016/j.fsidi.2022.301445}, doi = {10.1016/J.FSIDI.2022.301445}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/SewakSR22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/DubeySK22, author = {Kalka Dubey and S. C. Sharma and Mohit Kumar}, title = {A Secure IoT Applications Allocation Framework for Integrated Fog-Cloud Environment}, journal = {J. Grid Comput.}, volume = {20}, number = {1}, pages = {5}, year = {2022}, url = {https://doi.org/10.1007/s10723-021-09591-x}, doi = {10.1007/S10723-021-09591-X}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/grid/DubeySK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhangKDLY22, author = {Weiping Zhang and Mohit Kumar and Weiping Ding and Xiujuan Li and Junfeng Yu}, title = {Variational learning of deep fuzzy theoretic nonparametric model}, journal = {Neurocomputing}, volume = {506}, pages = {128--145}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.07.029}, doi = {10.1016/J.NEUCOM.2022.07.029}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhangKDLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/irob/AgarwalTG22, author = {Somesh Agarwal and Mohit Tyagi and Rajiv Kumar Garg}, title = {Framework development and evaluation of Industry 4.0 technological aspects towards improving the circular economy-based supply chain}, journal = {Ind. Robot}, volume = {49}, number = {3}, pages = {555--581}, year = {2022}, url = {https://doi.org/10.1108/IR-10-2021-0246}, doi = {10.1108/IR-10-2021-0246}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/irob/AgarwalTG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/DuaJK22, author = {Mohit Dua and Chhavi Jain and Sushil Kumar}, title = {{LSTM} and {CNN} based ensemble approach for spoof detection task in automatic speaker verification systems}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {13}, number = {4}, pages = {1985--2000}, year = {2022}, url = {https://doi.org/10.1007/s12652-021-02960-0}, doi = {10.1007/S12652-021-02960-0}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/DuaJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/BansalBKYKR22, author = {Urvashi Bansal and Abhilasha Bakre and Prem Kumar and Devansh Yadav and Mohit Kumar and Niranjan Raj}, title = {A QFGMOS-Based g\({}_{\mbox{m}}\)-Boosted and Adaptively Biased Two-Stage Amplifier Offering Very High Gain and High Bandwidth}, journal = {J. Circuits Syst. Comput.}, volume = {31}, number = {3}, pages = {2250056:1--2250056:33}, year = {2022}, url = {https://doi.org/10.1142/S0218126622500566}, doi = {10.1142/S0218126622500566}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/BansalBKYKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/BhartiYKY22, author = {Shubham Bharti and Arun Kumar Yadav and Mohit Kumar and Divakar Yadav}, title = {Cyberbullying detection from tweets using deep learning}, journal = {Kybernetes}, volume = {51}, number = {9}, pages = {2695--2711}, year = {2022}, url = {https://doi.org/10.1108/K-01-2021-0061}, doi = {10.1108/K-01-2021-0061}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kybernetes/BhartiYKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ShuklaSSKKKP22, author = {Anurag Shukla and Deepak Singh and Mohit Sajwan and Malay Kumar and Divya Kumari and Ajit Kumar and Manikant Panthi}, title = {{SLP-RRFPR:} a source location privacy protection scheme based on random ring and limited hop fake packet routing for wireless sensor networks}, journal = {Multim. Tools Appl.}, volume = {81}, number = {8}, pages = {11145--11185}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12157-y}, doi = {10.1007/S11042-022-12157-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ShuklaSSKKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SanagalaAUGKS22, author = {Siva Skandha Sanagala and Mohit Agarwal and Kumar Utkarsh and Suneet K. Gupta and Vijaya Kumar Koppula and Jasjit S. Suri}, title = {A novel genetic algorithm-based approach for compression and acceleration of deep learning convolution neural network: an application in computer tomography lung cancer data}, journal = {Neural Comput. Appl.}, volume = {34}, number = {23}, pages = {20915--20937}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07567-w}, doi = {10.1007/S00521-022-07567-W}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/SanagalaAUGKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/YadavSGRTPM22, author = {Santosh Kumar Yadav and Siva Sai and Akshay Gundewar and Heena Rathore and Kamlesh Tiwari and Hari Mohan Pandey and Mohit Mathur}, title = {CSITime: Privacy-preserving human activity recognition using WiFi channel state information}, journal = {Neural Networks}, volume = {146}, pages = {11--21}, year = {2022}, url = {https://doi.org/10.1016/j.neunet.2021.11.011}, doi = {10.1016/J.NEUNET.2021.11.011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/YadavSGRTPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/RashidHTSJ22, author = {Mubasher Rashid and Kishore Hari and John Thampi and Nived Krishnan Santhosh and Mohit Kumar Jolly}, title = {Network topology metrics explaining enrichment of hybrid epithelial/mesenchymal phenotypes in metastasis}, journal = {PLoS Comput. Biol.}, volume = {18}, number = {11}, pages = {1010687}, year = {2022}, url = {https://doi.org/10.1371/journal.pcbi.1010687}, doi = {10.1371/JOURNAL.PCBI.1010687}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/RashidHTSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/RathoreSSS22, author = {Hemant Rathore and Animesh Sasan and Sanjay K. Sahay and Mohit Sewak}, title = {Defending malware detection models against evasion based adversarial attacks}, journal = {Pattern Recognit. Lett.}, volume = {164}, pages = {119--125}, year = {2022}, url = {https://doi.org/10.1016/j.patrec.2022.10.010}, doi = {10.1016/J.PATREC.2022.10.010}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/RathoreSSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KaushikK22, author = {Manvi Kaushik and Mohit Kumar}, title = {An application of fault tree analysis for computing the bounds on system failure probability through qualitative data in intuitionistic fuzzy environment}, journal = {Qual. Reliab. Eng. Int.}, volume = {38}, number = {5}, pages = {2420--2444}, year = {2022}, url = {https://doi.org/10.1002/qre.3084}, doi = {10.1002/QRE.3084}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/KaushikK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarMVKKSKWSI22, author = {Mohit Kumar and Priya Mukherjee and Sahil Verma and Kavita and Maninder Kaur and Saurabh Singh and Martyna Kobielnik and Marcin Wozniak and Jana Shafi and Muhammad Fazal Ijaz}, title = {{BBNSF:} Blockchain-Based Novel Secure Framework Using {RP2-RSA} and {ASR-ANN} Technique for IoT Enabled Healthcare Systems}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9448}, year = {2022}, url = {https://doi.org/10.3390/s22239448}, doi = {10.3390/S22239448}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarMVKKSKWSI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarRJSBS22, author = {Ponnai Manogaran Ashok Kumar and Lakshmi Narayanan Arun Raj and B. Jyothi and Naglaa F. Soliman and Mohit Bajaj and Walid El Shafai}, title = {A Novel Dynamic Bit Rate Analysis Technique for Adaptive Video Streaming over {HTTP} Support}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9307}, year = {2022}, url = {https://doi.org/10.3390/s22239307}, doi = {10.3390/S22239307}, timestamp = {Fri, 30 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarRJSBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarSASAVABRT22, author = {Ajitesh Kumar and Akhilesh Kumar Singh and Ijaz Ahmad and Pradeep Kumar Singh and Anushree and Pawan Kumar Verma and Khalid A. Alissa and Mohit Bajaj and Ateeq Ur Rehman and Elsayed Tag{-}Eldin}, title = {A Novel Decentralized Blockchain Architecture for the Preservation of Privacy and Data Security against Cyberattacks in Healthcare}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5921}, year = {2022}, url = {https://doi.org/10.3390/s22155921}, doi = {10.3390/S22155921}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarSASAVABRT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/DruckerKSS22, author = {Andy Drucker and Ravi Kumar and Amit Sahai and Mohit Singh}, title = {Special Section on the Forty-Ninth Annual {ACM} Symposium on the Theory of Computing {(STOC} 2017)}, journal = {{SIAM} J. Comput.}, volume = {51}, number = {2}, pages = {17}, year = {2022}, url = {https://doi.org/10.1137/22n975482}, doi = {10.1137/22N975482}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/DruckerKSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/SinghR22, author = {Mohit Kumar Singh and K. Ramachandra Rao}, title = {Selection of open or closed boundaries in a cellular automata model for heterogeneous non-lane-based traffic}, journal = {Simul.}, volume = {98}, number = {9}, pages = {773--788}, year = {2022}, url = {https://doi.org/10.1177/00375497221078936}, doi = {10.1177/00375497221078936}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/SinghR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sis/RathoreSSS22, author = {Hemant Rathore and Sujay C. Sharma and Sanjay K. Sahay and Mohit Sewak}, title = {Are Malware Detection Classifiers Adversarially Vulnerable to Actor-Critic based Evasion Attacks?}, journal = {{EAI} Endorsed Trans. Scalable Inf. Syst.}, volume = {10}, number = {1}, pages = {e6}, year = {2022}, url = {https://doi.org/10.4108/eai.31-5-2022.174087}, doi = {10.4108/EAI.31-5-2022.174087}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sis/RathoreSSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KumarKVKJG22, author = {Ashwani Kumar and Mohit Kumar and Sahil Verma and Kavita and N. Z. Jhanjhi and Rania M. Ghoniem}, title = {Vbswp-CeaH: Vigorous Buyer-Seller Watermarking Protocol without Trusted Certificate Authority for Copyright Protection in Cloud Environment through Additive Homomorphism}, journal = {Symmetry}, volume = {14}, number = {11}, pages = {2441}, year = {2022}, url = {https://doi.org/10.3390/sym14112441}, doi = {10.3390/SYM14112441}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KumarKVKJG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbbis/AgrawalMKS22, author = {Mohit Agrawal and Pragyan Mehrotra and Rajesh Kumar and Rajiv Ratn Shah}, title = {GANTouch: An Attack-Resilient Framework for Touch-Based Continuous Authentication System}, journal = {{IEEE} Trans. Biom. Behav. Identity Sci.}, volume = {4}, number = {4}, pages = {533--543}, year = {2022}, url = {https://doi.org/10.1109/TBIOM.2022.3206321}, doi = {10.1109/TBIOM.2022.3206321}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbbis/AgrawalMKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KumarB22, author = {Mohit Kumar and Ashish Kumar Bhandari}, title = {Unsupervised Enhancement and Web Tool for Perceptually Invisible Type Degraded Image}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {68}, number = {4}, pages = {401--410}, year = {2022}, url = {https://doi.org/10.1109/TCE.2022.3209791}, doi = {10.1109/TCE.2022.3209791}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/KumarB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MarinelliPTKGC22, author = {Tommaso Marinelli and Jos{\'{e}} Ignacio G{\'{o}}mez P{\'{e}}rez and Christian Tenllado and Manu Komalan and Mohit Gupta and Francky Catthoor}, title = {Microarchitectural Exploration of {STT-MRAM} Last-level Cache Parameters for Energy-efficient Devices}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {1}, pages = {3:1--3:20}, year = {2022}, url = {https://doi.org/10.1145/3490391}, doi = {10.1145/3490391}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/MarinelliPTKGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KumarKVKIR22, author = {Mohit Kumar and Kavita and Sahil Verma and Ashwani Kumar and Muhammad Fazal Ijaz and Danda B. Rawat}, title = {ANAF-IoMT: {A} Novel Architectural Framework for IoMT-Enabled Smart Healthcare System by Enhancing Security Based on {RECC-VC}}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {18}, number = {12}, pages = {8936--8943}, year = {2022}, url = {https://doi.org/10.1109/TII.2022.3181614}, doi = {10.1109/TII.2022.3181614}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/KumarKVKIR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/0006B22, author = {Mohit Kumar and Ashish Kumar Bhandari}, title = {No-Reference Metric Optimization-Based Perceptually Invisible Image Enhancement}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.1109/TIM.2021.3132086}, doi = {10.1109/TIM.2021.3132086}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/0006B22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YarHAKGB22, author = {Hikmat Yar and Tanveer Hussain and Mohit Agarwal and Zulfiqar Ahmad Khan and Suneet K. Gupta and Sung Wook Baik}, title = {Optimized Dual Fire Attention Network and Medium-Scale Fire Classification Benchmark}, journal = {{IEEE} Trans. Image Process.}, volume = {31}, pages = {6331--6343}, year = {2022}, url = {https://doi.org/10.1109/TIP.2022.3207006}, doi = {10.1109/TIP.2022.3207006}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/YarHAKGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/KumarMVVR22, author = {Mohit Kumar and Priya Mukherjee and Kavita and Sahil Verma and Danda B. Rawat}, title = {Improved Deep Convolutional Neural Network Based Malicious Node Detection and Energy-Efficient Data Transmission in Wireless Sensor Networks}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {5}, pages = {3272--3281}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2021.3098011}, doi = {10.1109/TNSE.2021.3098011}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/KumarMVVR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/KumarKAASZ22, author = {Mohit Kumar and Avadh Kishor and Jemal H. Abawajy and Prabal Agarwal and Amritpal Singh and Albert Y. Zomaya}, title = {{ARPS:} An Autonomic Resource Provisioning and Scheduling Framework for Cloud Platforms}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {7}, number = {2}, pages = {386--399}, year = {2022}, url = {https://doi.org/10.1109/TSUSC.2021.3110245}, doi = {10.1109/TSUSC.2021.3110245}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/KumarKAASZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/PandaKNPPB22, author = {Mohit Ranjan Panda and Sarthak Saurav Kar and Aakash Kumar Nanda and Rojalina Priyadarshini and Susmita Panda and Sukant Kishoro Bisoy}, title = {Feedback through emotion extraction using logistic regression and {CNN}}, journal = {Vis. Comput.}, volume = {38}, number = {6}, pages = {1975--1987}, year = {2022}, url = {https://doi.org/10.1007/s00371-021-02260-w}, doi = {10.1007/S00371-021-02260-W}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/PandaKNPPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/PandaKNPPB22a, author = {Mohit Ranjan Panda and Sarthak Saurav Kar and Aakash Kumar Nanda and Rojalina Priyadarshini and Susmita Panda and Sukant Kishoro Bisoy}, title = {Correction to: Feedback through emotion extraction using logistic regression and {CNN}}, journal = {Vis. Comput.}, volume = {38}, number = {6}, pages = {1989}, year = {2022}, url = {https://doi.org/10.1007/s00371-021-02332-x}, doi = {10.1007/S00371-021-02332-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/PandaKNPPB22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ShuklaSSVK22, author = {Anurag Shukla and Deepak Singh and Mohit Sajwan and Abhishek Verma and Ajit Kumar}, title = {A source location privacy preservation scheme in WSN-assisted IoT network by randomized ring and confounding transmission}, journal = {Wirel. Networks}, volume = {28}, number = {2}, pages = {827--852}, year = {2022}, url = {https://doi.org/10.1007/s11276-021-02876-9}, doi = {10.1007/S11276-021-02876-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ShuklaSSVK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adc/SharmaKKPA22, author = {Dolly Sharma and Sonia Khetarpaul and S. Mohit Kumar and Ambreesh Parthasarathy and Sparsh Agarwalla}, editor = {Wen Hua and Hua Wang and Lei Li}, title = {Performance Prediction of Songs on Online Music Platforms}, booktitle = {Databases Theory and Applications - 33rd Australasian Database Conference, {ADC} 2022, Sydney, NSW, Australia, September 2-4, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13459}, pages = {209--216}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15512-3\_19}, doi = {10.1007/978-3-031-15512-3\_19}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/adc/SharmaKKPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/SinghZSGGKJCBH22, author = {Abhairaj Singh and Mahdi Zahedi and Taha Shahroodi and Mohit Gupta and Anteneh Gebregiorgis and Manu Komalan and Rajiv V. Joshi and Francky Catthoor and Rajendra Bishnoi and Said Hamdioui}, title = {CIM-based Robust Logic Accelerator using 28 nm {STT-MRAM} Characterization Chip Tape-out}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {451--454}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869993}, doi = {10.1109/AICAS54282.2022.9869993}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/SinghZSGGKJCBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiia/KumarM22, author = {Mohit Kumar and Marta Molinas}, editor = {Aurora Saibene and Silvia Corchs and Jordi Sol{\'{e}}{-}Casals}, title = {Inner speech recognition through electroencephalographic signals}, booktitle = {Proceedings of the 1st Workshop on Artificial Intelligence for Human Machine Interaction 2022 co-located with the 21st International Conference of the Italian Association for Artificial Intelligence (AIxIA 2022), Udine, Italy, December 02, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3368}, pages = {48--61}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3368/paper4.pdf}, timestamp = {Thu, 14 Sep 2023 17:06:49 +0200}, biburl = {https://dblp.org/rec/conf/aiia/KumarM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ParkLOKWN22, author = {Byung H. Park and Sangkeun Lee and {\"{O}}zg{\"{u}}r {\"{O}}zmen and Mohit Kumar and Merry Ward and Jonathan R. Nebeker}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Real-time Multi-granular Analytics Framework for {HIT} Systems}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {3441--3446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020539}, doi = {10.1109/BIGDATA55660.2022.10020539}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ParkLOKWN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/broadnets/RathoreCVSS22, author = {Hemant Rathore and Soham Chari and Nishant Verma and Sanjay K. Sahay and Mohit Sewak}, editor = {Wei Wang and Jun Wu}, title = {Android Malware Detection Based on Static Analysis and Data Mining Techniques: {A} Systematic Literature Review}, booktitle = {Broadband Communications, Networks, and Systems - 13th {EAI} International Conference, {BROADNETS} 2022, Virtual Event, March 12-13, 2023, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {511}, pages = {51--71}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-40467-2\_4}, doi = {10.1007/978-3-031-40467-2\_4}, timestamp = {Wed, 02 Aug 2023 16:08:59 +0200}, biburl = {https://dblp.org/rec/conf/broadnets/RathoreCVSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/broadnets/RathoreKTMSS22, author = {Hemant Rathore and Ajay Kharat and Rashmi T and Adithya Manickavasakam and Sanjay K. Sahay and Mohit Sewak}, editor = {Wei Wang and Jun Wu}, title = {MalEfficient10{\%}: {A} Novel Feature Reduction Approach for Android Malware Detection}, booktitle = {Broadband Communications, Networks, and Systems - 13th {EAI} International Conference, {BROADNETS} 2022, Virtual Event, March 12-13, 2023, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {511}, pages = {72--92}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-40467-2\_5}, doi = {10.1007/978-3-031-40467-2\_5}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/broadnets/RathoreKTMSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/broadnets/SewakSR22, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, editor = {Wei Wang and Jun Wu}, title = {Deep CounterStrike: Counter Adversarial Deep Reinforcement Learning for Defense Against Metamorphic Ransomware Swarm Attack}, booktitle = {Broadband Communications, Networks, and Systems - 13th {EAI} International Conference, {BROADNETS} 2022, Virtual Event, March 12-13, 2023, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {511}, pages = {31--50}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-40467-2\_3}, doi = {10.1007/978-3-031-40467-2\_3}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/broadnets/SewakSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cp/0003KG22, author = {Mohit Kumar and Samuel Kolb and Tias Guns}, editor = {Christine Solnon}, title = {Learning Constraint Programming Models from Data Using Generate-And-Aggregate}, booktitle = {28th International Conference on Principles and Practice of Constraint Programming, {CP} 2022, July 31 to August 8, 2022, Haifa, Israel}, series = {LIPIcs}, volume = {235}, pages = {29:1--29:16}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.CP.2022.29}, doi = {10.4230/LIPICS.CP.2022.29}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/cp/0003KG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cp/Berden0KG22, author = {Senne Berden and Mohit Kumar and Samuel Kolb and Tias Guns}, editor = {Christine Solnon}, title = {Learning {MAX-SAT} Models from Examples Using Genetic Algorithms and Knowledge Compilation}, booktitle = {28th International Conference on Principles and Practice of Constraint Programming, {CP} 2022, July 31 to August 8, 2022, Haifa, Israel}, series = {LIPIcs}, volume = {235}, pages = {8:1--8:17}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.CP.2022.8}, doi = {10.4230/LIPICS.CP.2022.8}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cp/Berden0KG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvip/Kumar0KY22, author = {Manoj Kumar and Arun Kumar Yadav and Mohit Kumar and Divakar Yadav}, editor = {Deep Gupta and Kishor M. Bhurchandi and Subrahmanyam Murala and Balasubramanian Raman and Sanjeev Kumar}, title = {Bird Species Classification from Images Using Deep Learning}, booktitle = {Computer Vision and Image Processing - 7th International Conference, {CVIP} 2022, Nagpur, India, November 4-6, 2022, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1777}, pages = {388--401}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31417-9\_30}, doi = {10.1007/978-3-031-31417-9\_30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvip/Kumar0KY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvmi/Tewari0KY22, author = {Kartikey Tewari and Arun Kumar Yadav and Mohit Kumar and Divakar Yadav}, editor = {Massimo Tistarelli and Shiv Ram Dubey and Satish Kumar Singh and Xiaoyi Jiang}, title = {Extractive Text Summarization Using Statistical Approach}, booktitle = {Computer Vision and Machine Intelligence - Proceedings of {CVMI} 2022, {IIIT} Allahabad, India, August 2022}, series = {Lecture Notes in Networks and Systems}, volume = {586}, pages = {655--667}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-7867-8\_52}, doi = {10.1007/978-981-19-7867-8\_52}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvmi/Tewari0KY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/000100F22, author = {Mohit Kumar and Bernhard Moser and Lukas Fischer and Bernhard Freudenthaler}, editor = {Gabriele Kotsis and A Min Tjoa and Ismail Khalil and Bernhard Moser and Alfred Taudes and Atif Mashkoor and Johannes Sametinger and Jorge Mart{\'{\i}}nez Gil and Florian Sobieczky and Lukas Fischer and Rudolf Ramler and Maqbool Khan and Gerald Czech}, title = {Towards Practical Secure Privacy-Preserving Machine (Deep) Learning with Distributed Data}, booktitle = {Database and Expert Systems Applications - {DEXA} 2022 Workshops - 33rd International Conference, {DEXA} 2022, Vienna, Austria, August 22-24, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1633}, pages = {55--66}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-14343-4\_6}, doi = {10.1007/978-3-031-14343-4\_6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dexaw/000100F22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MallickKCKAS22, author = {Adarsha Narayan Mallick and Mohit Kumar and Amanpreet Chander and Ravinder Kumar and Kamaldeep Arora and Ashish Kumar Sahani}, title = {Automatic Pasteurized Formula Milk Preparation Machine with Automatic Sterilized Containers}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {2663--2667}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871811}, doi = {10.1109/EMBC48229.2022.9871811}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MallickKCKAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/GuptaPFCKBKF22, author = {Mohit Gupta and Manu Perumkunnil and Andrea Fantini and Saeideh Alinezhad Chamazcoti and Woojin Kim and Marie Garcia Bardon and Gouri Sankar Kar and Arnaud Furn{\'{e}}mont}, title = {Design exploration of {IGZO} diode based {VCMA} array design for Storage Class Memory Applications}, booktitle = {52nd {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2022, Milan, Italy, September 19-22, 2022}, pages = {241--244}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSDERC55479.2022.9947187}, doi = {10.1109/ESSDERC55479.2022.9947187}, timestamp = {Mon, 07 Aug 2023 15:56:22 +0200}, biburl = {https://dblp.org/rec/conf/essderc/GuptaPFCKBKF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/LalitNSRR22, author = {Mohit Lalit and Sardar M. N. Islam Naz and Surender Singh and Ajay Rana and Arun Kumar Rana}, title = {IoT: An Objective Analysis of Architecture, Challenges and Performance Comparison of Various Application Layer Protocols}, booktitle = {5th International Conference on Contemporary Computing and Informatics, {IC3I} 2022, Uttar Pradesh, India, December 14-16, 2022}, pages = {351--356}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IC3I56241.2022.10072554}, doi = {10.1109/IC3I56241.2022.10072554}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/LalitNSRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/ShettyJRRKT22, author = {Pallavi Shetty and Kapil Joshi and Ramakrishnan Raman and K. Naga Venkateshwara Rao and A. Vijaya Kumar and Mohit Tiwari}, title = {A Framework of Artificial Intelligence for the Manufacturing and Image Classification system}, booktitle = {5th International Conference on Contemporary Computing and Informatics, {IC3I} 2022, Uttar Pradesh, India, December 14-16, 2022}, pages = {1504--1508}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IC3I56241.2022.10073183}, doi = {10.1109/IC3I56241.2022.10073183}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3i/ShettyJRRKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/SamriyaKGPBP22, author = {Jitendra Kumar Samriya and Mohit Kumar and Maria Ganzha and Marcin Paprzycki and Marek Bolanowski and Andrzej Paszkiewicz}, editor = {Derek Groen and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {An Energy Aware Clustering Scheme for 5G-Enabled Edge Computing Based IoMT Framework}, booktitle = {Computational Science - {ICCS} 2022 - 22nd International Conference, London, UK, June 21-23, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13351}, pages = {169--176}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08754-7\_23}, doi = {10.1007/978-3-031-08754-7\_23}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/SamriyaKGPBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccnt/BaruaHK22, author = {Sattwik Barua and Mritunjoy Halder and Mohit Kumar}, title = {A Framework for Sex Identification, Accent and Emotion Recognition from Speech Samples}, booktitle = {13th International Conference on Computing Communication and Networking Technologies, {ICCCNT} 2022, Kharagpur, India, October 3-5, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCNT54827.2022.9984265}, doi = {10.1109/ICCCNT54827.2022.9984265}, timestamp = {Wed, 11 Jan 2023 17:54:01 +0100}, biburl = {https://dblp.org/rec/conf/icccnt/BaruaHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/AhujaGS22, author = {Mohit Kumar Ahuja and Arnaud Gotlieb and Helge Spieker}, title = {Testing Deep Learning Models: {A} First Comparative Study of Multiple Testing Techniques}, booktitle = {15th {IEEE} International Conference on Software Testing, Verification and Validation Workshops {ICST} Workshops 2022, Valencia, Spain, April 4-13, 2022}, pages = {130--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSTW55395.2022.00035}, doi = {10.1109/ICSTW55395.2022.00035}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icst/AhujaGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/AhujaSS22, author = {Mohit Kumar Ahuja and Sahil Sahil and Helge Spieker}, editor = {Marek Z. Reformat and Du Zhang and Nikolaos G. Bourbakis}, title = {FoCA: Failure-oriented Class Augmentation for Robust Image Classification}, booktitle = {34th {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2022, Macao, China, October 31 - November 2, 2022}, pages = {944--948}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTAI56018.2022.00144}, doi = {10.1109/ICTAI56018.2022.00144}, timestamp = {Tue, 25 Apr 2023 16:51:01 +0200}, biburl = {https://dblp.org/rec/conf/ictai/AhujaSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/PandeyNS22, author = {Sandeep Kumar Pandey and Mohit Manohar Nirgulkar and Hanumant Singh Shekhawat}, editor = {Hakimjon Zaynidinov and Madhusudan Singh and Uma Shanker Tiwary and Dhananjay Singh}, title = {A Longitudinal Study of the Emotional Content in Indian Political Speeches}, booktitle = {Intelligent Human Computer Interaction - 14th International Conference, {IHCI} 2022, Tashkent, Uzbekistan, October 20-22, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13741}, pages = {166--176}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-27199-1\_18}, doi = {10.1007/978-3-031-27199-1\_18}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihci/PandeyNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/KazdagliTK22, author = {Mikhail Kazdagli and Mohit Tiwari and Akshat Kumar}, editor = {Luc De Raedt}, title = {Using Constraint Programming and Graph Representation Learning for Generating Interpretable Cloud Security Policies}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {1850--1858}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/257}, doi = {10.24963/IJCAI.2022/257}, timestamp = {Wed, 27 Jul 2022 16:43:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/KazdagliTK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/RathoreSSS22, author = {Hemant Rathore and Adithya Samavedhi and Sanjay K. Sahay and Mohit Sewak}, title = {Are Malware Detection Models Adversarial Robust Against Evasion Attack?}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9798221}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9798221}, timestamp = {Tue, 28 Jun 2022 08:49:15 +0200}, biburl = {https://dblp.org/rec/conf/infocom/RathoreSSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KumarHSKTS22, author = {Mohit Kumar and Andreas Haas and Peter Strauss and Sven Kraus and {\"{O}}mer Sahin Tas and Christoph Stiller}, title = {Conception and Experimental Validation of a Model Predictive Control {(MPC)} for Lateral Control of a Truck-Trailer}, booktitle = {2022 {IEEE} Intelligent Vehicles Symposium, {IV} 2022, Aachen, Germany, June 4-9, 2022}, pages = {1550--1557}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IV51971.2022.9827350}, doi = {10.1109/IV51971.2022.9827350}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ivs/KumarHSKTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KumarSKTS22, author = {Mohit Kumar and Peter Strauss and Sven Kraus and {\"{O}}mer Sahin Tas and Christoph Stiller}, title = {Sharpness Continuous Path optimization and Sparsification for Automated Vehicles}, booktitle = {2022 {IEEE} Intelligent Vehicles Symposium, {IV} 2022, Aachen, Germany, June 4-9, 2022}, pages = {1473--1479}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IV51971.2022.9827011}, doi = {10.1109/IV51971.2022.9827011}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ivs/KumarSKTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kgswc/BanikSP22, author = {Debajyoty Banik and Devashish Kumar Singh and Mohit Kumar Pandey}, editor = {Patience Usoro Usip and Sanju Tiwari and Fernando Ortiz{-}Rodr{\'{\i}}guez and Sarra Ben Abbes and Fatima Zahra Amara}, title = {Multihop-Multilingual Co-attention Method for Visual Question Answering}, booktitle = {Joint Proceedings of the Second International Workshop on Multilingual Semantic Web and Second International Workshop on Deep Learning for Question Answering and First International Workshop on Semantic Reasoning and Representation in IoT, co-located with 4th Knowledge Graph and Semantic Web {(KGSWC} 2022), Madrid, Spain November 21-23, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3335}, pages = {87--98}, publisher = {CEUR-WS.org}, year = {2022}, url = {http://ceur-ws.org/Vol-3335/DLQ\_Paper1.pdf}, timestamp = {Mon, 29 Jan 2024 17:09:11 +0100}, biburl = {https://dblp.org/rec/conf/kgswc/BanikSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/BatsurenGKHKBLN22, author = {Khuyagbaatar Batsuren and Omer Goldman and Salam Khalifa and Nizar Habash and Witold Kieras and G{\'{a}}bor Bella and Brian Leonard and Garrett Nicolai and Kyle Gorman and Yustinus Ghanggo Ate and Maria Ryskina and Sabrina J. Mielke and Elena Budianskaya and Charbel El{-}Khaissi and Tiago Pimentel and Michael Gasser and William Abbott Lane and Mohit Raj and Matt Coler and Jaime Rafael Montoya Samame and Delio Siticonatzi Camaiteri and Esa{\'{u}} Zumaeta Rojas and Didier L{\'{o}}pez Francis and Arturo Oncevay and Juan L{\'{o}}pez Bautista and Gema Celeste Silva Villegas and Lucas Torroba Hennigen and Adam Ek and David Guriel and Peter Dirix and Jean{-}Philippe Bernardy and Andrey Scherbakov and Aziyana Bayyr{-}ool and Antonios Anastasopoulos and Roberto Zariquiey and Karina Sheifer and Sofya Ganieva and Hilaria Cruz and Ritv{\'{a}}n Karah{\'{o}}ga and Stella Markantonatou and George Pavlidis and Matvey Plugaryov and Elena Klyachko and Ali Salehi and Candy Angulo and Jatayu Baxi and Andrew Krizhanovsky and Natalia Krizhanovskaya and Elizabeth Salesky and Clara Vania and Sardana Ivanova and Jennifer C. White and Rowan Hall Maudslay and Josef Valvoda and Ran Zmigrod and Paula Czarnowska and Irene Nikkarinen and Aelita Salchak and Brijesh Bhatt and Christopher Straughn and Zoey Liu and Jonathan North Washington and Yuval Pinter and Duygu Ataman and Marcin Wolinski and Totok Suhardijanto and Anna Yablonskaya and Niklas Stoehr and Hossep Dolatian and Zahroh Nuriah and Shyam Ratan and Francis M. Tyers and Edoardo M. Ponti and Grant Aiton and Aryaman Arora and Richard J. Hatcher and Ritesh Kumar and Jeremiah Young and Daria Rodionova and Anastasia Yemelina and Taras Andrushko and Igor Marchenko and Polina Mashkovtseva and Alexandra Serova and Emily Prud'hommeaux and Maria Nepomniashchaya and Fausto Giunchiglia and Eleanor Chodroff and Mans Hulden and Miikka Silfverberg and Arya D. McCarthy and David Yarowsky and Ryan Cotterell and Reut Tsarfaty and Ekaterina Vylomova}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {UniMorph 4.0: Universal Morphology}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {840--855}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.89}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/BatsurenGKHKBLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/SewakSR22, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {X-Swarm: Adversarial {DRL} for Metamorphic Malware Swarm Generation}, booktitle = {2022 {IEEE} International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, PerCom 2022 Workshops, Pisa, Italy, March 21-25, 2022}, pages = {169--174}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PerComWorkshops53856.2022.9767485}, doi = {10.1109/PERCOMWORKSHOPS53856.2022.9767485}, timestamp = {Wed, 11 May 2022 09:14:32 +0200}, biburl = {https://dblp.org/rec/conf/percom/SewakSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtsi/KumarD22, author = {Atul Kumar and Mohit Dua}, title = {A Novel Cosine Transformed Chebyshev Chaotic Map based Image Encryption}, booktitle = {7th {IEEE} Forum on Research and Technologies for Society and Industry Innovation, {RTSI} 2022, Paris, France, August 24-26, 2022}, pages = {43--49}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RTSI55261.2022.9905195}, doi = {10.1109/RTSI55261.2022.9905195}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtsi/KumarD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KumarM22, author = {Mohit Kumar and Preeti Malakar}, title = {Hierarchical Communication Optimization for {FFT}}, booktitle = {2022 {IEEE/ACM} International Workshop on Hierarchical Parallelism for Exascale Computing (HiPar), Dallas, TX, USA, November 13-18, 2022}, pages = {12--21}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HiPar56574.2022.00007}, doi = {10.1109/HIPAR56574.2022.00007}, timestamp = {Mon, 13 Feb 2023 15:17:36 +0100}, biburl = {https://dblp.org/rec/conf/sc/KumarM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-03888, author = {Mohit Kumar and Samuel Kolb and Stefano Teso and Luc De Raedt}, title = {Learning {MAX-SAT} from Contextual Examples for Combinatorial Optimisation}, journal = {CoRR}, volume = {abs/2202.03888}, year = {2022}, url = {https://arxiv.org/abs/2202.03888}, eprinttype = {arXiv}, eprint = {2202.03888}, timestamp = {Thu, 10 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-03888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-12139, author = {Mohit Kumar Ahuja and Arnaud Gotlieb and Helge Spieker}, title = {Testing Deep Learning Models: {A} First Comparative Study of Multiple Testing Techniques}, journal = {CoRR}, volume = {abs/2202.12139}, year = {2022}, url = {https://arxiv.org/abs/2202.12139}, eprinttype = {arXiv}, eprint = {2202.12139}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-12139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05765, author = {Mohit Kumar and Weiping Zhang and Lukas Fischer and Bernhard Freudenthaler}, title = {Membership-Mappings for Practical Secure Distributed Deep Learning}, journal = {CoRR}, volume = {abs/2204.05765}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05765}, doi = {10.48550/ARXIV.2204.05765}, eprinttype = {arXiv}, eprint = {2204.05765}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06850, author = {Jitendra Kumar Samriya and Mohit Kumar and Maria Ganzha and Marcin Paprzycki and Marek Bolanowski and Andrzej Paszkiewicz}, title = {An Energy Aware Clustering Scheme for 5G-enabled Edge Computing based IoMT Framework}, journal = {CoRR}, volume = {abs/2204.06850}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06850}, doi = {10.48550/ARXIV.2204.06850}, eprinttype = {arXiv}, eprint = {2204.06850}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06850.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12633, author = {Mohit Raj and Shyam Ratan and Deepak Alok and Ritesh Kumar and Atul Kr. Ojha}, title = {Developing Universal Dependency Treebanks for Magahi and Braj}, journal = {CoRR}, volume = {abs/2204.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12633}, doi = {10.48550/ARXIV.2204.12633}, eprinttype = {arXiv}, eprint = {2204.12633}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01240, author = {Mikhail Kazdagli and Mohit Tiwari and Akshat Kumar}, title = {Using Constraint Programming and Graph Representation Learning for Generating Interpretable Cloud Security Policies}, journal = {CoRR}, volume = {abs/2205.01240}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01240}, doi = {10.48550/ARXIV.2205.01240}, eprinttype = {arXiv}, eprint = {2205.01240}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01240.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-03608, author = {Khuyagbaatar Batsuren and Omer Goldman and Salam Khalifa and Nizar Habash and Witold Kieras and G{\'{a}}bor Bella and Brian Leonard and Garrett Nicolai and Kyle Gorman and Yustinus Ghanggo Ate and Maria Ryskina and Sabrina J. Mielke and Elena Budianskaya and Charbel El{-}Khaissi and Tiago Pimentel and Michael Gasser and William Lane and Mohit Raj and Matt Coler and Jaime Rafael Montoya Samame and Delio Siticonatzi Camaiteri and Esa{\'{u}} Zumaeta Rojas and Didier L{\'{o}}pez Francis and Arturo Oncevay and Juan L{\'{o}}pez Bautista and Gema Celeste Silva Villegas and Lucas Torroba Hennigen and Adam Ek and David Guriel and Peter Dirix and Jean{-}Philippe Bernardy and Andrey Scherbakov and Aziyana Bayyr{-}ool and Antonios Anastasopoulos and Roberto Zariquiey and Karina Sheifer and Sofya Ganieva and Hilaria Cruz and Ritv{\'{a}}n Karah{\'{o}}ga and Stella Markantonatou and George Pavlidis and Matvey Plugaryov and Elena Klyachko and Ali Salehi and Candy Angulo and Jatayu Baxi and Andrew Krizhanovsky and Natalia Krizhanovskaya and Elizabeth Salesky and Clara Vania and Sardana Ivanova and Jennifer C. White and Rowan Hall Maudslay and Josef Valvoda and Ran Zmigrod and Paula Czarnowska and Irene Nikkarinen and Aelita Salchak and Brijesh Bhatt and Christopher Straughn and Zoey Liu and Jonathan North Washington and Yuval Pinter and Duygu Ataman and Marcin Wolinski and Totok Suhardijanto and Anna Yablonskaya and Niklas Stoehr and Hossep Dolatian and Zahroh Nuriah and Shyam Ratan and Francis M. Tyers and Edoardo M. Ponti and Grant Aiton and Aryaman Arora and Richard J. Hatcher and Ritesh Kumar and Jeremiah Young and Daria Rodionova and Anastasia Yemelina and Taras Andrushko and Igor Marchenko and Polina Mashkovtseva and Alexandra Serova and Emily Prud'hommeaux and Maria Nepomniashchaya and Fausto Giunchiglia and Eleanor Chodroff and Mans Hulden and Miikka Silfverberg and Arya D. McCarthy and David Yarowsky and Ryan Cotterell and Reut Tsarfaty and Ekaterina Vylomova}, title = {UniMorph 4.0: Universal Morphology}, journal = {CoRR}, volume = {abs/2205.03608}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.03608}, doi = {10.48550/ARXIV.2205.03608}, eprinttype = {arXiv}, eprint = {2205.03608}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-03608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-02733, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Deep Reinforcement Learning for Cybersecurity Threat Detection and Protection: {A} Review}, journal = {CoRR}, volume = {abs/2206.02733}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.02733}, doi = {10.48550/ARXIV.2206.02733}, eprinttype = {arXiv}, eprint = {2206.02733}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-02733.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12931, author = {Ritesh Kumar and Siddharth Singh and Shyam Ratan and Mohit Raj and Sonal Sinha and Bornini Lahiri and Vivek Seshadri and Kalika Bali and Atul Kr. Ojha}, title = {Annotated Speech Corpus for Low Resource Indian Languages: Awadhi, Bhojpuri, Braj and Magahi}, journal = {CoRR}, volume = {abs/2206.12931}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12931}, doi = {10.48550/ARXIV.2206.12931}, eprinttype = {arXiv}, eprint = {2206.12931}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12931.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14855, author = {Mohit Singhal and Chen Ling and Nihal Kumarswamy and Gianluca Stringhini and Shirin Nilizadeh}, title = {SoK: Content Moderation in Social Media, from Guidelines to Enforcement, and Research to Practice}, journal = {CoRR}, volume = {abs/2206.14855}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14855}, doi = {10.48550/ARXIV.2206.14855}, eprinttype = {arXiv}, eprint = {2206.14855}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03408, author = {Mohit Raghavendra and Kartik Sharma and Anand Kumar M and Srijan Kumar}, title = {Signed Link Representation in Continuous-Time Dynamic Signed Networks}, journal = {CoRR}, volume = {abs/2207.03408}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03408}, doi = {10.48550/ARXIV.2207.03408}, eprinttype = {arXiv}, eprint = {2207.03408}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-01594, author = {Mohit Agrawal and Pragyan Mehrotra and Rajesh Kumar and Rajiv Ratn Shah}, title = {GANTouch: An Attack-Resilient Framework for Touch-based Continuous Authentication System}, journal = {CoRR}, volume = {abs/2210.01594}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.01594}, doi = {10.48550/ARXIV.2210.01594}, eprinttype = {arXiv}, eprint = {2210.01594}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-01594.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-04645, author = {Sundas Iftikhar and Sukhpal Singh Gill and Chenghao Song and Minxian Xu and Mohammad Sadegh Aslanpour and Adel Nadjaran Toosi and Junhui Du and Huaming Wu and Shreya Ghosh and Deepraj Chowdhury and Muhammed Golec and Mohit Kumar and Ahmed M. Abdelmoniem and F{\'{e}}lix Cuadrado and Blesson Varghese and Omer F. Rana and Schahram Dustdar and Steve Uhlig}, title = {AI-based Fog and Edge Computing: {A} Systematic Review, Taxonomy and Future Directions}, journal = {CoRR}, volume = {abs/2212.04645}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.04645}, doi = {10.48550/ARXIV.2212.04645}, eprinttype = {arXiv}, eprint = {2212.04645}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-04645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09358, author = {Pengbo Yu and Alexandre Levisse and Mohit Gupta and Timon Evenblij and Giovanni Ansaloni and Francky Catthoor and David Atienza}, title = {A Soft {SIMD} Based Energy Efficient Computing Microarchitecture}, journal = {CoRR}, volume = {abs/2212.09358}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09358}, doi = {10.48550/ARXIV.2212.09358}, eprinttype = {arXiv}, eprint = {2212.09358}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AymenABSMS21, author = {Flah Aymen and Majed Alowaidi and Mohit Bajaj and Naveen Kumar Sharma and Shailendra Mishra and Sunil Kumar Sharma}, title = {Electric Vehicle Model Based on Multiple Recharge System and a Particular Traction Motor Conception}, journal = {{IEEE} Access}, volume = {9}, pages = {49308--49324}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3068262}, doi = {10.1109/ACCESS.2021.3068262}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AymenABSMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BajajAASMS21, author = {Mohit Bajaj and Flah Aymen and Majed Alowaidi and Naveen Kumar Sharma and Shailendra Mishra and Sunil Kumar Sharma}, title = {A Lyapunov-Function Based Controller for 3-Phase Shunt Active Power Filter and Performance Assessment Considering Different System Scenarios}, journal = {{IEEE} Access}, volume = {9}, pages = {66079--66102}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3075274}, doi = {10.1109/ACCESS.2021.3075274}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BajajAASMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BajajSPM0A21, author = {Mohit Bajaj and Naveen Kumar Sharma and Mukesh Pushkarna and Hasmat Malik and Majed A. Alotaibi and Abdulaziz Almutairi}, title = {Optimal Design of Passive Power Filter Using Multi-Objective Pareto-Based Firefly Algorithm and Analysis Under Background and Load-Side's Nonlinearity}, journal = {{IEEE} Access}, volume = {9}, pages = {22724--22744}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3055774}, doi = {10.1109/ACCESS.2021.3055774}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BajajSPM0A21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BajajSPMAA21, author = {Mohit Bajaj and Naveen Kumar Sharma and Mukesh Pushkarna and Hasmat Malik and Majed AlOtaibi and Abdulaziz Almutairi}, title = {Correction to "Optimal Design of Passive Power Filter Using Multi-Objective Pareto-Based Firefly Algorithm and Analysis Under Background and Load-Side's Nonlinearity"}, journal = {{IEEE} Access}, volume = {9}, pages = {45399}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3067178}, doi = {10.1109/ACCESS.2021.3067178}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BajajSPMAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChandraYKPBS21, author = {Subhash Chandra and Arvind Yadav and Mohd Abdul Rahim Khan and Mukesh Pushkarna and Mohit Bajaj and Naveen Kumar Sharma}, title = {Influence of Artificial and Natural Cooling on Performance Parameters of a Solar {PV} System: {A} Case Study}, journal = {{IEEE} Access}, volume = {9}, pages = {29449--29457}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3058779}, doi = {10.1109/ACCESS.2021.3058779}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChandraYKPBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KaurSSBYKB21, author = {Simarpreet Kaur and Mohit Srivastava and Naveen Kumar Sharma and Kamaljit Singh Bhatia and Frie Ayalew Yimam and Harsimrat Kaur and Mohit Bajaj}, title = {Hybrid Local-Global Optimum Search Using Particle Swarm Gravitation Search Algorithm {(HLGOS-PSGSA)} for Waveguide Selection}, journal = {{IEEE} Access}, volume = {9}, pages = {127866--127882}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3112069}, doi = {10.1109/ACCESS.2021.3112069}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KaurSSBYKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KraiemAMABMSS21, author = {Habib Kraiem and Flah Aymen and Naoui Mohamed and Majed Alowaidi and Mohit Bajaj and Shailendra Mishra and Naveen Kumar Sharma and Sunil Kumar Sharma}, title = {Increasing Electric Vehicle Autonomy Using a Photovoltaic System Controlled by Particle Swarm Optimization}, journal = {{IEEE} Access}, volume = {9}, pages = {72040--72054}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3077531}, doi = {10.1109/ACCESS.2021.3077531}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KraiemAMABMSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GuptaCMMGAKKDKG21, author = {Anku Gupta and Mohit Choudhary and Sanjay Kumar Mohanty and Aayushi Mittal and Krishan Gupta and Aditya Arya and Suvendu Kumar and Nikhil Katyayan and Nilesh Kumar Dixit and Siddhant Kalra and Manshi Goel and Megha Sahni and Vrinda Singhal and Tripti Mishra and Debarka Sengupta and Gaurav Ahuja}, title = {Machine-OlF-Action: a unified framework for developing and interpreting machine-learning models for chemosensory research}, journal = {Bioinform.}, volume = {37}, number = {12}, pages = {1769--1771}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa1104}, doi = {10.1093/BIOINFORMATICS/BTAA1104}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/GuptaCMMGAKKDKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/SabaAPPGCLKJBFP21, author = {Luca Saba and Mohit Agarwal and Anubhav Patrick and Anudeep Puvvula and Suneet K. Gupta and Alessandro Carriero and John R. Laird and George D. Kitas and Amer M. Johri and Antonella Balestrieri and Zeno Falaschi and Alessio Pasche and Vijay Viswanathan and Ayman El{-}Baz and Iqbal Alam and Abhinav Jain and D. Subbaram Naidu and Ronald Oberleitner and Narendra N. Khanna and Arindam Bit and Mostafa Fatemi and Azra Alizad and Jasjit S. Suri}, title = {Six artificial intelligence paradigms for tissue characterisation and classification of non-COVID-19 pneumonia against {COVID-19} pneumonia in computed tomography lungs}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {16}, number = {3}, pages = {423--434}, year = {2021}, url = {https://doi.org/10.1007/s11548-021-02317-0}, doi = {10.1007/S11548-021-02317-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/SabaAPPGCLKJBFP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MaheshwariSK21, author = {Shishir Maheshwari and Rishi Raj Sharma and Mohit Kumar}, title = {LBP-based information assisted intelligent system for {COVID-19} identification}, journal = {Comput. Biol. Medicine}, volume = {134}, pages = {104453}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104453}, doi = {10.1016/J.COMPBIOMED.2021.104453}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/MaheshwariSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SuriAGPBSBTAPFS21, author = {Jasjit S. Suri and Sushant Agarwal and Suneet K. Gupta and Anudeep Puvvula and Mainak Biswas and Luca Saba and Arindam Bit and Gopal S. Tandel and Mohit Agarwal and Anubhav Patrick and Gavino Faa and Inder M. Singh and Ronald Oberleitner and Monika Turk and Paramjit S. Chadha and Amer M. Johri and J. Miguel Sanches and Narendra N. Khanna and D. Subbaram Naidu}, title = {A narrative review on characterization of acute respiratory distress syndrome in COVID-19-infected lungs using artificial intelligence}, journal = {Comput. Biol. Medicine}, volume = {130}, pages = {104210}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104210}, doi = {10.1016/J.COMPBIOMED.2021.104210}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SuriAGPBSBTAPFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/RathoreSSS21, author = {Hemant Rathore and Adithya Samavedhi and Sanjay K. Sahay and Mohit Sewak}, title = {Robust Malware Detection Models: Learning from Adversarial Attacks and Defenses}, journal = {Digit. Investig.}, volume = {37 Supplement}, pages = {301183}, year = {2021}, url = {https://doi.org/10.1016/j.fsidi.2021.301183}, doi = {10.1016/J.FSIDI.2021.301183}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/RathoreSSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/SinghBKJ21, author = {Divyoj Singh and Federico Bocci and Prakash Kulkarni and Mohit Kumar Jolly}, title = {Coupled Feedback Loops Involving PAGE4, {EMT} and Notch Signaling Can Give Rise to Non-Genetic Heterogeneity in Prostate Cancer Cells}, journal = {Entropy}, volume = {23}, number = {3}, pages = {288}, year = {2021}, url = {https://doi.org/10.3390/e23030288}, doi = {10.3390/E23030288}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/SinghBKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijar/KumarSF21, author = {Mohit Kumar and Sukhvir Singh and Bernhard Freudenthaler}, title = {Gaussian fuzzy theoretic analysis for variational learning of nested compositions}, journal = {Int. J. Approx. Reason.}, volume = {131}, pages = {1--29}, year = {2021}, url = {https://doi.org/10.1016/j.ijar.2020.12.021}, doi = {10.1016/J.IJAR.2020.12.021}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijar/KumarSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/KumarKA21, author = {Mohit Kumar and Dinesh Kumar and Mohammad Amir Khusru Akhtar}, title = {A Modified GA-Based Load Balanced Clustering Algorithm for {WSN:} {MGALBC}}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {12}, number = {1}, pages = {44--63}, year = {2021}, url = {https://doi.org/10.4018/IJERTCS.20210101.oa3}, doi = {10.4018/IJERTCS.20210101.OA3}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijertcs/KumarKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/KumarDKFG21, author = {Pravin Kumar and Mohit Dayal and Manju Khari and Giuseppe Fenza and Mariacristina Gallo}, title = {{NSL-BP:} {A} Meta Classifier Model Based Prediction of Amazon Product Reviews}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {6}, number = {6}, pages = {95--103}, year = {2021}, url = {https://doi.org/10.9781/ijimai.2020.10.001}, doi = {10.9781/IJIMAI.2020.10.001}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/KumarDKFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnvo/GoarYCKM21, author = {Vishal Kumar Goar and Nagendra Singh Yadav and Chiranji Lal Chowdhary and P. Kumaresan and Mohit Mittal}, title = {An IoT and artificial intelligence-based patient care system focused on {COVID-19} pandemic}, journal = {Int. J. Netw. Virtual Organisations}, volume = {25}, number = {3/4}, pages = {232--251}, year = {2021}, url = {https://doi.org/10.1504/IJNVO.2021.120169}, doi = {10.1504/IJNVO.2021.120169}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnvo/GoarYCKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijufks/KumarS21, author = {Mohit Kumar and Swati Sharma}, title = {The {\(\alpha\)}, {\(\beta\)}-Cut Intervals and Weakest t-Norm Based Importance Measure for Criticality Analysis in Intuitionisitic Fuzzy Fault Tree Analysis of {LNG-ESD} System}, journal = {Int. J. Uncertain. Fuzziness Knowl. Based Syst.}, volume = {29}, number = {1}, pages = {119--143}, year = {2021}, url = {https://doi.org/10.1142/S0218488521500070}, doi = {10.1142/S0218488521500070}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijufks/KumarS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KumarRMF21, author = {Mohit Kumar and Michael Rossbory and Bernhard Alois Moser and Bernhard Freudenthaler}, title = {An optimal ({\unicode{8714}}, {\(\delta\)})-differentially private learning of distributed deep fuzzy models}, journal = {Inf. Sci.}, volume = {546}, pages = {87--120}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2020.07.044}, doi = {10.1016/J.INS.2020.07.044}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KumarRMF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/RathoreSNS21, author = {Hemant Rathore and Sanjay K. Sahay and Piyush Nikam and Mohit Sewak}, title = {Robust Android Malware Detection System Against Adversarial Attacks Using Q-Learning}, journal = {Inf. Syst. Frontiers}, volume = {23}, number = {4}, pages = {867--882}, year = {2021}, url = {https://doi.org/10.1007/s10796-020-10083-8}, doi = {10.1007/S10796-020-10083-8}, timestamp = {Fri, 10 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/RathoreSNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jkm/KumarPMR21, author = {Mohit Kumar and Justin Paul and Madhvendra Misra and Rubina Romanello}, title = {The creation and development of learning organizations: a review}, journal = {J. Knowl. Manag.}, volume = {25}, number = {10}, pages = {2540--2566}, year = {2021}, url = {https://doi.org/10.1108/JKM-10-2020-0795}, doi = {10.1108/JKM-10-2020-0795}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jkm/KumarPMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/AgarwalSGCFPDEN21, author = {Mohit Agarwal and Luca Saba and Suneet K. Gupta and Alessandro Carriero and Zeno Falaschi and Alessio Pasche and Pietro Danna and Ayman El{-}Baz and D. Subbaram Naidu and Jasjit S. Suri}, title = {A Novel Block Imaging Technique Using Nine Artificial Intelligence Models for {COVID-19} Disease Classification, Characterization and Severity Measurement in Lung Computed Tomography Scans on an Italian Cohort}, journal = {J. Medical Syst.}, volume = {45}, number = {3}, pages = {28}, year = {2021}, url = {https://doi.org/10.1007/s10916-021-01707-w}, doi = {10.1007/S10916-021-01707-W}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/AgarwalSGCFPDEN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/KumarGPWSFET21, author = {Mohit Kumar and Saurabh Gupta and Tirthak Patel and Michael Wilder and Weisong Shi and Song Fu and Christian Engelmann and Devesh Tiwari}, title = {Study of interconnect errors, network congestion, and applications characteristics for throttle prediction on a large scale {HPC} system}, journal = {J. Parallel Distributed Comput.}, volume = {153}, pages = {29--43}, year = {2021}, url = {https://doi.org/10.1016/j.jpdc.2021.03.001}, doi = {10.1016/J.JPDC.2021.03.001}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/KumarGPWSFET21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrie/GoelGKM21, author = {Shalini Sharma Goel and Anubhav Goel and Mohit Kumar and Germ{\'{a}}n Molt{\'{o}}}, title = {A review of Internet of Things: qualifying technologies and boundless horizon}, journal = {J. Reliab. Intell. Environ.}, volume = {7}, number = {1}, pages = {23--33}, year = {2021}, url = {https://doi.org/10.1007/s40860-020-00127-w}, doi = {10.1007/S40860-020-00127-W}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrie/GoelGKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/make/FischerEGRSZBKM21, author = {Lukas Fischer and Lisa Ehrlinger and Verena Geist and Rudolf Ramler and Florian Sobieczky and Werner Zellinger and David Brunner and Mohit Kumar and Bernhard Moser}, title = {{AI} System Engineering - Key Challenges and Lessons Learned}, journal = {Mach. Learn. Knowl. Extr.}, volume = {3}, number = {1}, pages = {56--83}, year = {2021}, url = {https://doi.org/10.3390/make3010004}, doi = {10.3390/MAKE3010004}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/make/FischerEGRSZBKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/AgarwalSGJKMLPM21, author = {Mohit Agarwal and Luca Saba and Suneet K. Gupta and Amer M. Johri and Narendra N. Khanna and Sophie Mavrogeni and John R. Laird and Gyan Pareek and Martin Miner and Petros P. Sfikakis and Athanasios Protogerou and Aditya M. Sharma and Vijay Viswanathan and George D. Kitas and Andrew Nicolaides and Jasjit S. Suri}, title = {Wilson disease tissue classification and characterization using seven artificial intelligence models embedded with 3D optimization paradigm on a weak training brain magnetic resonance imaging datasets: a supercomputer application}, journal = {Medical Biol. Eng. Comput.}, volume = {59}, number = {3}, pages = {511--533}, year = {2021}, url = {https://doi.org/10.1007/s11517-021-02322-0}, doi = {10.1007/S11517-021-02322-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/AgarwalSGJKMLPM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarD21, author = {Atul Kumar and Mohit Dua}, title = {Novel pseudo random key {\&} cosine transformed chaotic maps based satellite image encryption}, journal = {Multim. Tools Appl.}, volume = {80}, number = {18}, pages = {27785--27805}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-10970-5}, doi = {10.1007/S11042-021-10970-5}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/BaghelGS21, author = {Mohit Kumar Baghel and Nicolas Gillis and Punit Sharma}, title = {Characterization of the dissipative mappings and their application to perturbations of dissipative-Hamiltonian systems}, journal = {Numer. Linear Algebra Appl.}, volume = {28}, number = {6}, year = {2021}, url = {https://doi.org/10.1002/nla.2402}, doi = {10.1002/NLA.2402}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nla/BaghelGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/BhatJK21, author = {Karthik S. Bhat and Mohit Jain and Neha Kumar}, title = {Infrastructuring Telehealth in (In)Formal Patient-Doctor Contexts}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW2}}, pages = {323:1--323:28}, year = {2021}, url = {https://doi.org/10.1145/3476064}, doi = {10.1145/3476064}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/BhatJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/SharmaSK21, author = {Swati Sharma and Shiv Raj Singh and Mohit Kumar}, title = {A reverse logistics inventory model with multiple production and remanufacturing batches under fuzzy environment}, journal = {{RAIRO} Oper. Res.}, volume = {55}, number = {2}, pages = {571--588}, year = {2021}, url = {https://doi.org/10.1051/ro/2021021}, doi = {10.1051/RO/2021021}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rairo/SharmaSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sap/SrivastavaMKJDP21, author = {Shubhi Srivastava and Mohit and Arun Kumar and Sudhanshu Kumar Jha and Pratibha Dixit and Shiv Prakash}, title = {Event-driven data alteration detection using block-chain}, journal = {Secur. Priv.}, volume = {4}, number = {2}, year = {2021}, url = {https://doi.org/10.1002/spy2.146}, doi = {10.1002/SPY2.146}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sap/SrivastavaMKJDP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/AshrafKJ21, author = {Mohammad Ashraf and Mohit Kumar and Aisha Jabeen}, title = {Subspace-based subspace sum graph on vector spaces}, journal = {Soft Comput.}, volume = {25}, number = {17}, pages = {11429--11438}, year = {2021}, url = {https://doi.org/10.1007/s00500-021-06006-7}, doi = {10.1007/S00500-021-06006-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/AshrafKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/AgarwalGB21, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, title = {A new Conv2D model with modified ReLU activation function for identification of disease type and severity in cucumber plant}, journal = {Sustain. Comput. Informatics Syst.}, volume = {30}, pages = {100473}, year = {2021}, url = {https://doi.org/10.1016/j.suscom.2020.100473}, doi = {10.1016/J.SUSCOM.2020.100473}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/AgarwalGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarZWF21, author = {Mohit Kumar and Weiping Zhang and Matthias Weippert and Bernhard Freudenthaler}, title = {An Explainable Fuzzy Theoretic Nonparametric Deep Model for Stress Assessment Using Heartbeat Intervals Analysis}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {29}, number = {12}, pages = {3873--3886}, year = {2021}, url = {https://doi.org/10.1109/TFUZZ.2020.3029284}, doi = {10.1109/TFUZZ.2020.3029284}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarZWF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SharmaKMR21, author = {Rishi Raj Sharma and Mohit Kumar and Shishir Maheshwari and Kamla Prasan Ray}, title = {EVDHM-ARIMA-Based Time Series Forecasting Model and Its Application for {COVID-19} Cases}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--10}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3041833}, doi = {10.1109/TIM.2020.3041833}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/SharmaKMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LambaRM21, author = {Mohit Lamba and Kranthi Kumar Rachavarapu and Kaushik Mitra}, title = {Harnessing Multi-View Perspective of Light Fields for Low-Light Imaging}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {1501--1513}, year = {2021}, url = {https://doi.org/10.1109/TIP.2020.3045617}, doi = {10.1109/TIP.2020.3045617}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/LambaRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChaurasiaKCV21, author = {Nisha Chaurasia and Mohit Kumar and Rashmi Chaudhry and Om Prakash Verma}, title = {Comprehensive survey on energy-aware server consolidation techniques in cloud computing}, journal = {J. Supercomput.}, volume = {77}, number = {10}, pages = {11682--11737}, year = {2021}, url = {https://doi.org/10.1007/s11227-021-03760-1}, doi = {10.1007/S11227-021-03760-1}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChaurasiaKCV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/webology/SinghGK21, author = {Chandrabhan Singh and Mohit Gangwar and Upendra Kumar}, title = {A Review on Neuro-Fuzzy System in the Diagnosis of Psychiatric Disorder}, journal = {Webology}, volume = {18}, number = {{SI01}}, pages = {164--182}, year = {2021}, url = {https://doi.org/10.14704/web/v18si01/web18052}, doi = {10.14704/WEB/V18SI01/WEB18052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/webology/SinghGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KaurSK21a, author = {Gurpreet Kaur and Mohit Srivastava and Amod Kumar}, title = {Speech Recognition Using Enhanced Features with Deep Belief Network for Real Time Application}, journal = {Wirel. Pers. Commun.}, volume = {120}, number = {4}, pages = {3225--3242}, year = {2021}, url = {https://doi.org/10.1007/s11277-021-08610-0}, doi = {10.1007/S11277-021-08610-0}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KaurSK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0003KGR21, author = {Mohit Kumar and Samuel Kolb and Cl{\'{e}}ment Gautrais and Luc De Raedt}, title = {Democratizing Constraint Satisfaction Problems through Machine Learning}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {16057--16059}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i18.18011}, doi = {10.1609/AAAI.V35I18.18011}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0003KGR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/PatwaBGKSPDEA021, author = {Parth Patwa and Mohit Bhardwaj and Vineeth Guptha and Gitanjali Kumari and Shivam Sharma and Srinivas PYKL and Amitava Das and Asif Ekbal and Md. Shad Akhtar and Tanmoy Chakraborty}, editor = {Tanmoy Chakraborty and Kai Shu and H. Russell Bernard and Huan Liu and Md. Shad Akhtar}, title = {Overview of {CONSTRAINT} 2021 Shared Tasks: Detecting English {COVID-19} Fake News and Hindi Hostile Posts}, booktitle = {Combating Online Hostile Posts in Regional Languages during Emergency Situation - First International Workshop, {CONSTRAINT} 2021, Collocated with {AAAI} 2021, Virtual Event, February 8, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1402}, pages = {42--53}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-73696-5\_5}, doi = {10.1007/978-3-030-73696-5\_5}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/PatwaBGKSPDEA021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KumarKKCGS21, author = {Mohit Kumar and Ravinder Kumar and Vishal Kumar and Amanpreet Chander and Vivek Gupta and Ashish Kumar Sahani}, editor = {Roland Thewes}, title = {A Low-cost Ambu-bag Based Ventilator for Covid-19 Pandemic}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2021, Berlin, Germany, October 7-9, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BioCAS49922.2021.9644985}, doi = {10.1109/BIOCAS49922.2021.9644985}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KumarKKCGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/DhanaprakaashJA21, author = {G. Dhanaprakaash and Bishal Jaiswal and Srikrishna Acharya and Anush Kumar and Aruul Mozhi Varman S and Kavish Shah and Mohitvishnu Srinivas Gadde and Sourav Mishra and Aditya Gopalan and Bharadwaj Amrutur and Himanshu Tyagi and Preetam Patil and Raghu Krishnapuram and Soumya Subhra Banerjee and Suresh Sundaram}, title = {Network Based Multi-Bot Awareness}, booktitle = {13th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2021, Bangalore, India, January 5-9, 2021}, pages = {138--139}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/COMSNETS51098.2021.9352810}, doi = {10.1109/COMSNETS51098.2021.9352810}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/comsnets/DhanaprakaashJA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/ManasJS21, author = {Kumar Manas and Mohit Jindal and Preety Singh}, editor = {Ali Bilgin and Michael W. Marcellin and Joan Serra{-}Sagrist{\`{a}} and James A. Storer}, title = {Low Complexity Video Compression for Fixed Focus Cameras}, booktitle = {31st Data Compression Conference, {DCC} 2021, Snowbird, UT, USA, March 23-26, 2021}, pages = {357}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DCC50243.2021.00055}, doi = {10.1109/DCC50243.2021.00055}, timestamp = {Mon, 17 May 2021 15:23:04 +0200}, biburl = {https://dblp.org/rec/conf/dcc/ManasJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/0001MFF21, author = {Mohit Kumar and Bernhard Moser and Lukas Fischer and Bernhard Freudenthaler}, editor = {Gabriele Kotsis and A Min Tjoa and Ismail Khalil and Bernhard Moser and Atif Mashkoor and Johannes Sametinger and Anna Fensel and Jorge Mart{\'{\i}}nez Gil and Lukas Fischer and Gerald Czech and Florian Sobieczky and Sohail Khan}, title = {Membership-Mappings for Data Representation Learning: Measure Theoretic Conceptualization}, booktitle = {Database and Expert Systems Applications - {DEXA} 2021 Workshops - BIOKDD, IWCFS, MLKgraphs, AI-CARES, ProTime, AISys 2021, Virtual Event, September 27-30, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1479}, pages = {127--137}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87101-7\_13}, doi = {10.1007/978-3-030-87101-7\_13}, timestamp = {Mon, 26 Jun 2023 20:42:59 +0200}, biburl = {https://dblp.org/rec/conf/dexaw/0001MFF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/0001MFF21a, author = {Mohit Kumar and Bernhard Moser and Lukas Fischer and Bernhard Freudenthaler}, editor = {Gabriele Kotsis and A Min Tjoa and Ismail Khalil and Bernhard Moser and Atif Mashkoor and Johannes Sametinger and Anna Fensel and Jorge Mart{\'{\i}}nez Gil and Lukas Fischer and Gerald Czech and Florian Sobieczky and Sohail Khan}, title = {Membership-Mappings for Data Representation Learning: {A} Bregman Divergence Based Conditionally Deep Autoencoder}, booktitle = {Database and Expert Systems Applications - {DEXA} 2021 Workshops - BIOKDD, IWCFS, MLKgraphs, AI-CARES, ProTime, AISys 2021, Virtual Event, September 27-30, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1479}, pages = {138--147}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87101-7\_14}, doi = {10.1007/978-3-030-87101-7\_14}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dexaw/0001MFF21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/RathoreSDS21, author = {Hemant Rathore and Sanjay K. Sahay and Jasleen Dhillon and Mohit Sewak}, title = {Designing Adversarial Attack and Defence for Robust Android Malware Detection Models}, booktitle = {51st Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2021, Taipei, Taiwan, June 21-24, 2021 - Supplemental Volume}, pages = {29--32}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSN-S52858.2021.00025}, doi = {10.1109/DSN-S52858.2021.00025}, timestamp = {Thu, 09 Sep 2021 13:45:13 +0200}, biburl = {https://dblp.org/rec/conf/dsn/RathoreSDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/TrivediJGHSMBMB21, author = {Anusua Trivedi and Mohit Jain and Nikhil Kumar Gupta and Markus Hinsche and Prashant Singh and Markus Matiaschek and Tristan Behrens and Mirco Militeri and Cameron Birge and Shivangi Kaushik and Archisman Mohapatra and Rita Chatterjee and Rahul Dodhia and Juan Lavista Ferres}, title = {Height Estimation of Children under Five Years using Depth Images}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {3886--3889}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630461}, doi = {10.1109/EMBC46164.2021.9630461}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/TrivediJGHSMBMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/KumarE21, author = {Mohit Kumar and Christian Engelmann}, editor = {Ricardo Chaves and Dora B. Heras and Aleksandar Ilic and Didem Unat and Rosa M. Badia and Andrea Bracciali and Patrick Diehl and Anshu Dubey and Oh Sangyoon and Stephen L. Scott and Laura Ricci}, title = {{RDPM:} An Extensible Tool for Resilience Design Patterns Modelling}, booktitle = {Euro-Par 2021: Parallel Processing Workshops - Euro-Par 2021 International Workshops, Lisbon, Portugal, August 30-31, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13098}, pages = {283--297}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-06156-1\_23}, doi = {10.1007/978-3-031-06156-1\_23}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/KumarE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/ChandraRSGBK21, author = {Mohit Chandra and Manvith Reddy and Shradha Sehgal and Saurabh Gupta and Arun Balaji Buduru and Ponnurangam Kumaraguru}, editor = {Owen Conlan and Eelco Herder}, title = {"A Virus Has No Religion": Analyzing Islamophobia on Twitter During the {COVID-19} Outbreak}, booktitle = {{HT} '21: 32nd {ACM} Conference on Hypertext and Social Media, Virtual Event, Ireland, 30 August 2021 - 2 September 2021}, pages = {67--77}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3465336.3475111}, doi = {10.1145/3465336.3475111}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ht/ChandraRSGBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/AgrawalMKS21, author = {Mohit Agrawal and Pragyan Mehrotra and Rajesh Kumar and Rajiv Ratn Shah}, title = {Defending Touch-based Continuous Authentication Systems from Active Adversaries Using Generative Adversarial Networks}, booktitle = {International {IEEE} Joint Conference on Biometrics, {IJCB} 2021, Shenzhen, China, August 4-7, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCB52358.2021.9484366}, doi = {10.1109/IJCB52358.2021.9484366}, timestamp = {Fri, 23 Jul 2021 09:33:09 +0200}, biburl = {https://dblp.org/rec/conf/icb/AgrawalMKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ShuklaNT0P21, author = {Arpit Shukla and Mohit Nankani and Sudeep Tanwar and Neeraj Kumar and Md. Jalil Piran}, title = {DeLend: {A} {P2P} Loan Management Scheme Using Public Blockchain in 6G Network}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500542}, doi = {10.1109/ICC42927.2021.9500542}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ShuklaNT0P21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceee/JainJLKKJDM21, author = {Manmohan Jain and Sucheta Juneja and Kalpana Lodhi and Chander Kant and Sushil Kumar and Mohit Jain and Ateet Dutt and Yasuhiro Matsumoto}, title = {Effect of argon plasma treatment on electronic properties of doped hydrogenated Silicon thin films for photovoltaic applications}, booktitle = {18th International Conference on Electrical Engineering, Computing Science and Automatic Control, {CCE} 2021, Mexico City, Mexico, November 10-12, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCE53527.2021.9633065}, doi = {10.1109/CCE53527.2021.9633065}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceee/JainJLKKJDM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciss/RomanaBKPE21, author = {Sandeep Romana and Anil D. Bandgar and Mohit Kumar and Mahesh Uttam Patil and P. R. Lakshmi Eswari}, editor = {Somanath Tripathy and Rudrapatna K. Shyamasundar and Rajiv Ranjan}, title = {Raising {MIPS} Binaries to {LLVM} {IR}}, booktitle = {Information Systems Security - 17th International Conference, {ICISS} 2021, Patna, India, December 16-20, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13146}, pages = {94--108}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92571-0\_6}, doi = {10.1007/978-3-030-92571-0\_6}, timestamp = {Fri, 30 Dec 2022 14:59:16 +0100}, biburl = {https://dblp.org/rec/conf/iciss/RomanaBKPE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/DandekarPSAK21, author = {Mohit Dandekar and Narinder Singh Punn and Sanjay Kumar Sonbhadra and Sonali Agarwal and Rage Uday Kiran}, title = {Fruit classification using deep feature maps in the presence of deceptive similar classes}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533678}, doi = {10.1109/IJCNN52387.2021.9533678}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/DandekarPSAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/RathoreNSS21, author = {Hemant Rathore and Piyush Nikam and Sanjay K. Sahay and Mohit Sewak}, title = {Identification of Adversarial Android Intents using Reinforcement Learning}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9534142}, doi = {10.1109/IJCNN52387.2021.9534142}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/RathoreNSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/SewakSR21, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {{LSTM} Hyper-Parameter Selection for Malware Detection: Interaction Effects and Hierarchical Selection Approach}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533323}, doi = {10.1109/IJCNN52387.2021.9533323}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/SewakSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/SewakSR21a, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {ADVERSARIALuscator: An Adversarial-DRL based Obfuscator and Metamorphic Malware Swarm Generator}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9534016}, doi = {10.1109/IJCNN52387.2021.9534016}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/SewakSR21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/RathoreSS21, author = {Hemant Rathore and Sanjay K. Sahay and Mohit Sewak}, title = {Are Android Malware Detection Models Adversarially Robust?: Poster Abstract}, booktitle = {{IPSN} '21: The 20th International Conference on Information Processing in Sensor Networks, Nashville, TN, USA, May, 2021}, pages = {408--409}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412382.3458787}, doi = {10.1145/3412382.3458787}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipsn/RathoreSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/RathoreNSS21, author = {Hemant Rathore and B. Raja Narasimhan and Sanjay K. Sahay and Mohit Sewak}, editor = {Ajith Abraham and Niketa Gandhi and Thomas Hanne and Tzung{-}Pei Hong and Tatiane Nogueira Rios and Weiping Ding}, title = {Image-based Android Malware Detection Models using Static and Dynamic Features}, booktitle = {Intelligent Systems Design and Applications - 21st International Conference on Intelligent Systems Design and Applications {(ISDA} 2021) Held During December 13-15, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {418}, pages = {1292--1305}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96308-8\_120}, doi = {10.1007/978-3-030-96308-8\_120}, timestamp = {Wed, 30 Mar 2022 11:37:07 +0200}, biburl = {https://dblp.org/rec/conf/isda/RathoreNSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/SewakSR21, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {DRo: {A} data-scarce mechanism to revolutionize the performance of DL-based Security Systems}, booktitle = {46th {IEEE} Conference on Local Computer Networks, {LCN} 2021, Edmonton, AB, Canada, October 4-7, 2021}, pages = {581--588}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LCN52139.2021.9524929}, doi = {10.1109/LCN52139.2021.9524929}, timestamp = {Tue, 16 Aug 2022 23:04:40 +0200}, biburl = {https://dblp.org/rec/conf/lcn/SewakSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/AgarwalGB21, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, editor = {Manish Gupta and Ganesh Ramakrishnan}, title = {Plant Leaf Disease Segmentation Using Compressed UNet Architecture}, booktitle = {Trends and Applications in Knowledge Discovery and Data Mining - {PAKDD} 2021 Workshops, WSPA, MLMEIN, SDPRA, DARAI, and AI4EPT, Delhi, India, May 11, 2021 Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12705}, pages = {9--14}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75015-2\_2}, doi = {10.1007/978-3-030-75015-2\_2}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/AgarwalGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/AgarwalGB21a, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, editor = {Kamal Karlapalem and Hong Cheng and Naren Ramakrishnan and R. K. Agrawal and P. Krishna Reddy and Jaideep Srivastava and Tanmoy Chakraborty}, title = {A Compressed and Accelerated SegNet for Plant Leaf Disease Segmentation: {A} Differential Evolution Based Approach}, booktitle = {Advances in Knowledge Discovery and Data Mining - 25th Pacific-Asia Conference, {PAKDD} 2021, Virtual Event, May 11-14, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12714}, pages = {272--284}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-75768-7\_22}, doi = {10.1007/978-3-030-75768-7\_22}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/AgarwalGB21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/RathoreBSS21, author = {Hemant Rathore and Taeeb Bandwala and Sanjay K. Sahay and Mohit Sewak}, editor = {Jorge S{\'{a}} Silva and Fernando Boavida and Andr{\'{e}} Rodrigues and Andrew Markham and Rong Zheng}, title = {Are {CNN} based Malware Detection Models Robust?: Developing Superior Models using Adversarial Attack and Defense}, booktitle = {SenSys '21: The 19th {ACM} Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15 - 17, 2021}, pages = {355--356}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3485730.3492867}, doi = {10.1145/3485730.3492867}, timestamp = {Thu, 09 Feb 2023 16:29:27 +0100}, biburl = {https://dblp.org/rec/conf/sensys/RathoreBSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skm/RathoreBSS21, author = {Hemant Rathore and Taeeb Bandwala and Sanjay K. Sahay and Mohit Sewak}, editor = {Ram Krishnan and H. Raghav Rao and Sanjay K. Sahay and Sagar Samtani and Ziming Zhao}, title = {Adversarial Robustness of Image Based Android Malware Detection Models}, booktitle = {Secure Knowledge Management In The Artificial Intelligence Era - 9th International Conference, {SKM} 2021, San Antonio, TX, USA, October 8-9, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1549}, pages = {3--22}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-97532-6\_1}, doi = {10.1007/978-3-030-97532-6\_1}, timestamp = {Thu, 03 Mar 2022 14:27:17 +0100}, biburl = {https://dblp.org/rec/conf/skm/RathoreBSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skm/SewakSR21, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, editor = {Ram Krishnan and H. Raghav Rao and Sanjay K. Sahay and Sagar Samtani and Ziming Zhao}, title = {Deep Reinforcement Learning for Cybersecurity Threat Detection and Protection: {A} Review}, booktitle = {Secure Knowledge Management In The Artificial Intelligence Era - 9th International Conference, {SKM} 2021, San Antonio, TX, USA, October 8-9, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1549}, pages = {51--72}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-97532-6\_4}, doi = {10.1007/978-3-030-97532-6\_4}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/skm/SewakSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/VohraKPB21, author = {Mohit Vohra and Ashish Kumar and Ravi Prakash and Laxmidhar Behera}, title = {End-To-End Real-Time Visual Perception Framework for Construction Automation}, booktitle = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, pages = {3485--3490}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SMC52423.2021.9658630}, doi = {10.1109/SMC52423.2021.9658630}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/VohraKPB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/JangidCZL21, author = {Mohit Kumar Jangid and Guoxing Chen and Yinqian Zhang and Zhiqiang Lin}, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {Towards Formal Verification of State Continuity for Enclave Programs}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {573--590}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/jangid}, timestamp = {Mon, 20 Nov 2023 08:57:49 +0100}, biburl = {https://dblp.org/rec/conf/uss/JangidCZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/websci/ChandraPBS00K21, author = {Mohit Chandra and Dheeraj Reddy Pailla and Himanshu Bhatia and AadilMehdi J. Sanchawala and Manish Gupta and Manish Shrivastava and Ponnurangam Kumaraguru}, editor = {Clare Hooper and Matthew Weber and Katrin Weller and Wendy Hall and Noshir Contractor and Jie Tang}, title = {"Subverting the Jewtocracy": Online Antisemitism Detection Using Multimodal Deep Learning}, booktitle = {WebSci '21: 13th {ACM} Web Science Conference 2021, Virtual Event, United Kingdom, June 21-25, 2021}, pages = {148--157}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447535.3462502}, doi = {10.1145/3447535.3462502}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/websci/ChandraPBS00K21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06414, author = {Ashish Kumar and Mohit Vohra and Ravi Prakash and Laxmidhar Behera}, title = {Towards Deep Learning Assisted Autonomous UAVs for Manipulation Tasks in GPS-Denied Environments}, journal = {CoRR}, volume = {abs/2101.06414}, year = {2021}, url = {https://arxiv.org/abs/2101.06414}, eprinttype = {arXiv}, eprint = {2101.06414}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-12031, author = {Hemant Rathore and Sanjay K. Sahay and Piyush Nikam and Mohit Sewak}, title = {Robust Android Malware Detection System against Adversarial Attacks using Q-Learning}, journal = {CoRR}, volume = {abs/2101.12031}, year = {2021}, url = {https://arxiv.org/abs/2101.12031}, eprinttype = {arXiv}, eprint = {2101.12031}, timestamp = {Sun, 31 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-12031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-00898, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {{DRLDO:} {A} novel {DRL} based De-ObfuscationSystem for Defense against Metamorphic Malware}, journal = {CoRR}, volume = {abs/2102.00898}, year = {2021}, url = {https://arxiv.org/abs/2102.00898}, eprinttype = {arXiv}, eprint = {2102.00898}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-00898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00637, author = {Hemant Rathore and Sanjay K. Sahay and Shivin Thukral and Mohit Sewak}, title = {Detection of Malicious Android Applications: Classical Machine Learning vs. Deep Neural Network Integrated with Clustering}, journal = {CoRR}, volume = {abs/2103.00637}, year = {2021}, url = {https://arxiv.org/abs/2103.00637}, eprinttype = {arXiv}, eprint = {2103.00637}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00643, author = {Hemant Rathore and Sanjay K. Sahay and Ritvik Rajvanshi and Mohit Sewak}, title = {Identification of Significant Permissions for Efficient Android Malware Detection}, journal = {CoRR}, volume = {abs/2103.00643}, year = {2021}, url = {https://arxiv.org/abs/2103.00643}, eprinttype = {arXiv}, eprint = {2103.00643}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-05947, author = {Mohit Chandra and Dheeraj Reddy Pailla and Himanshu Bhatia and AadilMehdi J. Sanchawala and Manish Gupta and Manish Shrivastava and Ponnurangam Kumaraguru}, title = {"Subverting the Jewtocracy": Online Antisemitism Detection Using Multimodal Deep Learning}, journal = {CoRR}, volume = {abs/2104.05947}, year = {2021}, url = {https://arxiv.org/abs/2104.05947}, eprinttype = {arXiv}, eprint = {2104.05947}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-05947.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07060, author = {Mohit Kumar and Bernhard Alois Moser and Lukas Fischer and Bernhard Freudenthaler}, title = {Membership-Mappings for Data Representation Learning}, journal = {CoRR}, volume = {abs/2104.07060}, year = {2021}, url = {https://arxiv.org/abs/2104.07060}, eprinttype = {arXiv}, eprint = {2104.07060}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01688, author = {Anusua Trivedi and Mohit Jain and Nikhil Kumar Gupta and Markus Hinsche and Prashant Singh and Markus Matiaschek and Tristan Behrens and Mirco Militeri and Cameron Birge and Shivangi Kaushik and Archisman Mohapatra and Rita Chatterjee and Rahul Dodhia and Juan Lavista Ferres}, title = {Height Estimation of Children under Five Years using Depth Images}, journal = {CoRR}, volume = {abs/2105.01688}, year = {2021}, url = {https://arxiv.org/abs/2105.01688}, eprinttype = {arXiv}, eprint = {2105.01688}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01688.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-04615, author = {Mohit Kumar}, title = {Differentially Private Transfer Learning with Conditionally Deep Autoencoders}, journal = {CoRR}, volume = {abs/2105.04615}, year = {2021}, url = {https://arxiv.org/abs/2105.04615}, eprinttype = {arXiv}, eprint = {2105.04615}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09046, author = {Vaishali V. Ingale and Anush Mohan and Divit Adlakha and Krishna Kumar and Mohit Gupta}, title = {Music Generation using Three layered {LSTM}}, journal = {CoRR}, volume = {abs/2105.09046}, year = {2021}, url = {https://arxiv.org/abs/2105.09046}, eprinttype = {arXiv}, eprint = {2105.09046}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09046.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06046, author = {Mohit Kumar and Bernhard Alois Moser and Lukas Fischer and Bernhard Freudenthaler}, title = {Information Theoretic Evaluation of Privacy-Leakage, Interpretability, and Transferability for a Novel Trustworthy {AI} Framework}, journal = {CoRR}, volume = {abs/2106.06046}, year = {2021}, url = {https://arxiv.org/abs/2106.06046}, eprinttype = {arXiv}, eprint = {2106.06046}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06046.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07867, author = {Mohit Agrawal and Pragyan Mehrotra and Rajesh Kumar and Rajiv Ratn Shah}, title = {Defending Touch-based Continuous Authentication Systems from Active Adversaries Using Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/2106.07867}, year = {2021}, url = {https://arxiv.org/abs/2106.07867}, eprinttype = {arXiv}, eprint = {2106.07867}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-05104, author = {Mohit Chandra and Manvith Reddy and Shradha Sehgal and Saurabh Gupta and Arun Balaji Buduru and Ponnurangam Kumaraguru}, title = {"A Virus Has No Religion": Analyzing Islamophobia on Twitter During the {COVID-19} Outbreak}, journal = {CoRR}, volume = {abs/2107.05104}, year = {2021}, url = {https://arxiv.org/abs/2107.05104}, eprinttype = {arXiv}, eprint = {2107.05104}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-05104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-07136, author = {Mohit Kumar and Samuel Kolb and Luc De Raedt and Stefano Teso}, title = {Learning Mixed-Integer Linear Programs from Contextual Examples}, journal = {CoRR}, volume = {abs/2107.07136}, year = {2021}, url = {https://arxiv.org/abs/2107.07136}, eprinttype = {arXiv}, eprint = {2107.07136}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-07136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-12701, author = {Mohit Vohra and Ashish Kumar and Ravi Prakash and Laxmidhar Behera}, title = {End-To-End Real-Time Visual Perception Framework for Construction Automation}, journal = {CoRR}, volume = {abs/2107.12701}, year = {2021}, url = {https://arxiv.org/abs/2107.12701}, eprinttype = {arXiv}, eprint = {2107.12701}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-12701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-00640, author = {Kalpit Yadav and Vipul Arora and Sonu Kumar Jha and Mohit Kumar and Sachchida Nand Tripathi}, title = {Few-shot calibration of low-cost air pollution {(PM2.5)} sensors using meta-learning}, journal = {CoRR}, volume = {abs/2108.00640}, year = {2021}, url = {https://arxiv.org/abs/2108.00640}, eprinttype = {arXiv}, eprint = {2108.00640}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-00640.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-05470, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {DRo: {A} data-scarce mechanism to revolutionize the performance of Deep Learning based Security Systems}, journal = {CoRR}, volume = {abs/2109.05470}, year = {2021}, url = {https://arxiv.org/abs/2109.05470}, eprinttype = {arXiv}, eprint = {2109.05470}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-05470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-11500, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {{LSTM} Hyper-Parameter Selection for Malware Detection: Interaction Effects and Hierarchical Selection Approach}, journal = {CoRR}, volume = {abs/2109.11500}, year = {2021}, url = {https://arxiv.org/abs/2109.11500}, eprinttype = {arXiv}, eprint = {2109.11500}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-11500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-11542, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {ADVERSARIALuscator: An Adversarial-DRL Based Obfuscator and Metamorphic Malware SwarmGenerator}, journal = {CoRR}, volume = {abs/2109.11542}, year = {2021}, url = {https://arxiv.org/abs/2109.11542}, eprinttype = {arXiv}, eprint = {2109.11542}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-11542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12296, author = {Mohit Singhal and Nihal Kumarswamy and Shreyasi Kinhekar and Shirin Nilizadeh}, title = {The Prevalence of Cybersecurity Misinformation on Social Media: Case Studies on Phishing Reports and Zoom's Threats}, journal = {CoRR}, volume = {abs/2110.12296}, year = {2021}, url = {https://arxiv.org/abs/2110.12296}, eprinttype = {arXiv}, eprint = {2110.12296}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12296.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BajajSASSM20, author = {Mohit Bajaj and Amit Kumar Singh and Majed Alowaidi and Naveen Kumar Sharma and Sunil Kumar Sharma and Shailendra Mishra}, title = {Power Quality Assessment of Distorted Distribution Networks Incorporating Renewable Distributed Generation Systems Based on the Analytic Hierarchy Process}, journal = {{IEEE} Access}, volume = {8}, pages = {145713--145737}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3014288}, doi = {10.1109/ACCESS.2020.3014288}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BajajSASSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KabirGKYH20, author = {Sohag Kabir and Tan Kim Geok and Mohit Kumar and Mohammad Yazdi and Ferdous Hossain}, title = {A Method for Temporal Fault Tree Analysis Using Intuitionistic Fuzzy Set and Expert Elicitation}, journal = {{IEEE} Access}, volume = {8}, pages = {980--996}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2019.2961953}, doi = {10.1109/ACCESS.2019.2961953}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KabirGKYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cdm/AshrafKM20, author = {Mohammad Ashraf and Mohit Kumar and Ghulam Mohammad}, title = {A subspace based subspace inclusion graph on vector space}, journal = {Contributions Discret. Math.}, volume = {15}, number = {2}, pages = {73--83}, year = {2020}, url = {https://cdm.ucalgary.ca/article/view/62857}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cdm/AshrafKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdats/Kumar20, author = {Mohit Kumar}, title = {Measuring Pearson's correlation coefficient of fuzzy numbers with different membership functions under weakest t-norm}, journal = {Int. J. Data Anal. Tech. Strateg.}, volume = {12}, number = {2}, pages = {172--186}, year = {2020}, url = {https://doi.org/10.1504/IJDATS.2020.106642}, doi = {10.1504/IJDATS.2020.106642}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdats/Kumar20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/DhagarraGK20, author = {Devendra Dhagarra and Mohit Goswami and Gopal Kumar}, title = {Impact of Trust and Privacy Concerns on Technology Acceptance in Healthcare: An Indian Perspective}, journal = {Int. J. Medical Informatics}, volume = {141}, pages = {104164}, year = {2020}, url = {https://doi.org/10.1016/j.ijmedinf.2020.104164}, doi = {10.1016/J.IJMEDINF.2020.104164}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/DhagarraGK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/KumawatCKSV20, author = {Mahesh Kumawat and Mohit Singh Choudhary and Ravi Kumar and Gaurav Singh and Santosh Kumar Vishvakarma}, title = {A Novel {CML} Latch-Based Wave-Pipelined Asynchronous SerDes Transceiver for Low-Power Application}, journal = {J. Circuits Syst. Comput.}, volume = {29}, number = {7}, pages = {2050110:1--2050110:14}, year = {2020}, url = {https://doi.org/10.1142/S0218126620501108}, doi = {10.1142/S0218126620501108}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/KumawatCKSV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/KumarMA20, author = {Mohit Kumar and Sonu Mittal and Mohammad Amir Khusru Akhtar}, title = {A {NSGA-II} Based Energy Efficient Routing Algorithm for Wireless Sensor Networks}, journal = {J. Inf. Sci. Eng.}, volume = {36}, number = {4}, pages = {777--794}, year = {2020}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=175\_2337}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jise/KumarMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/PandaDP20, author = {Mohit Ranjan Panda and Pradipta Kumar Das and Saroj Pradhan}, title = {Hybridization of {IWO} and {IPSO} for mobile robots navigation in a dynamic environment}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {32}, number = {9}, pages = {1020--1033}, year = {2020}, url = {https://doi.org/10.1016/j.jksuci.2017.12.009}, doi = {10.1016/J.JKSUCI.2017.12.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/PandaDP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/DuaAB20, author = {Mohit Dua and Rajesh Kumar Aggarwal and Mantosh Biswas}, title = {Discriminative Training Using Noise Robust Integrated Features and Refined {HMM} Modeling}, journal = {J. Intell. Syst.}, volume = {29}, number = {1}, pages = {327--344}, year = {2020}, url = {https://doi.org/10.1515/jisys-2017-0618}, doi = {10.1515/JISYS-2017-0618}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jois/DuaAB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/DuaAB20a, author = {Mohit Dua and Rajesh Kumar Aggarwal and Mantosh Biswas}, title = {Optimizing Integrated Features for Hindi Automatic Speech Recognition System}, journal = {J. Intell. Syst.}, volume = {29}, number = {1}, pages = {959--976}, year = {2020}, url = {https://doi.org/10.1515/jisys-2018-0057}, doi = {10.1515/JISYS-2018-0057}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jois/DuaAB20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/Kumar20, author = {Mohit Kumar}, title = {A Novel Weakest t-norm based Fuzzy Fault Tree Analysis Through Qualitative Data Processing and Its Application in System Reliability Evaluation}, journal = {J. Intell. Syst.}, volume = {29}, number = {1}, pages = {977--993}, year = {2020}, url = {https://doi.org/10.1515/jisys-2018-0159}, doi = {10.1515/JISYS-2018-0159}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jois/Kumar20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KumarSY20, author = {Sanjay Kumar and Binod Kumar Singh and Mohit Yadav}, title = {A Recent Survey on Multimedia and Database Watermarking}, journal = {Multim. Tools Appl.}, volume = {79}, number = {27-28}, pages = {20149--20197}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-08881-y}, doi = {10.1007/S11042-020-08881-Y}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KumarSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KumarS20, author = {Mohit Kumar and Subhash C. Sharma}, title = {PSO-based novel resource scheduling technique to improve QoS parameters in cloud computing}, journal = {Neural Comput. Appl.}, volume = {32}, number = {16}, pages = {12103--12126}, year = {2020}, url = {https://doi.org/10.1007/s00521-019-04266-x}, doi = {10.1007/S00521-019-04266-X}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/KumarS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KumarSGMH20, author = {Mohit Kumar and Subhash Chander Sharma and Shalini Sharma Goel and Sambit Kumar Mishra and Akhtar Husain}, title = {Autonomic cloud resource provisioning and scheduling using meta-heuristic algorithm}, journal = {Neural Comput. Appl.}, volume = {32}, number = {24}, pages = {18285--18303}, year = {2020}, url = {https://doi.org/10.1007/s00521-020-04955-y}, doi = {10.1007/S00521-020-04955-Y}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/KumarSGMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/TripathiCLJ20, author = {Shubham Tripathi and Priyanka Chakraborty and Herbert Levine and Mohit Kumar Jolly}, title = {A mechanism for epithelial-mesenchymal heterogeneity in a population of cancer cells}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {2}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1007619}, doi = {10.1371/JOURNAL.PCBI.1007619}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/TripathiCLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sap/SharmaN20, author = {Mohit Kumar Sharma and Manisha J. Nene}, title = {Two-factor authentication using biometric based quantum operations}, journal = {Secur. Priv.}, volume = {3}, number = {3}, year = {2020}, url = {https://doi.org/10.1002/spy2.102}, doi = {10.1002/SPY2.102}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sap/SharmaN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sap/SharmaN20a, author = {Mohit Kumar Sharma and Manisha J. Nene}, title = {Dual factor third-party biometric-based authentication scheme using quantum one time passwords{\textdagger}}, journal = {Secur. Priv.}, volume = {3}, number = {6}, year = {2020}, url = {https://doi.org/10.1002/spy2.129}, doi = {10.1002/SPY2.129}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sap/SharmaN20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChowdharyMKPM20, author = {Chiranji Lal Chowdhary and Mohit Mittal and P. Kumaresan and Priyadarshini Adyasha Pattanaik and Zbigniew Marszalek}, title = {An Efficient Segmentation and Classification System in Medical Images Using Intuitionist Possibilistic Fuzzy C-Mean Clustering and Fuzzy {SVM} Algorithm}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3903}, year = {2020}, url = {https://doi.org/10.3390/s20143903}, doi = {10.3390/S20143903}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChowdharyMKPM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JavedSKIMK20, author = {Abdul Rehman Javed and Muhammad Usman Sarwar and Suleman Khan and Celestine Iwendi and Mohit Mittal and Neeraj Kumar}, title = {Analyzing the Effectiveness and Contribution of Each Axis of Tri-Axial Accelerometer Sensor for Accurate Activity Recognition}, journal = {Sensors}, volume = {20}, number = {8}, pages = {2216}, year = {2020}, url = {https://doi.org/10.3390/s20082216}, doi = {10.3390/S20082216}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JavedSKIMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/AgarwalGB20, author = {Mohit Agarwal and Suneet K. Gupta and K. K. Biswas}, title = {Development of Efficient {CNN} model for Tomato crop disease identification}, journal = {Sustain. Comput. Informatics Syst.}, volume = {28}, pages = {100407}, year = {2020}, url = {https://doi.org/10.1016/j.suscom.2020.100407}, doi = {10.1016/J.SUSCOM.2020.100407}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/AgarwalGB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarF20, author = {Mohit Kumar and Bernhard Freudenthaler}, title = {Fuzzy Membership Functional Analysis for Nonparametric Deep Models of Image Features}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {28}, number = {12}, pages = {3345--3359}, year = {2020}, url = {https://doi.org/10.1109/TFUZZ.2019.2950636}, doi = {10.1109/TFUZZ.2019.2950636}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KumarC20, author = {Mohit Kumar and V. Chandrasekar}, title = {Intrapulse Polyphase Coding System for Second Trip Suppression in a Weather Radar}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {58}, number = {6}, pages = {3841--3853}, year = {2020}, url = {https://doi.org/10.1109/TGRS.2019.2958602}, doi = {10.1109/TGRS.2019.2958602}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KumarC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/KumarB20, author = {Mohit Kumar and Ashish Kumar Bhandari}, title = {Contrast Enhancement Using Novel White Balancing Parameter Optimization for Perceptually Invisible Images}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {7525--7536}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.3004036}, doi = {10.1109/TIP.2020.3004036}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/KumarB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/MadanGA20, author = {Mohit Madan and Agrim Gupta and Kumar Appaiah}, title = {Scalar Feedback-Based Joint Time-Frequency Precoder Interpolation for {MIMO-OFDM} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {9}, number = {9}, pages = {1562--1566}, year = {2020}, url = {https://doi.org/10.1109/LWC.2020.2997763}, doi = {10.1109/LWC.2020.2997763}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/MadanGA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SrivastavaSSS20, author = {Mohit Kumar Srivastava and Manoj Kumar Shukla and Neelam Srivastava and Ashok Kumar Shankhwar}, title = {A Hybrid Scheme for Low {PAPR} in Filter Bank Multi Carrier Modulation}, journal = {Wirel. Pers. Commun.}, volume = {113}, number = {2}, pages = {1009--1028}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07265-7}, doi = {10.1007/S11277-020-07265-7}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/SrivastavaSSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/5gwf/SinghVF20, author = {Mohit Kumar Singh and Shwetha Vittal and A. Antony Franklin}, title = {{SERENS:} Self Regulating Network Slicing in 5G for Efficient Resource Utilization}, booktitle = {3rd {IEEE} 5G World Forum, 5GWF 2020, Bangalore, India, September 10-12, 2020}, pages = {590--595}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/5GWF49715.2020.9221405}, doi = {10.1109/5GWF49715.2020.9221405}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/5gwf/SinghVF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KumarKTR20, author = {Mohit Kumar and Samuel Kolb and Stefano Teso and Luc De Raedt}, title = {Learning {MAX-SAT} from Contextual Examples for Combinatorial Optimisation}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {4493--4500}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.5877}, doi = {10.1609/AAAI.V34I04.5877}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KumarKTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/SinghalKSS0K20, author = {Shivangi Singhal and Anubha Kabra and Mohit Sharma and Rajiv Ratn Shah and Tanmoy Chakraborty and Ponnurangam Kumaraguru}, title = {SpotFake+: {A} Multimodal Framework for Fake News Detection via Transfer Learning (Student Abstract)}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {13915--13916}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i10.7230}, doi = {10.1609/AAAI.V34I10.7230}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/SinghalKSS0K20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigmm/UdandaraoAKS20, author = {Vishaal Udandarao and Mohit Agrawal and Rajesh Kumar and Rajiv Ratn Shah}, title = {On the Inference of Soft Biometrics from Typing Patterns Collected in a Multi-device Environment}, booktitle = {6th {IEEE} International Conference on Multimedia Big Data, BigMM 2020, New Delhi, India, September 24-26, 2020}, pages = {76--85}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigMM50055.2020.00021}, doi = {10.1109/BIGMM50055.2020.00021}, timestamp = {Wed, 28 Oct 2020 09:58:11 +0100}, biburl = {https://dblp.org/rec/conf/bigmm/UdandaraoAKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/broadnets/RathoreSRS20, author = {Hemant Rathore and Sanjay K. Sahay and Ritvik Rajvanshi and Mohit Sewak}, editor = {Honghao Gao and Ram{\'{o}}n J. Dur{\'{a}}n Barroso and Shanchen Pang and Rui Li}, title = {Identification of Significant Permissions for Efficient Android Malware Detection}, booktitle = {Broadband Communications, Networks, and Systems - 11th {EAI} International Conference, {BROADNETS} 2020, Qingdao, China, December 11-12, 2020, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {355}, pages = {33--52}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68737-3\_3}, doi = {10.1007/978-3-030-68737-3\_3}, timestamp = {Tue, 12 Oct 2021 13:35:55 +0200}, biburl = {https://dblp.org/rec/conf/broadnets/RathoreSRS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/broadnets/RathoreSTS20, author = {Hemant Rathore and Sanjay K. Sahay and Shivin Thukral and Mohit Sewak}, editor = {Honghao Gao and Ram{\'{o}}n J. Dur{\'{a}}n Barroso and Shanchen Pang and Rui Li}, title = {Detection of Malicious Android Applications: Classical Machine Learning vs. Deep Neural Network Integrated with Clustering}, booktitle = {Broadband Communications, Networks, and Systems - 11th {EAI} International Conference, {BROADNETS} 2020, Qingdao, China, December 11-12, 2020, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {355}, pages = {109--128}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68737-3\_7}, doi = {10.1007/978-3-030-68737-3\_7}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/broadnets/RathoreSTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChandraPDJGSK20, author = {Mohit Chandra and Ashwin Pathak and Eesha Dutta and Paryul Jain and Manish Gupta and Manish Shrivastava and Ponnurangam Kumaraguru}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {AbuseAnalyzer: Abuse Detection, Severity and Target Prediction for Gab Posts}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {6277--6283}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.552}, doi = {10.18653/V1/2020.COLING-MAIN.552}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coling/ChandraPDJGSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dev/KumarNHT20, author = {Mohit Kumar and Chinmay Narayan and Sudheendra Hangal and Priyamvada Trivedi}, title = {LokDhaba: Acquiring, Visualizing and Disseminating Data on Indian Elections}, booktitle = {Proceedings of the 3rd {ACM} {SIGCAS} Conference on Computing and Sustainable Societies, {COMPASS} 2020, Guayaquil, Ecuador, June 15-17, 2020}, pages = {243--253}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3378393.3402285}, doi = {10.1145/3378393.3402285}, timestamp = {Mon, 20 Jul 2020 16:25:11 +0200}, biburl = {https://dblp.org/rec/conf/dev/KumarNHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/KumarBMF20, author = {Mohit Kumar and David Brunner and Bernhard Alois Moser and Bernhard Freudenthaler}, editor = {Gabriele Kotsis and A Min Tjoa and Ismail Khalil and Lukas Fischer and Bernhard Moser and Atif Mashkoor and Johannes Sametinger and Anna Fensel and Jorge Mart{\'{\i}}nez Gil}, title = {Variational Optimization of Informational Privacy}, booktitle = {Database and Expert Systems Applications - {DEXA} 2020 International Workshops BIOKDD, {IWCFS} and MLKgraphs, Bratislava, Slovakia, September 14-17, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1285}, pages = {32--47}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59028-4\_4}, doi = {10.1007/978-3-030-59028-4\_4}, timestamp = {Mon, 26 Jun 2023 20:42:59 +0200}, biburl = {https://dblp.org/rec/conf/dexaw/KumarBMF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/AhujaBBCGLMSSS20, author = {Mohit Kumar Ahuja and Mohamed{-}Bachir Belaid and Pierre Bernab{\'{e}} and Mathieu Collet and Arnaud Gotlieb and Chhagan Lal and Dusica Marijan and Sagar Sen and Aizaz Sharif and Helge Spieker}, editor = {Alessandro Saffiotti and Luciano Serafini and Paul Lukowicz}, title = {Opening the software engineering toolbox for the assessment of trustworthy {AI}}, booktitle = {Proceedings of the First International Workshop on New Foundations for Human-Centered {AI} (NeHuAI) co-located with 24th European Conference on Artificial Intelligence {(ECAI} 2020), Santiago de Compostella, Spain, September 4, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2659}, pages = {67--70}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2659/ahuja.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/ecai/AhujaBBCGLMSSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/MajiPTKT20, author = {Subhadeep Maji and Priyank Patel and Bharat Thakarar and Mohit Kumar and Krishna Azad Tripathi}, editor = {Joemon M. Jose and Emine Yilmaz and Jo{\~{a}}o Magalh{\~{a}}es and Pablo Castells and Nicola Ferro and M{\'{a}}rio J. Silva and Fl{\'{a}}vio Martins}, title = {A Regularised Intent Model for Discovering Multiple Intents in E-Commerce Tail Queries}, booktitle = {Advances in Information Retrieval - 42nd European Conference on {IR} Research, {ECIR} 2020, Lisbon, Portugal, April 14-17, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12035}, pages = {651--665}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-45439-5\_43}, doi = {10.1007/978-3-030-45439-5\_43}, timestamp = {Wed, 16 Mar 2022 23:55:03 +0100}, biburl = {https://dblp.org/rec/conf/ecir/MajiPTKT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JiangBZD0B20, author = {Yichen Jiang and Shikha Bordia and Zheng Zhong and Charles Dognin and Maneesh Kumar Singh and Mohit Bansal}, editor = {Trevor Cohn and Yulan He and Yang Liu}, title = {HoVer: {A} Dataset for Many-Hop Fact Extraction And Claim Verification}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2020, Online Event, 16-20 November 2020}, series = {Findings of {ACL}}, volume = {{EMNLP} 2020}, pages = {3441--3460}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.findings-emnlp.309}, doi = {10.18653/V1/2020.FINDINGS-EMNLP.309}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JiangBZD0B20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/SrivastavaTDKD20, author = {Vishal Srivastava and Priyam Tejaswin and Lucky Dhakad and Mohit Kumar and Amar Dani}, editor = {Chang{-}Tien Lu and Fusheng Wang and Goce Trajcevski and Yan Huang and Shawn D. Newsam and Li Xiong}, title = {A Geocoding Framework Powered by Delivery Data}, booktitle = {{SIGSPATIAL} '20: 28th International Conference on Advances in Geographic Information Systems, Seattle, WA, USA, November 3-6, 2020}, pages = {568--577}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397536.3422254}, doi = {10.1145/3397536.3422254}, timestamp = {Wed, 04 May 2022 13:02:28 +0200}, biburl = {https://dblp.org/rec/conf/gis/SrivastavaTDKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/SewakSR20, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Gregory D. Abowd and Flora D. Salim}, title = {{DOOM:} a novel adversarial-DRL-based op-code level metamorphic malware obfuscator for the enhancement of {IDS}}, booktitle = {UbiComp/ISWC '20: 2020 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2020 {ACM} International Symposium on Wearable Computers, Virtual Event, Mexico, September 12-17, 2020}, pages = {131--134}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410530.3414411}, doi = {10.1145/3410530.3414411}, timestamp = {Tue, 22 Sep 2020 15:47:56 +0200}, biburl = {https://dblp.org/rec/conf/huc/SewakSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciis/WadhwaKMKS20, author = {Gourav Wadhwa and Amandeep Kharb and Satyam Mishra and Mohit Kumar and Shreyansh Srivastav}, title = {A Comprehensive Survey on Real-Time Voltage Stability Assessment for Power Systems}, booktitle = {15th {IEEE} International Conference on Industrial and Information Systems, {ICIIS} 2020, Rupnagar, India, November 26-28, 2020}, pages = {121--126}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIIS51140.2020.9342706}, doi = {10.1109/ICIIS51140.2020.9342706}, timestamp = {Mon, 09 Aug 2021 09:51:51 +0200}, biburl = {https://dblp.org/rec/conf/iciis/WadhwaKMKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KumarCJ20, author = {Mohit Kumar and V. Chandrasekar and Shashank S. Joshil}, title = {Polyphase Coding for Weather Radars}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {6582--6585}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324515}, doi = {10.1109/IGARSS39084.2020.9324515}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KumarCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SinghMSP20, author = {Dineshkumar Singh and Jayantrao Mohite and Suryakant A. Sawant and Srinivasu Pappula}, title = {Monitoring and Analysis of Viirs Fire Events Data Over Indian States of Punjab and Haryana}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {4538--4541}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324594}, doi = {10.1109/IGARSS39084.2020.9324594}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/SinghMSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KumarZL0S20, author = {Mohit Kumar and Xingzhou Zhang and Liangkai Liu and Yifan Wang and Weisong Shi}, title = {Energy-Efficient Machine Learning on the Edges}, booktitle = {2020 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2020, New Orleans, LA, USA, May 18-22, 2020}, pages = {912--921}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPDPSW50202.2020.00153}, doi = {10.1109/IPDPSW50202.2020.00153}, timestamp = {Wed, 05 Aug 2020 14:05:53 +0200}, biburl = {https://dblp.org/rec/conf/ipps/KumarZL0S20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KumarVPB20, author = {Ashish Kumar and Mohit Vohra and Ravi Prakash and Laxmidhar Behera}, title = {Towards Deep Learning Assisted Autonomous UAVs for Manipulation Tasks in GPS-Denied Environments}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {1613--1620}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9341802}, doi = {10.1109/IROS45743.2020.9341802}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/KumarVPB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism2/ZellingerW0BSGL20, author = {Werner Zellinger and Volkmar Wieser and Mohit Kumar and David Brunner and Natalia Shepeleva and Rafa G{\'{a}}lvez and Josef Langer and Lukas Fischer and Bernhard Moser}, editor = {Francesco Longo and Michael Affenzeller and Antonio Padovano}, title = {Beyond federated learning: On confidentiality-critical machine learning applications in industry}, booktitle = {Proceedings of the 2nd International Conference on Industry 4.0 and Smart Manufacturing {(ISM} 2020), Virtual Event, Austria, 23-25 November 2020}, series = {Procedia Computer Science}, volume = {180}, pages = {734--743}, publisher = {Elsevier}, year = {2020}, url = {https://doi.org/10.1016/j.procs.2021.01.296}, doi = {10.1016/J.PROCS.2021.01.296}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism2/ZellingerW0BSGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliDBBDKMBPP20, author = {Ahmed M. A. Ali and H{\"{u}}seyin Dinc and Paritosh Bhoraskar and Scott Bardsley and Christopher Dillon and Mohit Kumar and Matthew McShea and Ryan Bunch and Joel Prabhakar and Scott Puckett}, title = {16.1 {A} 12b 18GS/s {RF} Sampling {ADC} with an Integrated Wideband Track-and-Hold Amplifier and Background Calibration}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {250--252}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063011}, doi = {10.1109/ISSCC19947.2020.9063011}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliDBBDKMBPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KumarHSKSZ20, author = {Mohit Kumar and Arne{-}Christoph Hildebrandt and Peter Strauss and Sven Kraus and Christoph Stiller and Andreas Zimmermann}, title = {An Optimal Lateral Trajectory Stabilization of Vehicle using Differential Dynamic Programming}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, pages = {623--630}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV47402.2020.9304582}, doi = {10.1109/IV47402.2020.9304582}, timestamp = {Fri, 15 Jan 2021 15:43:41 +0100}, biburl = {https://dblp.org/rec/conf/ivs/KumarHSKSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KumarHSKSZ20a, author = {Mohit Kumar and Arne{-}Christoph Hildebrandt and Peter Strauss and Sven Kraus and Christoph Stiller and Andreas Zimmermann}, title = {Lateral Trajectory Stabilization of an Articulated Truck during Reverse Driving Maneuvers}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, pages = {744--751}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV47402.2020.9304691}, doi = {10.1109/IV47402.2020.9304691}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ivs/KumarHSKSZ20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/VittalSF20, author = {Shwetha Vittal and Mohit Kumar Singh and A. Antony Franklin}, editor = {Filip De Turck and Prosper Chemouil and Tim Wauters and Mohamed Faten Zhani and Walter Cerroni and Rafael Pasquini and Zuqing Zhu}, title = {Adaptive Network Slicing with Multi-Site Deployment in 5G Core Networks}, booktitle = {6th {IEEE} Conference on Network Softwarization, NetSoft 2020, Ghent, Belgium, June 29 - July 3, 2020}, pages = {227--231}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NetSoft48620.2020.9165512}, doi = {10.1109/NETSOFT48620.2020.9165512}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netsoft/VittalSF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/SewakSR20, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {DeepIntent: ImplicitIntent based Android {IDS} with {E2E} Deep Learning architecture}, booktitle = {31st {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2020, London, United Kingdom, August 31 - September 3, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PIMRC48278.2020.9217188}, doi = {10.1109/PIMRC48278.2020.9217188}, timestamp = {Wed, 14 Oct 2020 09:33:11 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/SewakSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/GautraisDKJ0TWV20, author = {Cl{\'{e}}ment Gautrais and Yann Dauxais and Samuel Kolb and Arcchit Jain and Mohit Kumar and Stefano Teso and Elia Van Wolputte and Gust Verbruggen and Luc De Raedt}, editor = {Yuxiao Dong and Georgiana Ifrim and Dunja Mladenic and Craig Saunders and Sofie Van Hoecke}, title = {VisualSynth: Democratizing Data Science in Spreadsheets}, booktitle = {Machine Learning and Knowledge Discovery in Databases. Applied Data Science and Demo Track - European Conference, {ECML} {PKDD} 2020, Ghent, Belgium, September 14-18, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12461}, pages = {550--554}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67670-4\_37}, doi = {10.1007/978-3-030-67670-4\_37}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/GautraisDKJ0TWV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KumarE20, author = {Mohit Kumar and Christian Engelmann}, title = {Models for Resilience Design Patterns}, booktitle = {10th {IEEE/ACM} Workshop on Fault Tolerance for {HPC} at eXtreme Scale, FTXS@SC 2020, Atlanta, GA, USA, November 11, 2020}, pages = {21--30}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FTXS51974.2020.00008}, doi = {10.1109/FTXS51974.2020.00008}, timestamp = {Fri, 30 Apr 2021 12:35:39 +0200}, biburl = {https://dblp.org/rec/conf/sc/KumarE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/RathoreSS20, author = {Hemant Rathore and Sanjay K. Sahay and Mohit Sewak}, editor = {Jin Nakazawa and Polly Huang}, title = {How robust are malware detection models for Android smartphones against adversarial attacks?: poster abstract}, booktitle = {SenSys '20: The 18th {ACM} Conference on Embedded Networked Sensor Systems, Virtual Event, Japan, November 16-19, 2020}, pages = {683--684}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3384419.3430462}, doi = {10.1145/3384419.3430462}, timestamp = {Wed, 04 May 2022 13:03:25 +0200}, biburl = {https://dblp.org/rec/conf/sensys/RathoreSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/NassarSOCKP20, author = {Lobna Nassar and Muhammad Saad and Ifeanyi Emmanuel Okwuchi and Mohita Chaudhary and Fakhri Karray and Kumaraswamy Ponnambalam}, title = {Imputation Impact on Strawberry Yield and Farm Price Prediction Using Deep Learning}, booktitle = {2020 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2020, Toronto, ON, Canada, October 11-14, 2020}, pages = {3599--3605}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SMC42975.2020.9283383}, doi = {10.1109/SMC42975.2020.9283383}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/NassarSOCKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/SewakSR20, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Assessment of the Relative Importance of different hyper-parameters of {LSTM} for an {IDS}}, booktitle = {2020 {IEEE} Region 10 Conference, {TENCON} 2020, Osaka, Japan, November 16-19, 2020}, pages = {414--419}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/TENCON50793.2020.9293731}, doi = {10.1109/TENCON50793.2020.9293731}, timestamp = {Thu, 28 Jan 2021 11:14:07 +0100}, biburl = {https://dblp.org/rec/conf/tencon/SewakSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/um/KumarRMF20, author = {Mohit Kumar and Michael Rossbory and Bernhard Alois Moser and Bernhard Freudenthaler}, editor = {Tsvi Kuflik and Ilaria Torre and Robin Burke and Cristina Gena}, title = {Differentially Private Learning of Distributed Deep Models}, booktitle = {Adjunct Publication of the 28th {ACM} Conference on User Modeling, Adaptation and Personalization, {UMAP} 2020, Genoa, Italy, July 12-18, 2020}, pages = {193--200}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386392.3399562}, doi = {10.1145/3386392.3399562}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/um/KumarRMF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-05856, author = {Siddhartha Vibhu Pharswan and Mohit Vohra and Ashish Kumar and Laxmidhar Behera}, title = {Domain Independent Unsupervised Learning to grasp the Novel Objects}, journal = {CoRR}, volume = {abs/2001.05856}, year = {2020}, url = {https://arxiv.org/abs/2001.05856}, eprinttype = {arXiv}, eprint = {2001.05856}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-05856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-07062, author = {Phani Kumar Nyshadham and Mohit Sinha and Biswajit Mishra and H. S. Vijay}, title = {An optimal scheduling architecture for accelerating batch algorithms on Neural Network processor architectures}, journal = {CoRR}, volume = {abs/2002.07062}, year = {2020}, url = {https://arxiv.org/abs/2002.07062}, eprinttype = {arXiv}, eprint = {2002.07062}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-07062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-02438, author = {Mohit Lamba and Kranthi Kumar and Kaushik Mitra}, title = {Harnessing Multi-View Perspective of Light Fields for Low-Light Imaging}, journal = {CoRR}, volume = {abs/2003.02438}, year = {2020}, url = {https://arxiv.org/abs/2003.02438}, eprinttype = {arXiv}, eprint = {2003.02438}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-02438.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-09501, author = {Vishaal Udandarao and Mohit Agrawal and Rajesh Kumar and Rajiv Ratn Shah}, title = {On the Inference of Soft Biometrics from Typing Patterns Collected in a Multi-device Environment}, journal = {CoRR}, volume = {abs/2006.09501}, year = {2020}, url = {https://arxiv.org/abs/2006.09501}, eprinttype = {arXiv}, eprint = {2006.09501}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-09501.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-05942, author = {Mohit Dandekar and Narinder Singh Punn and Sanjay Kumar Sonbhadra and Sonali Agarwal}, title = {Fruit classification using deep feature maps in the presence of deceptive similar classes}, journal = {CoRR}, volume = {abs/2007.05942}, year = {2020}, url = {https://arxiv.org/abs/2007.05942}, eprinttype = {arXiv}, eprint = {2007.05942}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-05942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-07768, author = {Mohit Kumar Ahuja and Mohamed{-}Bachir Belaid and Pierre Bernab{\'{e}} and Mathieu Collet and Arnaud Gotlieb and Chhagan Lal and Dusica Marijan and Sagar Sen and Aizaz Sharif and Helge Spieker}, title = {Opening the Software Engineering Toolbox for the Assessment of Trustworthy {AI}}, journal = {CoRR}, volume = {abs/2007.07768}, year = {2020}, url = {https://arxiv.org/abs/2007.07768}, eprinttype = {arXiv}, eprint = {2007.07768}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-07768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-10018, author = {Teodora Popordanoska and Mohit Kumar and Stefano Teso}, title = {Toward Machine-Guided, Human-Initiated Explanatory Interactive Learning}, journal = {CoRR}, volume = {abs/2007.10018}, year = {2020}, url = {https://arxiv.org/abs/2007.10018}, eprinttype = {arXiv}, eprint = {2007.10018}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-10018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-09723, author = {Teodora Popordanoska and Mohit Kumar and Stefano Teso}, title = {Machine Guides, Human Supervises: Interactive Learning with Global Explanations}, journal = {CoRR}, volume = {abs/2009.09723}, year = {2020}, url = {https://arxiv.org/abs/2009.09723}, eprinttype = {arXiv}, eprint = {2009.09723}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-09723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-00038, author = {Mohit Chandra and Ashwin Pathak and Eesha Dutta and Paryul Jain and Manish Gupta and Manish Shrivastava and Ponnurangam Kumaraguru}, title = {AbuseAnalyzer: Abuse Detection, Severity and Target Prediction for Gab Posts}, journal = {CoRR}, volume = {abs/2010.00038}, year = {2020}, url = {https://arxiv.org/abs/2010.00038}, eprinttype = {arXiv}, eprint = {2010.00038}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-00038.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-08607, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {DeepIntent: ImplicitIntent based Android {IDS} with {E2E} Deep Learning architecture}, journal = {CoRR}, volume = {abs/2010.08607}, year = {2020}, url = {https://arxiv.org/abs/2010.08607}, eprinttype = {arXiv}, eprint = {2010.08607}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-08607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-08608, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {{DOOM:} {A} Novel Adversarial-DRL-Based Op-Code Level Metamorphic Malware Obfuscator for the Enhancement of {IDS}}, journal = {CoRR}, volume = {abs/2010.08608}, year = {2020}, url = {https://arxiv.org/abs/2010.08608}, eprinttype = {arXiv}, eprint = {2010.08608}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-08608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-03088, author = {Yichen Jiang and Shikha Bordia and Zheng Zhong and Charles Dognin and Maneesh Singh and Mohit Bansal}, title = {HoVer: {A} Dataset for Many-Hop Fact Extraction And Claim Verification}, journal = {CoRR}, volume = {abs/2011.03088}, year = {2020}, url = {https://arxiv.org/abs/2011.03088}, eprinttype = {arXiv}, eprint = {2011.03088}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-03088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10885, author = {Gourav Wadhwa and Amandeep Kharb and Satyam Mishra and Mohit Kumar and Shreyansh Srivastav}, title = {A Comprehensive Survey on Real-Time Voltage Stability Assessment for Power Systems}, journal = {CoRR}, volume = {abs/2011.10885}, year = {2020}, url = {https://arxiv.org/abs/2011.10885}, eprinttype = {arXiv}, eprint = {2011.10885}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14427, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Assessment of the Relative Importance of different hyper-parameters of {LSTM} for an {IDS}}, journal = {CoRR}, volume = {abs/2012.14427}, year = {2020}, url = {https://arxiv.org/abs/2012.14427}, eprinttype = {arXiv}, eprint = {2012.14427}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14427.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/KumarD19, author = {Mohit Kumar and Jaspreet Singh Dhillon}, title = {A conglomerated ion-motion and crisscross search optimizer for electric power load dispatch}, journal = {Appl. Soft Comput.}, volume = {83}, year = {2019}, url = {https://doi.org/10.1016/j.asoc.2019.105641}, doi = {10.1016/J.ASOC.2019.105641}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/KumarD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdata/0001K19, author = {Weiping Zhang and Mohit Kumar}, title = {Artificial Intelligence in China}, journal = {Big Data}, volume = {7}, number = {2}, pages = {85--86}, year = {2019}, url = {https://doi.org/10.1089/big.2019.29030.edi}, doi = {10.1089/BIG.2019.29030.EDI}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bigdata/0001K19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HeweltLJKMS19, author = {Blake Hewelt and Haiqing Li and Mohit Kumar Jolly and Prakash Kulkarni and Isa Mambetsariev and Ravi Salgia}, title = {The {DNA} walk and its demonstration of deterministic chaos - relevance to genomic alterations in lung cancer}, journal = {Bioinform.}, volume = {35}, number = {16}, pages = {2738--2748}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/bty1021}, doi = {10.1093/BIOINFORMATICS/BTY1021}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HeweltLJKMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ZhangKYZM19, author = {Weiping Zhang and Mohit Kumar and Jingzhi Yang and Yunfeng Zhou and Yihua Mao}, title = {An adaptive fuzzy filter for image denoising}, journal = {Clust. Comput.}, volume = {22}, number = {6}, pages = {14107--14124}, year = {2019}, url = {https://doi.org/10.1007/s10586-018-2253-5}, doi = {10.1007/S10586-018-2253-5}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/ZhangKYZM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ZhangKZYM19, author = {Weiping Zhang and Mohit Kumar and Yunfeng Zhou and Jingzhi Yang and Yihua Mao}, title = {Analytically derived fuzzy membership functions}, journal = {Clust. Comput.}, volume = {22}, number = {5}, pages = {11849--11876}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1503-2}, doi = {10.1007/S10586-017-1503-2}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/ZhangKZYM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-bmt/KumarP19, author = {Mohit Kumar and Niladri B. Puhan}, title = {{RANSAC} lens boundary feature based kernel {SVM} for transparent contact lens detection}, journal = {{IET} Biom.}, volume = {8}, number = {3}, pages = {177--184}, year = {2019}, url = {https://doi.org/10.1049/iet-bmt.2017.0161}, doi = {10.1049/IET-BMT.2017.0161}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-bmt/KumarP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfsa/Kumar19, author = {Mohit Kumar}, title = {Intuitionistic Fuzzy Measures of Correlation Coefficient of Intuitionistic Fuzzy Numbers Under Weakest Triangular Norm}, journal = {Int. J. Fuzzy Syst. Appl.}, volume = {8}, number = {1}, pages = {48--64}, year = {2019}, url = {https://doi.org/10.4018/IJFSA.2019010103}, doi = {10.4018/IJFSA.2019010103}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfsa/Kumar19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmir/MohiteWGV19, author = {Nilima Mohite and Laxman M. Waghmare and Anil Gonde and Santosh Kumar Vipparthi}, title = {3D local circular difference patterns for biomedical image retrieval}, journal = {Int. J. Multim. Inf. Retr.}, volume = {8}, number = {2}, pages = {115--125}, year = {2019}, url = {https://doi.org/10.1007/s13735-019-00170-1}, doi = {10.1007/S13735-019-00170-1}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmir/MohiteWGV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsccps/MohitKS19, author = {Mohit and A. Charan Kumari and Meghna Sharma}, title = {A novel approach to text clustering using shift k-medoid}, journal = {Int. J. Soc. Comput. Cyber Phys. Syst.}, volume = {2}, number = {2}, pages = {106--118}, year = {2019}, url = {https://doi.org/10.1504/IJSCCPS.2019.100186}, doi = {10.1504/IJSCCPS.2019.100186}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsccps/MohitKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsds/GoswamiSK19, author = {Mohit Goswami and P. R. S. Sarma and Gopal Kumar}, title = {Integrating Enablers of Sustainable Freight Transportation and Perishable Commodity Supply Chain}, journal = {Int. J. Strateg. Decis. Sci.}, volume = {10}, number = {2}, pages = {25--48}, year = {2019}, url = {https://doi.org/10.4018/IJSDS.2019040102}, doi = {10.4018/IJSDS.2019040102}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsds/GoswamiSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijufks/Kumar19, author = {Mohit Kumar}, title = {A Novel Weakest t-Norm based Fuzzy Importance Measure for Fuzzy Fault Tree Analysis of Combustion Engineering Reactor Protection System}, journal = {Int. J. Uncertain. Fuzziness Knowl. Based Syst.}, volume = {27}, number = {6}, pages = {949--967}, year = {2019}, url = {https://doi.org/10.1142/S0218488519500429}, doi = {10.1142/S0218488519500429}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijufks/Kumar19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SeokMKAYO19, author = {Chunkyun Seok and Marzana Mantasha Mahmud and Mohit Kumar and Oluwafemi Joel Adelegan and Feysel Yalcin Yamaner and {\"{O}}mer Oralkan}, title = {A Low-Power Wireless Multichannel Gas Sensing System Based on a Capacitive Micromachined Ultrasonic Transducer {(CMUT)} Array}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {1}, pages = {831--843}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2018.2861330}, doi = {10.1109/JIOT.2018.2861330}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/SeokMKAYO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KumarCZYK19, author = {Mohit Kumar and Sromona Chatterjee and Weiping Zhang and Jingzhi Yang and Lutz M. Kolbe}, title = {Fuzzy theoretic model based analysis of image features}, journal = {Inf. Sci.}, volume = {480}, pages = {34--54}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2018.12.024}, doi = {10.1016/J.INS.2018.12.024}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/KumarCZYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/DuaAB19, author = {Mohit Dua and Rajesh Kumar Aggarwal and Mantosh Biswas}, title = {{GFCC} based discriminatively trained noise robust continuous {ASR} system for Hindi language}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {10}, number = {6}, pages = {2301--2314}, year = {2019}, url = {https://doi.org/10.1007/s12652-018-0828-x}, doi = {10.1007/S12652-018-0828-X}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/DuaAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaise/StollWCKA19, author = {Norbert Stoll and Matthias Weippert and Chao{-}Hsien Chu and Mohit Kumar and Hamid Aghajan}, title = {Special Issue on Human-autonomous Devices for Rehabilitation and Assistance}, journal = {J. Ambient Intell. Smart Environ.}, volume = {11}, number = {6}, pages = {553--554}, year = {2019}, url = {https://doi.org/10.3233/AIS-190543}, doi = {10.3233/AIS-190543}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaise/StollWCKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/DashSSK19, author = {Sabyasachi Dash and Sushil Kumar Shakyawar and Mohit Sharma and Sandeep Kaushik}, title = {Big data in healthcare: management, analysis and future prospects}, journal = {J. Big Data}, volume = {6}, pages = {54}, year = {2019}, url = {https://doi.org/10.1186/s40537-019-0217-0}, doi = {10.1186/S40537-019-0217-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbd/DashSSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/Kumar19, author = {Mohit Kumar}, title = {Evaluation of the intuitionistic fuzzy importance of attributes based on the correlation coefficient under weakest triangular norm and application to the hotel services}, journal = {J. Intell. Fuzzy Syst.}, volume = {36}, number = {4}, pages = {3211--3223}, year = {2019}, url = {https://doi.org/10.3233/JIFS-18485}, doi = {10.3233/JIFS-18485}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/Kumar19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/DaultaniGVK19, author = {Yash Daultani and Mohit Goswami and Omkarprasad S. Vaidya and Sushil Kumar}, title = {Inclusive risk modeling for manufacturing firms: a Bayesian network approach}, journal = {J. Intell. Manuf.}, volume = {30}, number = {8}, pages = {2789--2803}, year = {2019}, url = {https://doi.org/10.1007/s10845-017-1374-7}, doi = {10.1007/S10845-017-1374-7}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/DaultaniGVK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/KumarSGS19, author = {Mohit Kumar and Subhash Chander Sharma and Anubhav Goel and Santar Pal Singh}, title = {A comprehensive survey for scheduling techniques in cloud computing}, journal = {J. Netw. Comput. Appl.}, volume = {143}, pages = {1--33}, year = {2019}, url = {https://doi.org/10.1016/j.jnca.2019.06.006}, doi = {10.1016/J.JNCA.2019.06.006}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/KumarSGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/WratRBMD19, author = {Gyan Wrat and Prabhat Ranjan and Mohit Bhola and Santosh Kumar Mishra and J. Das}, title = {Position control and performance analysis of hydraulic system using two pump-controlling strategies}, journal = {J. Syst. Control. Eng.}, volume = {233}, number = {9}, year = {2019}, url = {https://doi.org/10.1177/0959651818813233}, doi = {10.1177/0959651818813233}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsce/WratRBMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/TomarS19, author = {Mohit Singh Tomar and Piyush Kumar Shukla}, title = {Energy Efficient Gravitational Search Algorithm and Fuzzy Based Clustering With Hop Count Based Routing For Wireless Sensor Network}, journal = {Multim. Tools Appl.}, volume = {78}, number = {19}, pages = {27849--27870}, year = {2019}, url = {https://doi.org/10.1007/s11042-019-07844-2}, doi = {10.1007/S11042-019-07844-2}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/TomarS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/DuaAB19, author = {Mohit Dua and Rajesh Kumar Aggarwal and Mantosh Biswas}, title = {Discriminatively trained continuous Hindi speech recognition system using interpolated recurrent neural network language modeling}, journal = {Neural Comput. Appl.}, volume = {31}, number = {10}, pages = {6747--6755}, year = {2019}, url = {https://doi.org/10.1007/s00521-018-3499-9}, doi = {10.1007/S00521-018-3499-9}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/DuaAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZhangKL19, author = {Weiping Zhang and Mohit Kumar and Jingqing Liu}, title = {Multi-parameter online measurement IoT system based on {BP} neural network algorithm}, journal = {Neural Comput. Appl.}, volume = {31}, number = {12}, pages = {8147--8155}, year = {2019}, url = {https://doi.org/10.1007/s00521-018-3856-8}, doi = {10.1007/S00521-018-3856-8}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/ZhangKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aitest/MarijanGA19, author = {Dusica Marijan and Arnaud Gotlieb and Mohit Kumar Ahuja}, title = {Challenges of Testing Machine Learning Based Systems}, booktitle = {{IEEE} International Conference On Artificial Intelligence Testing, AITest 2019, Newark, CA, USA, April 4-9, 2019}, pages = {101--102}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AITest.2019.00010}, doi = {10.1109/AITEST.2019.00010}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/aitest/MarijanGA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/JainTBK19, author = {Mohit Jain and Rohun Tripathi and Ishita Bhansali and Pratyush Kumar}, editor = {Jeffrey P. Bigham and Shiri Azenkot and Shaun K. Kane}, title = {Automatic Generation and Evaluation of Usable and Secure Audio reCAPTCHA}, booktitle = {The 21st International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} 2019, Pittsburgh, PA, USA, October 28-30, 2019}, pages = {355--366}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3308561.3353777}, doi = {10.1145/3308561.3353777}, timestamp = {Sun, 27 Oct 2019 17:00:08 +0100}, biburl = {https://dblp.org/rec/conf/assets/JainTBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/KumarRMF19, author = {Mohit Kumar and Michael Rossbory and Bernhard Alois Moser and Bernhard Freudenthaler}, editor = {Gabriele Anderst{-}Kotsis and A Min Tjoa and Ismail Khalil and Mourad Elloumi and Atif Mashkoor and Johannes Sametinger and Xabier Larrucea and Anna Fensel and Jorge Mart{\'{\i}}nez Gil and Bernhard Moser and Christin Seifert and Benno Stein and Michael Granitzer}, title = {Deriving an Optimal Noise Adding Mechanism for Privacy-Preserving Machine Learning}, booktitle = {Database and Expert Systems Applications - {DEXA} 2019 International Workshops BIOKDD, IWCFS, MLKgraphs and TIR, Linz, Austria, August 26-29, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1062}, pages = {108--118}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-27684-3\_15}, doi = {10.1007/978-3-030-27684-3\_15}, timestamp = {Mon, 26 Jun 2023 20:42:59 +0200}, biburl = {https://dblp.org/rec/conf/dexaw/KumarRMF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KumarS19, author = {Mohit Kumar and Weisong Shi}, title = {Energy Consumption Analysis of Java Command-line Options}, booktitle = {Tenth International Green and Sustainable Computing Conference, {IGSC} 2019, Alexandria, VA, USA, October 21-24, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGSC48788.2019.8957172}, doi = {10.1109/IGSC48788.2019.8957172}, timestamp = {Tue, 04 Feb 2020 18:04:59 +0100}, biburl = {https://dblp.org/rec/conf/green/KumarS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/SanagalaGKA19, author = {Siva Skandha Sanagala and Suneet K. Gupta and Vijaya Kumar Koppula and Mohit Agarwal}, editor = {M. Arif Wani and Taghi M. Khoshgoftaar and Dingding Wang and Huanjing Wang and Naeem Seliya}, title = {A Fast and Light Weight Deep Convolution Neural Network Model for Cancer Disease Identification in Human Lung(s)}, booktitle = {18th {IEEE} International Conference On Machine Learning And Applications, {ICMLA} 2019, Boca Raton, FL, USA, December 16-19, 2019}, pages = {1382--1387}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLA.2019.00225}, doi = {10.1109/ICMLA.2019.00225}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/SanagalaGKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/KumarTCR19, author = {Mohit Kumar and Stefano Teso and Patrick De Causmaecker and Luc De Raedt}, title = {Automating Personnel Rostering by Learning Constraints Using Tensors}, booktitle = {31st {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2019, Portland, OR, USA, November 4-6, 2019}, pages = {697--704}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTAI.2019.00102}, doi = {10.1109/ICTAI.2019.00102}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/KumarTCR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChandrasekarJKV19, author = {V. Chandrasekar and Shashank S. Joshil and Mohit Kumar and Manuel A. Vega and David B. Wolff and Walter A. Petersen}, title = {Snowfall Observations During the Winter Olympics of 2018 Campaign Using the D3r Radar}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {4561--4564}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8899120}, doi = {10.1109/IGARSS.2019.8899120}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChandrasekarJKV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/KumarTR19, author = {Mohit Kumar and Stefano Teso and Luc De Raedt}, editor = {Sarit Kraus}, title = {Acquiring Integer Programs from Data}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {1130--1136}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/158}, doi = {10.24963/IJCAI.2019/158}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/KumarTR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/PharswanVKB19, author = {Siddhartha Vibhu Pharswan and Mohit Vohra and Ashish Kumar and Laxmidhar Behera}, title = {Domain-Independent Unsupervised Detection of Grasp Regions to grasp Novel Objects}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {640--645}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8968508}, doi = {10.1109/IROS40897.2019.8968508}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/PharswanVKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/GururaniSL19, author = {Siddharth Gururani and Mohit Sharma and Alexander Lerch}, editor = {Arthur Flexer and Geoffroy Peeters and Juli{\'{a}}n Urbano and Anja Volk}, title = {An Attention Mechanism for Musical Instrument Recognition}, booktitle = {Proceedings of the 20th International Society for Music Information Retrieval Conference, {ISMIR} 2019, Delft, The Netherlands, November 4-8, 2019}, pages = {83--90}, year = {2019}, url = {http://archives.ismir.net/ismir2019/paper/000007.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/GururaniSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HarrisWSKAT19, author = {Austin Harris and Shijia Wei and Prateek Sahu and Pranav Kumar and Todd M. Austin and Mohit Tiwari}, title = {Cyclone: Detecting Contention-Based Cache Information Leaks Through Cyclic Interference}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {57--72}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358273}, doi = {10.1145/3352460.3358273}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HarrisWSKAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/DauxaisGDJKKTWV19, author = {Yann Dauxais and Cl{\'{e}}ment Gautrais and Anton Dries and Arcchit Jain and Samuel Kolb and Mohit Kumar and Stefano Teso and Elia Van Wolputte and Gust Verbruggen and Luc De Raedt}, editor = {Peggy Cellier and Kurt Driessens}, title = {SynthLog: {A} Language for Synthesising Inductive Data Models (Extended Abstract)}, booktitle = {Machine Learning and Knowledge Discovery in Databases - International Workshops of {ECML} {PKDD} 2019, W{\"{u}}rzburg, Germany, September 16-20, 2019, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1167}, pages = {102--110}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-43823-4\_9}, doi = {10.1007/978-3-030-43823-4\_9}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/DauxaisGDJKKTWV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/MajiKBRKG19, author = {Subhadeep Maji and Rohan Kumar and Manish Bansal and Kalyani Roy and Mohit Kumar and Pawan Goyal}, editor = {Benjamin Piwowarski and Max Chevalier and {\'{E}}ric Gaussier and Yoelle Maarek and Jian{-}Yun Nie and Falk Scholer}, title = {Addressing Vocabulary Gap in E-commerce Search}, booktitle = {Proceedings of the 42nd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2019, Paris, France, July 21-25, 2019}, pages = {1073--1076}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3331184.3331323}, doi = {10.1145/3331184.3331323}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/MajiKBRKG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KadiyalaPGNLS19, author = {Sai Praveen Kadiyala and Vikram Kumar Pudi and Mohit Garg and Hau T. Ngo and Siew{-}Kei Lam and Thambipillai Srikanthan}, title = {Hardware Efficient {NIPALS} Architecture for Principal Component Analysis of Hyper Spectral Images}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {224--229}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570555870}, doi = {10.1109/SOCC46988.2019.1570555870}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KadiyalaPGNLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PandeyGSS19, author = {Maneesh Kumar Pandey and Mohit Goyal and Parul Sharma and Rohit Sharma}, title = {Modeling and Characterization of {VBUS} Power Discharge for Embedded Superspeed {USB} Host/Devices}, booktitle = {32nd International Conference on {VLSI} Design and 18th International Conference on Embedded Systems, {VLSID} 2019, Delhi, India, January 5-9, 2019}, pages = {323--328}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSID.2019.00074}, doi = {10.1109/VLSID.2019.00074}, timestamp = {Mon, 14 Nov 2022 15:28:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PandeyGSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KumarASJ19, author = {Shanu Kumar and Shubham Atreja and Anjali Singh and Mohit Jain}, editor = {Ling Liu and Ryen W. White and Amin Mantrach and Fabrizio Silvestri and Julian J. McAuley and Ricardo Baeza{-}Yates and Leila Zia}, title = {Adversarial Adaptation of Scene Graph Models for Understanding Civic Issues}, booktitle = {The World Wide Web Conference, {WWW} 2019, San Francisco, CA, USA, May 13-17, 2019}, pages = {2943--2949}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3308558.3313681}, doi = {10.1145/3308558.3313681}, timestamp = {Sun, 22 Sep 2019 18:12:47 +0200}, biburl = {https://dblp.org/rec/conf/www/KumarASJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigir/2018ecom, editor = {Jon Degenhardt and Giuseppe Di Fabbrizio and Surya Kallumadi and Mohit Kumar and Andrew Trotman and Yiu{-}Chang Lin and Huasha Zhao}, title = {The {SIGIR} 2018 Workshop On eCommerce co-located with the 41st International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval {(SIGIR} 2018), Ann Arbor, Michigan, USA, July 12, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2319}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2319}, urn = {urn:nbn:de:0074-2319-2}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/2018ecom.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-10124, author = {Shanu Kumar and Shubham Atreja and Anjali Singh and Mohit Jain}, title = {Adversarial Adaptation of Scene Graph Models for Understanding Civic Issues}, journal = {CoRR}, volume = {abs/1901.10124}, year = {2019}, url = {http://arxiv.org/abs/1901.10124}, eprinttype = {arXiv}, eprint = {1901.10124}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-10124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-02441, author = {Hemant Rathore and Swati Agarwal and Sanjay K. Sahay and Mohit Sewak}, title = {Malware Detection using Machine Learning and Deep Learning}, journal = {CoRR}, volume = {abs/1904.02441}, year = {2019}, url = {http://arxiv.org/abs/1904.02441}, eprinttype = {arXiv}, eprint = {1904.02441}, timestamp = {Tue, 08 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-02441.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-10142, author = {Hemant Rathore and Sanjay K. Sahay and Palash Chaturvedi and Mohit Sewak}, title = {Android Malicious Application Classification Using Clustering}, journal = {CoRR}, volume = {abs/1904.10142}, year = {2019}, url = {http://arxiv.org/abs/1904.10142}, eprinttype = {arXiv}, eprint = {1904.10142}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-10142.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04294, author = {Siddharth Gururani and Mohit Sharma and Alexander Lerch}, title = {An Attention Mechanism for Musical Instrument Recognition}, journal = {CoRR}, volume = {abs/1907.04294}, year = {2019}, url = {http://arxiv.org/abs/1907.04294}, eprinttype = {arXiv}, eprint = {1907.04294}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04294.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-05018, author = {Nathana{\"{e}}l Fijalkow and Mohit Kumar Gupta}, title = {Verification of Neural Networks: Specifying Global Robustness using Generative Models}, journal = {CoRR}, volume = {abs/1910.05018}, year = {2019}, url = {http://arxiv.org/abs/1910.05018}, eprinttype = {arXiv}, eprint = {1910.05018}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-05018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rfc/rfc8576, author = {{\'{O}}scar Garc{\'{\i}}a{-}Morch{\'{o}}n and Sandeep S. Kumar and Mohit Sethi}, title = {Internet of Things (IoT) Security: State of the Art and Challenges}, journal = {{RFC}}, volume = {8576}, pages = {1--50}, year = {2019}, url = {https://doi.org/10.17487/RFC8576}, doi = {10.17487/RFC8576}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rfc/rfc8576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/KumarD18, author = {Mohit Kumar and J. S. Dhillon}, title = {Hybrid artificial algae algorithm for economic load dispatch}, journal = {Appl. Soft Comput.}, volume = {71}, pages = {89--109}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2018.06.035}, doi = {10.1016/J.ASOC.2018.06.035}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/KumarD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/KumarS18, author = {Mohit Kumar and Subhash C. Sharma}, title = {Deadline constrained based dynamic load balancing algorithm with elasticity in cloud environment}, journal = {Comput. Electr. Eng.}, volume = {69}, pages = {395--411}, year = {2018}, url = {https://doi.org/10.1016/j.compeleceng.2017.11.018}, doi = {10.1016/J.COMPELECENG.2017.11.018}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/KumarS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/PandaDDP18, author = {Mohit Ranjan Panda and Pradipta Kumar Das and S. Dutta and S. K. Pradhan}, title = {Optimal path planning for mobile robots using oppositional invasive weed optimization}, journal = {Comput. Intell.}, volume = {34}, number = {4}, pages = {1072--1100}, year = {2018}, url = {https://doi.org/10.1111/coin.12166}, doi = {10.1111/COIN.12166}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ci/PandaDDP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/ZhangLLKM18, author = {Weiping Zhang and Xiujuan Li and Yalin Li and Mohit Kumar and Yihua Mao}, title = {Integrated development environment model for visual image processing based on Moore nearest neighbor model}, journal = {{EURASIP} J. Image Video Process.}, volume = {2018}, pages = {123}, year = {2018}, url = {https://doi.org/10.1186/s13640-018-0363-x}, doi = {10.1186/S13640-018-0363-X}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejivp/ZhangLLKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/ZhangLYKM18, author = {Weiping Zhang and Xiujuan Li and Junfeng Yu and Mohit Kumar and Yihua Mao}, title = {Remote sensing image mosaic technology based on {SURF} algorithm in agriculture}, journal = {{EURASIP} J. Image Video Process.}, volume = {2018}, pages = {85}, year = {2018}, url = {https://doi.org/10.1186/s13640-018-0323-5}, doi = {10.1186/S13640-018-0323-5}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejivp/ZhangLYKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/0001HWKQ18, author = {Weiping Zhang and Yudong He and Ming Wan and Mohit Kumar and Taorong Qiu}, title = {Research on the energy balance algorithm of {WSN} based on topology control}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {292}, year = {2018}, url = {https://doi.org/10.1186/s13638-018-1302-3}, doi = {10.1186/S13638-018-1302-3}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/0001HWKQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/0001KYY18, author = {Weiping Zhang and Mohit Kumar and Junfeng Yu and Jingzhi Yang}, title = {Medical long-distance monitoring system based on internet of things}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {176}, year = {2018}, url = {https://doi.org/10.1186/s13638-018-1178-2}, doi = {10.1186/S13638-018-1178-2}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/0001KYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmtm/ShrivastavaKAKS18, author = {Ashish Shrivastava and Aviral Kothari and Jitendra Achara and Mohit Kumar and Ramdhan Sodani}, title = {Lean co-creation model analysis for manufacturing industries}, journal = {Int. J. Manuf. Technol. Manag.}, volume = {32}, number = {6}, pages = {580--597}, year = {2018}, url = {https://doi.org/10.1504/IJMTM.2018.10015218}, doi = {10.1504/IJMTM.2018.10015218}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmtm/ShrivastavaKAKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/JainKBLTP18, author = {Mohit Jain and Pratyush Kumar and Ishita Bhansali and Q. Vera Liao and Khai N. Truong and Shwetak N. Patel}, title = {FarmChat: {A} Conversational Agent to Answer Farmer Queries}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {2}, number = {4}, pages = {170:1--170:22}, year = {2018}, url = {https://doi.org/10.1145/3287048}, doi = {10.1145/3287048}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/JainKBLTP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/KaurSK18, author = {Gurpreet Kaur and Mohit Srivastava and Amod Kumar}, title = {Integrated Speaker and Speech Recognition for Wheel Chair Movement Using Artificial Intelligence}, journal = {Informatica (Slovenia)}, volume = {42}, number = {4}, year = {2018}, url = {https://doi.org/10.31449/inf.v42i4.2003}, doi = {10.31449/INF.V42I4.2003}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informaticaSI/KaurSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/osn/MataMDMSJC18, author = {Javier Mata and Ignacio de Miguel and Ram{\'{o}}n J. Dur{\'{a}}n and Noem{\'{\i}} Merayo and Sandeep Kumar Singh and Admela Jukan and Mohit Chamania}, title = {Artificial intelligence {(AI)} methods in optical networks: {A} comprehensive survey}, journal = {Opt. Switch. Netw.}, volume = {28}, pages = {43--57}, year = {2018}, url = {https://doi.org/10.1016/j.osn.2017.12.006}, doi = {10.1016/J.OSN.2017.12.006}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/osn/MataMDMSJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/ZhangYSKM18, author = {Weiping Zhang and Jingzhi Yang and Hang Su and Mohit Kumar and Yihua Mao}, title = {Medical data fusion algorithm based on Internet of things}, journal = {Pers. Ubiquitous Comput.}, volume = {22}, number = {5-6}, pages = {895--902}, year = {2018}, url = {https://doi.org/10.1007/s00779-018-1173-y}, doi = {10.1007/S00779-018-1173-Y}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/puc/ZhangYSKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/KumarS18, author = {Mohit Kumar and Subhash C. Sharma}, title = {{PSO-COGENT:} Cost and energy efficient scheduling in cloud environment with deadline constraint}, journal = {Sustain. Comput. Informatics Syst.}, volume = {19}, pages = {147--164}, year = {2018}, url = {https://doi.org/10.1016/j.suscom.2018.06.002}, doi = {10.1016/J.SUSCOM.2018.06.002}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/KumarS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ZhangYKM18, author = {Weiping Zhang and Qin Yang and Mohit Kumar and Yihua Mao}, title = {Application of Improved Least Squares Support Vector Machine in the Forecast of Daily Water Consumption}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {4}, pages = {3589--3602}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5393-2}, doi = {10.1007/S11277-018-5393-2}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/ZhangYKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/JainKKP18, author = {Mohit Jain and Pratyush Kumar and Ramachandra Kota and Shwetak N. Patel}, editor = {Ilpo Koskinen and Youn{-}Kyung Lim and Teresa Cerratto Pargman and Kenny K. N. Chow and William Odom}, title = {Evaluating and Informing the Design of Chatbots}, booktitle = {Proceedings of the 2018 on Designing Interactive Systems Conference 2018, {DIS} 2018, Hong Kong, China, June 09-13, 2018}, pages = {895--906}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196709.3196735}, doi = {10.1145/3196709.3196735}, timestamp = {Fri, 24 May 2019 07:44:04 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/JainKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/SewakSR18, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, editor = {Sebastian Doerr and Mathias Fischer and Sebastian Schrittwieser and Dominik Herrmann}, title = {An investigation of a deep learning based malware detection system}, booktitle = {Proceedings of the 13th International Conference on Availability, Reliability and Security, {ARES} 2018, Hamburg, Germany, August 27-30, 2018}, pages = {26:1--26:5}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3230833.3230835}, doi = {10.1145/3230833.3230835}, timestamp = {Thu, 17 Jan 2019 22:19:51 +0100}, biburl = {https://dblp.org/rec/conf/IEEEares/SewakSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DragoneTKP18, author = {Paolo Dragone and Stefano Teso and Mohit Kumar and Andrea Passerini}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Decomposition Strategies for Constructive Preference Elicitation}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {2934--2942}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11800}, doi = {10.1609/AAAI.V32I1.11800}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DragoneTKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigda/RathoreASS18, author = {Hemant Rathore and Swati Agarwal and Sanjay K. Sahay and Mohit Sewak}, editor = {Anirban Mondal and Himanshu Gupta and Jaideep Srivastava and P. Krishna Reddy and Durvasula V. L. N. Somayajulu}, title = {Malware Detection Using Machine Learning and Deep Learning}, booktitle = {Big Data Analytics - 6th International Conference, {BDA} 2018, Warangal, India, December 18-21, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11297}, pages = {402--411}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04780-1\_28}, doi = {10.1007/978-3-030-04780-1\_28}, timestamp = {Tue, 08 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigda/RathoreASS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JainKKP18, author = {Mohit Jain and Ramachandra Kota and Pratyush Kumar and Shwetak N. Patel}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Convey: Exploring the Use of a Context View for Chatbots}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {468}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174042}, doi = {10.1145/3173574.3174042}, timestamp = {Fri, 12 Mar 2021 15:28:42 +0100}, biburl = {https://dblp.org/rec/conf/chi/JainKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/MishraMKSPB18, author = {Sambit Kumar Mishra and Sonali Mishra and Mohit Kumar and Bibhudatta Sahoo and Deepak Puthal and Santosh Kumar Bharti}, title = {{VM} Selection using {DVFS} Technique to Minimize Energy Consumption in Cloud System}, booktitle = {International Conference on Information Technology, {ICIT} 2018, Bhubaneswar, India, December 19-21, 2018}, pages = {284--289}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIT.2018.00064}, doi = {10.1109/ICIT.2018.00064}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cit/MishraMKSPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/KumarGPWSFET18, author = {Mohit Kumar and Saurabh Gupta and Tirthak Patel and Michael Wilder and Weisong Shi and Song Fu and Christian Engelmann and Devesh Tiwari}, title = {Understanding and Analyzing Interconnect Errors and Network Congestion on a Large Scale {HPC} System}, booktitle = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2018, Luxembourg City, Luxembourg, June 25-28, 2018}, pages = {107--114}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSN.2018.00023}, doi = {10.1109/DSN.2018.00023}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/KumarGPWSFET18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edge/LiSKC18, author = {Youhuizi Li and Weisong Shi and Mohit Kumar and Jun Chen}, title = {DyCREM: Dynamic Credit Risk Management Using Edge-Based Blockchain}, booktitle = {2018 {IEEE/ACM} Symposium on Edge Computing, {SEC} 2018, Seattle, WA, USA, October 25-27, 2018}, pages = {344--346}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SEC.2018.00039}, doi = {10.1109/SEC.2018.00039}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edge/LiSKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/SauravjB18, author = {Kumar Saurav and Mohit Jain and Sambaran Bandyopadhyay}, editor = {Hartmut Schmeck and Veit Hagenmeyer}, title = {Reducing Energy Consumption for Space Heating by Changing Zone Temperature: Pilot Trial in Lule{\aa}, Sweden}, booktitle = {Proceedings of the Ninth International Conference on Future Energy Systems, e-Energy 2018, Karlsruhe, Germany, June 12-15, 2018}, pages = {266--270}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3208903.3208918}, doi = {10.1145/3208903.3208918}, timestamp = {Sun, 24 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eenergy/SauravjB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/GuptaSMKL18, author = {Sonal Gupta and Rushin Shah and Mrinal Mohit and Anuj Kumar and Mike Lewis}, editor = {Ellen Riloff and David Chiang and Julia Hockenmaier and Jun'ichi Tsujii}, title = {Semantic Parsing for Task Oriented Dialog using Hierarchical Representations}, booktitle = {Proceedings of the 2018 Conference on Empirical Methods in Natural Language Processing, Brussels, Belgium, October 31 - November 4, 2018}, pages = {2787--2792}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/d18-1300}, doi = {10.18653/V1/D18-1300}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/GuptaSMKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/AgarwalJKP18, author = {Anup Agarwal and Mohit Jain and Pratyush Kumar and Shwetak N. Patel}, title = {Opportunistic Sensing with {MIC} Arrays on Smart Speakers for Distal Interaction and Exercise Tracking}, booktitle = {2018 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2018, Calgary, AB, Canada, April 15-20, 2018}, pages = {6403--6407}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICASSP.2018.8461912}, doi = {10.1109/ICASSP.2018.8461912}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/AgarwalJKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChandrasekarVJK18, author = {V. Chandrasekar and Manuel A. Vega and Shashank S. Joshil and Mohit Kumar and David B. Wolff and Walter A. Petersen}, title = {Deployment and Performance of the Nasa {D3R} During the Ice-Pop 2018 Field Campaign in South Korea}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {8349--8351}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8517313}, doi = {10.1109/IGARSS.2018.8517313}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChandrasekarVJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KumarJV0Z18, author = {Mohit Kumar and Shashank S. Joshil and Manuel Vega and V. Chandrasekar and John W. Zebley}, title = {Nasa {D3R:} 2.0, Enhanced Radar with New Data and Control Features}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7978--7981}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8517944}, doi = {10.1109/IGARSS.2018.8517944}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KumarJV0Z18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/RathoreSCS18, author = {Hemant Rathore and Sanjay K. Sahay and Palash Chaturvedi and Mohit Sewak}, editor = {Ajith Abraham and Aswani Kumar Cherukuri and Patricia Melin and Niketa Gandhi}, title = {Android Malicious Application Classification Using Clustering}, booktitle = {Intelligent Systems Design and Applications - 18th International Conference on Intelligent Systems Design and Applications, {ISDA} 2018, Vellore, India, December 6-8, 2018, Volume 2}, series = {Advances in Intelligent Systems and Computing}, volume = {941}, pages = {659--667}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-16660-1\_64}, doi = {10.1007/978-3-030-16660-1\_64}, timestamp = {Thu, 23 May 2019 12:53:30 +0200}, biburl = {https://dblp.org/rec/conf/isda/RathoreSCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iseaisap/SinghLN18, author = {Mohit Kumar Singh and Vijay Laxmi and Neeta Nain}, editor = {Sukumar Nandi and Devesh Jinwala and Virendra Singh and Vijay Laxmi and Manoj Singh Gaur and Parvez Faruki}, title = {Unsupervised Person Re-ID in Surveillance Feed Using Re-ranking}, booktitle = {Security and Privacy - Second {ISEA} International Conference, {ISEA-ISAP} 2018, Jaipur, India, January, 9-11, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {939}, pages = {179--192}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-7561-3\_14}, doi = {10.1007/978-981-13-7561-3\_14}, timestamp = {Sun, 25 Oct 2020 22:32:41 +0100}, biburl = {https://dblp.org/rec/conf/iseaisap/SinghLN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwoar/KumarYKK18, author = {Amit Kumar and Kristina Y. Yordanova and Thomas Kirste and Mohit Kumar}, title = {Combining off-the-shelf Image Classifiers with Transfer Learning for Activity Recognition}, booktitle = {Proceedings of the 5th international Workshop on Sensor-based Activity Recognition and Interaction, iWOAR 2018, Berlin, Germany, September 20-21, 2018}, pages = {15:1--15:9}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3266157.3266219}, doi = {10.1145/3266157.3266219}, timestamp = {Mon, 07 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwoar/KumarYKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/SinghBFT18, author = {Mohit Kumar Singh and Anand M. Baswade and A. Antony Franklin and Bheemarjuna Reddy Tamma}, editor = {Rajeev Shorey and Rohan Murty and Yingying (Jennifer) Chen and Kyle Jamieson}, title = {Poster: Wi-Fi User's Video QoE in the Presence of Duty Cycled {LTE-U}}, booktitle = {Proceedings of the 24th Annual International Conference on Mobile Computing and Networking, MobiCom 2018, New Delhi, India, October 29 - November 02, 2018}, pages = {720--722}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3241539.3267780}, doi = {10.1145/3241539.3267780}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/SinghBFT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/DegenhardtFKKLT18, author = {Jon Degenhardt and Pino Di Fabbrizio and Surya Kallumadi and Mohit Kumar and Yiu{-}Chang Lin and Andrew Trotman and Huasha Zhao}, editor = {Kevyn Collins{-}Thompson and Qiaozhu Mei and Brian D. Davison and Yiqun Liu and Emine Yilmaz}, title = {{SIGIR} 2018 Workshop on eCommerce {(ECOM18)}}, booktitle = {The 41st International {ACM} {SIGIR} Conference on Research {\&} Development in Information Retrieval, {SIGIR} 2018, Ann Arbor, MI, USA, July 08-12, 2018}, pages = {1407--1409}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209978.3210192}, doi = {10.1145/3209978.3210192}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/DegenhardtFKKLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/KumarKSF18, author = {Rohan Kumar and Mohit Kumar and Neil Shah and Christos Faloutsos}, editor = {Jon Degenhardt and Giuseppe Di Fabbrizio and Surya Kallumadi and Mohit Kumar and Andrew Trotman and Yiu{-}Chang Lin and Huasha Zhao}, title = {Did We Get It Right? Predicting Query Performance in e-Commerce Search}, booktitle = {The {SIGIR} 2018 Workshop On eCommerce co-located with the 41st International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval {(SIGIR} 2018), Ann Arbor, Michigan, USA, July 12, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2319}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2319/paper23.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:17 +0100}, biburl = {https://dblp.org/rec/conf/sigir/KumarKSF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/SewakSR18, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Comparison of Deep Learning and the Classical Machine Learning Algorithm for the Malware Detection}, booktitle = {19th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2018, Busan, Korea (South), June 27-29, 2018}, pages = {293--296}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/SNPD.2018.8441123}, doi = {10.1109/SNPD.2018.8441123}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/SewakSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/KumarHMKFS18, author = {Srijan Kumar and Bryan Hooi and Disha Makhija and Mohit Kumar and Christos Faloutsos and V. S. Subrahmanian}, editor = {Yi Chang and Chengxiang Zhai and Yan Liu and Yoelle Maarek}, title = {{REV2:} Fraudulent User Prediction in Rating Platforms}, booktitle = {Proceedings of the Eleventh {ACM} International Conference on Web Search and Data Mining, {WSDM} 2018, Marina Del Rey, CA, USA, February 5-9, 2018}, pages = {333--341}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3159652.3159729}, doi = {10.1145/3159652.3159729}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/KumarHMKFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-01704, author = {Javier Mata and Ignacio de Miguel and Ram{\'{o}} n J. Dur{\'{a}} n and Noem{\'{\i}} Merayo and Sandeep Kumar Singh and Admela Jukan and Mohit Chamania}, title = {Artificial Intelligence {(AI)} Methods in Optical Networks: {A} Comprehensive Survey}, journal = {CoRR}, volume = {abs/1801.01704}, year = {2018}, url = {http://arxiv.org/abs/1801.01704}, eprinttype = {arXiv}, eprint = {1801.01704}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-01704.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11375, author = {Mohit Kumar and Stefano Teso and Luc De Raedt}, title = {Automating Personnel Rostering by Learning Constraints Using Tensors}, journal = {CoRR}, volume = {abs/1805.11375}, year = {2018}, url = {http://arxiv.org/abs/1805.11375}, eprinttype = {arXiv}, eprint = {1805.11375}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11375.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-00239, author = {Rohan Kumar and Mohit Kumar and Neil Shah and Christos Faloutsos}, title = {Did We Get It Right? Predicting Query Performance in E-commerce Search}, journal = {CoRR}, volume = {abs/1808.00239}, year = {2018}, url = {http://arxiv.org/abs/1808.00239}, eprinttype = {arXiv}, eprint = {1808.00239}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-00239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-05888, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {An investigation of a deep learning based malware detection system}, journal = {CoRR}, volume = {abs/1809.05888}, year = {2018}, url = {http://arxiv.org/abs/1809.05888}, eprinttype = {arXiv}, eprint = {1809.05888}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-05888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-05889, author = {Mohit Sewak and Sanjay K. Sahay and Hemant Rathore}, title = {Comparison of Deep Learning and the Classical Machine Learning Algorithm for the Malware Detection}, journal = {CoRR}, volume = {abs/1809.05889}, year = {2018}, url = {http://arxiv.org/abs/1809.05889}, eprinttype = {arXiv}, eprint = {1809.05889}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-05889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-07942, author = {Sonal Gupta and Rushin Shah and Mrinal Mohit and Anuj Kumar and Mike Lewis}, title = {Semantic Parsing for Task Oriented Dialog using Hierarchical Representations}, journal = {CoRR}, volume = {abs/1810.07942}, year = {2018}, url = {http://arxiv.org/abs/1810.07942}, eprinttype = {arXiv}, eprint = {1810.07942}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-07942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/KumarPA17, author = {Mohit Kumar and Ram Bilas Pachori and U. Rajendra Acharya}, title = {Characterization of coronary artery disease using flexible analytic wavelet transform applied on {ECG} signals}, journal = {Biomed. Signal Process. Control.}, volume = {31}, pages = {301--308}, year = {2017}, url = {https://doi.org/10.1016/j.bspc.2016.08.018}, doi = {10.1016/J.BSPC.2016.08.018}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/KumarPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/RanaJSGKBA17, author = {Bharti Rana and Akanksha Juneja and Mohit Saxena and Sunita Gudwani and S. Senthil Kumaran and Madhuri Behari and R. K. Agrawal}, title = {Relevant 3D local binary pattern based features from fused feature descriptor for differential diagnosis of Parkinson's disease using structural {MRI}}, journal = {Biomed. Signal Process. Control.}, volume = {34}, pages = {134--143}, year = {2017}, url = {https://doi.org/10.1016/j.bspc.2017.01.007}, doi = {10.1016/J.BSPC.2017.01.007}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/RanaJSGKBA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/MohitGR17, author = {Kumar Mohit and Vibha Rani Gupta and Sanjeev Kumar Rout}, title = {Compact magneto-dielectric resonator {MIMO} antenna for angle diversity}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {25}, pages = {2066--2073}, year = {2017}, url = {https://doi.org/10.3906/elk-1507-240}, doi = {10.3906/ELK-1507-240}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/elektrik/MohitGR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/KumarPA17, author = {Mohit Kumar and Ram Bilas Pachori and U. Rajendra Acharya}, title = {Use of Accumulated Entropies for Automated Detection of Congestive Heart Failure in Flexible Analytic Wavelet Transform Framework Based on Short-Term {HRV} Signals}, journal = {Entropy}, volume = {19}, number = {3}, pages = {92}, year = {2017}, url = {https://doi.org/10.3390/e19030092}, doi = {10.3390/E19030092}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/KumarPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/KumarPA17a, author = {Mohit Kumar and Ram Bilas Pachori and U. Rajendra Acharya}, title = {Automated Diagnosis of Myocardial Infarction {ECG} Signals Using Sample Entropy in Flexible Analytic Wavelet Transform Framework}, journal = {Entropy}, volume = {19}, number = {9}, pages = {488}, year = {2017}, url = {https://doi.org/10.3390/e19090488}, doi = {10.3390/E19090488}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/KumarPA17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firstmonday/FogelK17, author = {Joshua Fogel and Mohit Kumar}, title = {Intentions to use an online restaurant review Web site and purchase behavior after reading reviews}, journal = {First Monday}, volume = {22}, number = {5}, year = {2017}, url = {https://doi.org/10.5210/fm.v22i5.7250}, doi = {10.5210/FM.V22I5.7250}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firstmonday/FogelK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/GoswamiDT17, author = {Mohit Goswami and Yash Daultani and M. K. Tiwari}, title = {An integrated framework for product line design for modular products: product attribute and functionality-driven perspective}, journal = {Int. J. Prod. Res.}, volume = {55}, number = {13}, pages = {3862--3885}, year = {2017}, url = {https://doi.org/10.1080/00207543.2017.1314039}, doi = {10.1080/00207543.2017.1314039}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/GoswamiDT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrsda/ChawlaMSK17, author = {Mohit Chawla and Siba Mishra and Kriti Singh and Chiranjeev Kumar}, title = {A Comparison of Data Exchange Mechanisms for Real-Time Communication}, journal = {Int. J. Rough Sets Data Anal.}, volume = {4}, number = {4}, pages = {66--81}, year = {2017}, url = {https://doi.org/10.4018/IJRSDA.2017100105}, doi = {10.4018/IJRSDA.2017100105}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrsda/ChawlaMSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KumarMWQCZ17, author = {Mohit Kumar and Yihua Mao and Yuhao Wang and Taorong Qiu and Yang Chenggen and Weiping Zhang}, title = {Fuzzy theoretic approach to signals and systems: Static systems}, journal = {Inf. Sci.}, volume = {418}, pages = {668--702}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2017.08.048}, doi = {10.1016/J.INS.2017.08.048}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/KumarMWQCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/SinhaMP17, author = {Sudipta Kumar Sinha and Mohit Mehta and Sandeep Patel}, title = {A charge equilibration formalism for treating charge transfer effects in {MD} simulations: Application to water clusters}, journal = {J. Comput. Chem.}, volume = {38}, number = {16}, pages = {1389--1409}, year = {2017}, url = {https://doi.org/10.1002/jcc.24789}, doi = {10.1002/JCC.24789}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/SinhaMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/SharmaKPA17, author = {Rajeev Sharma and Mohit Kumar and Ram Bilas Pachori and U. Rajendra Acharya}, title = {Decision support system for focal {EEG} signals using tunable-Q wavelet transform}, journal = {J. Comput. Sci.}, volume = {20}, pages = {52--60}, year = {2017}, url = {https://doi.org/10.1016/j.jocs.2017.03.022}, doi = {10.1016/J.JOCS.2017.03.022}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocs/SharmaKPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/AhmadGAH17, author = {Sayeed Ahmad and Mohit Kumar Gupta and Naushad Alam and Mohd. Hasan}, title = {Low Leakage Single Bitline 9 {T} {(SB9T)} Static Random Access Memory}, journal = {Microelectron. J.}, volume = {62}, pages = {1--11}, year = {2017}, url = {https://doi.org/10.1016/j.mejo.2017.01.011}, doi = {10.1016/J.MEJO.2017.01.011}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/AhmadGAH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/EswaranGFMK17, author = {Dhivya Eswaran and Stephan G{\"{u}}nnemann and Christos Faloutsos and Disha Makhija and Mohit Kumar}, title = {ZooBP: Belief Propagation for Heterogeneous Networks}, journal = {Proc. {VLDB} Endow.}, volume = {10}, number = {5}, pages = {625--636}, year = {2017}, url = {http://www.vldb.org/pvldb/vol10/p625-eswaran.pdf}, doi = {10.14778/3055540.3055554}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/EswaranGFMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/LiKSW17, author = {Youhuizi Li and Mohit Kumar and Weisong Shi and Jian Wan}, title = {Falcon: An ambient temperature aware thermal control policy for IoT gateways}, journal = {Sustain. Comput. Informatics Syst.}, volume = {16}, pages = {48--55}, year = {2017}, url = {https://doi.org/10.1016/j.suscom.2017.08.007}, doi = {10.1016/J.SUSCOM.2017.08.007}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/LiKSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicba/SinhaKG17, author = {Ranu Sinha and Mohit Kumar and Saptarsi Goswami}, editor = {Jyotsna Kumar Mandal and Paramartha Dutta and Somnath Mukhopadhyay}, title = {An Approach to Build a Database for Crimes in India Using Twitter}, booktitle = {Computational Intelligence, Communications, and Business Analytics - First International Conference, {CICBA} 2017, Kolkata, India, March 24-25, 2017, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {775}, pages = {150--160}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6427-2\_13}, doi = {10.1007/978-981-10-6427-2\_13}, timestamp = {Tue, 20 Apr 2021 14:33:22 +0200}, biburl = {https://dblp.org/rec/conf/cicba/SinhaKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/GuptaWCSBYJSRSM17, author = {Mohit Kumar Gupta and Pieter Weckx and Stefan Cosemans and Pieter Schuddinck and Rogier Baert and Dmitry Yakimets and Doyoung Jang and Yasser Sherazi and Praveen Raghavan and Alessio Spessot and Anda Mocuta and Wim Dehaene}, title = {Device circuit and technology co-optimisation for FinFET based 6T {SRAM} cells beyond {N7}}, booktitle = {47th European Solid-State Device Research Conference, {ESSDERC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {256--259}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSDERC.2017.8066640}, doi = {10.1109/ESSDERC.2017.8066640}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/essderc/GuptaWCSBYJSRSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/KumarLS17, author = {Mohit Kumar and Youhuizi Li and Weisong Shi}, title = {Energy consumption in Java: An early experience}, booktitle = {Eighth International Green and Sustainable Computing Conference, {IGSC} 2017, Orlando, FL, USA, October 23-25, 2017}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IGCC.2017.8323579}, doi = {10.1109/IGCC.2017.8323579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/KumarLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/AkhtarKS17, author = {Mohammad Amir Khusru Akhtar and Mohit Kumar and Gadadhar Sahoo}, title = {Automata for santali language processing}, booktitle = {2017 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2017, Udupi (Near Mangalore), India, September 13-16, 2017}, pages = {939--943}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICACCI.2017.8125962}, doi = {10.1109/ICACCI.2017.8125962}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icacci/AkhtarKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/AkhtarSK17, author = {Mohammad Amir Khusru Akhtar and Gadadhar Sahoo and Mohit Kumar}, title = {Digital corpus of santali language}, booktitle = {2017 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2017, Udupi (Near Mangalore), India, September 13-16, 2017}, pages = {934--938}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICACCI.2017.8125961}, doi = {10.1109/ICACCI.2017.8125961}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icacci/AkhtarSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca3/DuaAB17, author = {Mohit Dua and Rajesh Kumar Aggarwal and Mantosh Biswas}, title = {Discriminative Training using Heterogeneous Feature Vector for Hindi Automatic Speech Recognition System}, booktitle = {International Conference on Computer and Applications, {ICCA} 2017, Doha, United Arab Emirates, September 6-7, 2017}, pages = {158--162}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/COMAPP.2017.8079777}, doi = {10.1109/COMAPP.2017.8079777}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icca3/DuaAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/GuptaWCSBJSRSMD17, author = {Mohit Kumar Gupta and Pieter Weckx and Stefan Cosemans and Pieter Schuddinck and Rogier Baert and Doyoung Jang and Yasser Sherazi and Praveen Raghavan and Alessio Spessot and Anda Mocuta and Wim Dehaene}, title = {Dedicated technology threshold voltage tuning for 6T {SRAM} beyond {N7}}, booktitle = {2017 {IEEE} International Conference on {IC} Design and Technology, {ICICDT} 2017, Austin, TX, USA, May 23-25, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICICDT.2017.7993503}, doi = {10.1109/ICICDT.2017.7993503}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icicdt/GuptaWCSBJSRSMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/0001BVCKJSPW17, author = {V. Chandrasekar and Robert M. Beauchamp and Manuel Vega and Haonan Chen and Mohit Kumar and Shashank S. Joshil and Mathew R. Schwaller and Walter A. Petersen and David B. Wolff}, title = {Meteorological observations and system performance from the nasa D3R's first 5 years}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {2734--2736}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8127562}, doi = {10.1109/IGARSS.2017.8127562}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/0001BVCKJSPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KumarJ0BVZ17, author = {Mohit Kumar and Shashank S. Joshil and V. Chandrasekar and Robert M. Beauchamp and Manuel Vega and John W. Zebley}, title = {Performance trade-offs and upgrade of {NASA} {D3R} weather radar}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {5260--5263}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128188}, doi = {10.1109/IGARSS.2017.8128188}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KumarJ0BVZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ised/GoswamiKS17, author = {Mrinal Goswami and Mohit Kumar and Bibhash Sen}, title = {Cost effective realization of {XOR} logic in {QCA}}, booktitle = {7th International Symposium on Embedded Computing and System Design, {ISED} 2017, Durgapur, India, December 18-20, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISED.2017.8303950}, doi = {10.1109/ISED.2017.8303950}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ised/GoswamiKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GoelCM17, author = {Mohit Kumar Goel and Ricardo Chavarriaga and Jos{\'{e}} del R. Mill{\'{a}}n}, title = {Inverse solutions for brain-computer interfaces: Effects of regularisation on localisation and classification}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {258--263}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122612}, doi = {10.1109/SMC.2017.8122612}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/GoelCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KumarHMKFS17, author = {Srijan Kumar and Bryan Hooi and Disha Makhija and Mohit Kumar and Christos Faloutsos and V. S. Subrahmanian}, title = {FairJudge: Trustworthy User Prediction in Rating Platforms}, journal = {CoRR}, volume = {abs/1703.10545}, year = {2017}, url = {http://arxiv.org/abs/1703.10545}, eprinttype = {arXiv}, eprint = {1703.10545}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/KumarHMKFS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-08247, author = {Paolo Dragone and Stefano Teso and Mohit Kumar and Andrea Passerini}, title = {Decomposition Strategies for Constructive Preference Elicitation}, journal = {CoRR}, volume = {abs/1711.08247}, year = {2017}, url = {http://arxiv.org/abs/1711.08247}, eprinttype = {arXiv}, eprint = {1711.08247}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-08247.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/KumarK16, author = {Mohit Kumar and Sandeep Kumar}, title = {Controllability of impulsive second order semilinear fuzzy integrodifferential control systems with nonlocal initial conditions}, journal = {Appl. Soft Comput.}, volume = {39}, pages = {251--265}, year = {2016}, url = {https://doi.org/10.1016/j.asoc.2015.10.006}, doi = {10.1016/J.ASOC.2015.10.006}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/KumarK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KumarPA16, author = {Mohit Kumar and Ram Bilas Pachori and U. Rajendra Acharya}, title = {An efficient automated technique for {CAD} diagnosis using flexible analytic wavelet transform and entropy features extracted from {HRV} signals}, journal = {Expert Syst. Appl.}, volume = {63}, pages = {165--172}, year = {2016}, url = {https://doi.org/10.1016/j.eswa.2016.06.038}, doi = {10.1016/J.ESWA.2016.06.038}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KumarPA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/KumarM16, author = {Bimal Aklesh Kumar and Priya Mohite}, title = {Usability guideline for mobile learning apps: an empirical study}, journal = {Int. J. Mob. Learn. Organisation}, volume = {10}, number = {4}, pages = {223--237}, year = {2016}, url = {https://doi.org/10.1504/IJMLO.2016.079499}, doi = {10.1504/IJMLO.2016.079499}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/KumarM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrie/SinghN16, author = {Mohit Kumar Singh and Neeta Nain}, title = {Unobtrusive silhouette extraction using multivariate analysis and shadow removal in {RGB} color model}, journal = {J. Reliab. Intell. Environ.}, volume = {2}, number = {4}, pages = {175--186}, year = {2016}, url = {https://doi.org/10.1007/s40860-016-0031-9}, doi = {10.1007/S40860-016-0031-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jrie/SinghN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/MishraCS16, author = {Mohit Mishra and Utkarsh Chaturvedi and Kaushal K. Shukla}, title = {Heuristic algorithm based on molecules optimizing their geometry in a crystal to solve the problem of integer factorization}, journal = {Soft Comput.}, volume = {20}, number = {9}, pages = {3363--3371}, year = {2016}, url = {https://doi.org/10.1007/s00500-015-1772-8}, doi = {10.1007/S00500-015-1772-8}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/MishraCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/KumarSST16, author = {Mohit Kumar and Norbert Stoll and Regina Stoll and Kerstin Thurow}, title = {A Stochastic Framework for Robust Fuzzy Filtering and Analysis of Signals - Part {I}}, journal = {{IEEE} Trans. Cybern.}, volume = {46}, number = {5}, pages = {1118--1131}, year = {2016}, url = {https://doi.org/10.1109/TCYB.2015.2423657}, doi = {10.1109/TCYB.2015.2423657}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/KumarSST16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSTS16, author = {Mohit Kumar and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {Fuzzy Membership Descriptors for Images}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {24}, number = {1}, pages = {195--207}, year = {2016}, url = {https://doi.org/10.1109/TFUZZ.2015.2451706}, doi = {10.1109/TFUZZ.2015.2451706}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KumarISTS16, author = {Mohit Kumar and Aditya Insan and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {Stochastic Fuzzy Modeling for Ear Imaging Based Child Identification}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {46}, number = {9}, pages = {1265--1278}, year = {2016}, url = {https://doi.org/10.1109/TSMC.2015.2468195}, doi = {10.1109/TSMC.2015.2468195}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/KumarISTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AhmadGAH16, author = {Sayeed Ahmad and Mohit Kumar Gupta and Naushad Alam and Mohd. Hasan}, title = {Single-Ended Schmitt-Trigger-Based Robust Low-Power {SRAM} Cell}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {8}, pages = {2634--2642}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2016.2520490}, doi = {10.1109/TVLSI.2016.2520490}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AhmadGAH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GuptaH16, author = {Mohit Kumar Gupta and Mohd. Hasan}, title = {A Low-Power Robust Easily Cascaded PentaMTJ-Based Combinational and Sequential Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {1}, pages = {218--222}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2398117}, doi = {10.1109/TVLSI.2015.2398117}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/GuptaH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/PrasadGMKK16, author = {Utpal Prasad and Niloy Ganguly and Animesh Mukherjee and Nikky Kumari and Mohit Kumar}, editor = {Darren Gergle and Meredith Ringel Morris and Pernille Bj{\o}rn and Joseph A. Konstan}, title = {The role of outsiders in consensus formation: {A} case study of Yelp}, booktitle = {Proceedings of the 19th {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2015, San Francisco, CA, USA, February 27 - March 2, 2016, Companion Volume}, pages = {425--428}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2818052.2869090}, doi = {10.1145/2818052.2869090}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/PrasadGMKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YechangunjaSKTM16, author = {Srihari Yechangunja and Raj Shekhar and Mohit Kumar and Nikhil Tripathi and Abhishek Mittal and Abhishek Ranjan and Jianfeng Liu and Minyoung Mo and Kyung Tae Do and Jung Yun Choi and SungHo Park}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Sequential analysis driven reset optimization to improve power, area and routability}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {551--554}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459372/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YechangunjaSKTM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3/ChawlaSK16, author = {Mohit Chawla and Kriti Singh and Chiranjeev Kumar}, editor = {Sanjay Goel and Dheeraj Sanghi and Albert Y. Zomaya and Azzedine Boukerche and Ratan K. Ghosh and Manoj Singh Gaur and Rahul Banerjee and Vikas Saxena}, title = {Attitudinal data based server job scheduling using genetic algorithms: Client-centric job scheduling for single threaded servers}, booktitle = {Ninth International Conference on Contemporary Computing, {IC3} 2016, Noida, India, August 11-13, 2016}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IC3.2016.7880230}, doi = {10.1109/IC3.2016.7880230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic3/ChawlaSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ShahBHAGMKF16, author = {Neil Shah and Alex Beutel and Bryan Hooi and Leman Akoglu and Stephan G{\"{u}}nnemann and Disha Makhija and Mohit Kumar and Christos Faloutsos}, editor = {Carlotta Domeniconi and Francesco Gullo and Francesco Bonchi and Josep Domingo{-}Ferrer and Ricardo Baeza{-}Yates and Zhi{-}Hua Zhou and Xindong Wu}, title = {EdgeCentric: Anomaly Detection in Edge-Attributed Networks}, booktitle = {{IEEE} International Conference on Data Mining Workshops, {ICDM} Workshops 2016, December 12-15, 2016, Barcelona, Spain}, pages = {327--334}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDMW.2016.0053}, doi = {10.1109/ICDMW.2016.0053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/ShahBHAGMKF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KumarIOIBGZPS16, author = {Ankit Kumar and Ozan Irsoy and Peter Ondruska and Mohit Iyyer and James Bradbury and Ishaan Gulrajani and Victor Zhong and Romain Paulus and Richard Socher}, editor = {Maria{-}Florina Balcan and Kilian Q. Weinberger}, title = {Ask Me Anything: Dynamic Memory Networks for Natural Language Processing}, booktitle = {Proceedings of the 33nd International Conference on Machine Learning, {ICML} 2016, New York City, NY, USA, June 19-24, 2016}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {48}, pages = {1378--1387}, publisher = {JMLR.org}, year = {2016}, url = {http://proceedings.mlr.press/v48/kumar16.html}, timestamp = {Wed, 29 May 2019 08:41:46 +0200}, biburl = {https://dblp.org/rec/conf/icml/KumarIOIBGZPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/AcharyaKWS16, author = {Hrishikesh B. Acharya and Satyam Kumar and Mohit Wadhwa and Ayush Shah}, title = {Rules in play: On the complexity of routing tables and firewalls}, booktitle = {24th {IEEE} International Conference on Network Protocols, {ICNP} 2016, Singapore, November 8-11, 2016}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICNP.2016.7784426}, doi = {10.1109/ICNP.2016.7784426}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnp/AcharyaKWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/RanaJSGKAB16, author = {Bharti Rana and Akanksha Juneja and Mohit Saxena and Sunita Gudwani and S. Senthil Kumaran and R. K. Agrawal and Madhuri Behari}, editor = {Dhruv Batra and Michael Brown and Vijay Natarajan}, title = {Voxel-based morphometry and minimum redundancy maximum relevance method for classification of Parkinson's disease and controls from T1-weighted {MRI}}, booktitle = {Proceedings of the Tenth Indian Conference on Computer Vision, Graphics and Image Processing, {ICVGIP} 2016, Guwahati, Assam, India, December 18-22, 2016}, pages = {22:1--22:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3009977.3009998}, doi = {10.1145/3009977.3009998}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvgip/RanaJSGKAB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/Guha0DKV16, author = {Satarupa Guha and Tanmoy Chakraborty and Samik Datta and Mohit Kumar and Vasudeva Varma}, title = {TweetGrep: Weakly Supervised Joint Retrieval and Sentiment Analysis of Topical Tweets}, booktitle = {Proceedings of the Tenth International Conference on Web and Social Media, Cologne, Germany, May 17-20, 2016}, pages = {161--170}, publisher = {{AAAI} Press}, year = {2016}, url = {http://www.aaai.org/ocs/index.php/ICWSM/ICWSM16/paper/view/13030}, timestamp = {Fri, 05 Feb 2021 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/icwsm/Guha0DKV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChandrasekarBCV16, author = {V. Chandrasekar and Robert M. Beauchamp and Haonan Chen and Manuel Vega and Mathew R. Schwaller and Delbert Willie and Aaron Dabrowski and Mohit Kumar and Walter A. Petersen and David B. Wolff}, title = {Deployment and performance of the {NASA} {D3R} during the {GPM} OLYMPEx field campaign}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {2142--2145}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729553}, doi = {10.1109/IGARSS.2016.7729553}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ChandrasekarBCV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/JainCKARR16, author = {Mohit Jain and Vikas Chandan and Ashok Pon Kumar and Vijay Arya and Sridhar R and Babitha Ramesh}, title = {Software-only occupancy inference in a workplace findings from a field trial}, booktitle = {2016 {IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2016, Minneapolis, MN, USA, September 6-9, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISGT.2016.7781282}, doi = {10.1109/ISGT.2016.7781282}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isgt/JainCKARR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rait/KumarYKP16, author = {Mohit Kumar and Ashiwani Yadav and Pankaj Kumar and Nitai Pal}, title = {Fuzzy gain scheduled intelligent frequency control in an {AC} microgrid}, booktitle = {2016 3rd International Conference on Recent Advances in Information Technology (RAIT), Dhanbad, India, March 3-5, 2016}, pages = {237--242}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/RAIT.2016.7507908}, doi = {10.1109/RAIT.2016.7507908}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rait/KumarYKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/HooiSBGAKMF16, author = {Bryan Hooi and Neil Shah and Alex Beutel and Stephan G{\"{u}}nnemann and Leman Akoglu and Mohit Kumar and Disha Makhija and Christos Faloutsos}, editor = {Sanjay Chawla Venkatasubramanian and Wagner Meira Jr.}, title = {{BIRDNEST:} Bayesian Inference for Ratings-Fraud Detection}, booktitle = {Proceedings of the 2016 {SIAM} International Conference on Data Mining, Miami, Florida, USA, May 5-7, 2016}, pages = {495--503}, publisher = {{SIAM}}, year = {2016}, url = {https://doi.org/10.1137/1.9781611974348.56}, doi = {10.1137/1.9781611974348.56}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sdm/HooiSBGAKMF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/KumarDS16, author = {Mohit Kumar and Kalka Dubey and Subhash C. Sharma}, editor = {Kusum Deep and Jagdish Chand Bansal and Kedar Nath Das and Arvind Kumar Lal and Harish Garg and Atulya K. Nagar and Millie Pant}, title = {Job Scheduling Algorithm in Cloud Environment Considering the Priority and Cost of Job}, booktitle = {Proceedings of Sixth International Conference on Soft Computing for Problem Solving - SocProS 2016, Volume 2, Thapar University, Patiala, India, December 23-24, 2016}, series = {Advances in Intelligent Systems and Computing}, volume = {547}, pages = {313--320}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3325-4\_31}, doi = {10.1007/978-981-10-3325-4\_31}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpros/KumarDS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/KumarGSKR16, author = {Saurav Kumar and Satvik Gupta and Vishvender Singh and Mohit Khokhar and Prashant Singh Rana}, editor = {Kusum Deep and Jagdish Chand Bansal and Kedar Nath Das and Arvind Kumar Lal and Harish Garg and Atulya K. Nagar and Millie Pant}, title = {Parameter Optimization for {H.265/HEVC} Encoder Using {NSGA} {II}}, booktitle = {Proceedings of Sixth International Conference on Soft Computing for Problem Solving - SocProS 2016, Volume 2, Thapar University, Patiala, India, December 23-24, 2016}, series = {Advances in Intelligent Systems and Computing}, volume = {547}, pages = {105--118}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3325-4\_11}, doi = {10.1007/978-981-10-3325-4\_11}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socpros/KumarGSKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wns3/MishraVT16, author = {Dharmendra Kumar Mishra and Pranav Vankar and Mohit P. Tahiliani}, editor = {Thomas Henderson and Eric Gamess and Brian Swenson and Hajime Tazaki}, title = {{TCP} Evaluation Suite for ns-3}, booktitle = {Proceedings of the Workshop on ns-3, {WNS3} '16, Seattle, WA, USA, June 15-16, 2016}, pages = {25--32}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2915371.2915388}, doi = {10.1145/2915371.2915388}, timestamp = {Tue, 06 Nov 2018 11:07:28 +0100}, biburl = {https://dblp.org/rec/conf/wns3/MishraVT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/MurthyKN15, author = {S. V. S. S. N. V. G. Krishna Murthy and B. V. Rathish Kumar and Mohit Nigam}, title = {A parallel finite element study of 3D mixed convection in a fluid saturated cubic porous enclosure under injection/suction effect}, journal = {Appl. Math. Comput.}, volume = {269}, pages = {841--862}, year = {2015}, url = {https://doi.org/10.1016/j.amc.2015.07.028}, doi = {10.1016/J.AMC.2015.07.028}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/MurthyKN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/RanaJSGKAB15, author = {Bharti Rana and Akanksha Juneja and Mohit Saxena and Sunita Gudwani and S. Senthil Kumaran and R. K. Agrawal and Madhuri Behari}, title = {Regions-of-interest based automated diagnosis of Parkinson's disease using T1-weighted {MRI}}, journal = {Expert Syst. Appl.}, volume = {42}, number = {9}, pages = {4506--4516}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2015.01.062}, doi = {10.1016/J.ESWA.2015.01.062}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/RanaJSGKAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbpscm/TyagiKK15, author = {Mohit Tyagi and Pradeep Kumar and Dinesh Kumar}, title = {Analysis of interactions among the drivers of green supply chain management}, journal = {Int. J. Bus. Perform. Supply Chain Model.}, volume = {7}, number = {1}, pages = {92--108}, year = {2015}, url = {https://doi.org/10.1504/IJBPSCM.2015.068137}, doi = {10.1504/IJBPSCM.2015.068137}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbpscm/TyagiKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcbdd/JainKR15, author = {Mohit M. Jain and Nirmala Kumari and Geeta Rai}, title = {A novel formulation of veggies with potent anti-migraine activity}, journal = {Int. J. Comput. Biol. Drug Des.}, volume = {8}, number = {1}, pages = {54--61}, year = {2015}, url = {https://doi.org/10.1504/IJCBDD.2015.068787}, doi = {10.1504/IJCBDD.2015.068787}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcbdd/JainKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcbdd/JainKR15a, author = {Mohit M. Jain and Nirmala Kumari and Geeta Rai}, title = {A novel formulation of veggies with potent liver detoxifying activity}, journal = {Int. J. Comput. Biol. Drug Des.}, volume = {8}, number = {1}, pages = {75--86}, year = {2015}, url = {https://doi.org/10.1504/IJCBDD.2015.068792}, doi = {10.1504/IJCBDD.2015.068792}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcbdd/JainKR15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebr/KumarM15, author = {Bimal Aklesh Kumar and Priya Mohite}, title = {Cognitive Trust Model for {B2B} E-Market: Design and Implementation}, journal = {Int. J. {E} Bus. Res.}, volume = {11}, number = {4}, pages = {32--46}, year = {2015}, url = {https://doi.org/10.4018/IJEBR.2015100103}, doi = {10.4018/IJEBR.2015100103}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijebr/KumarM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/RanaJSGKBA15, author = {Bharti Rana and Akanksha Juneja and Mohit Saxena and Sunita Gudwani and S. Senthil Kumaran and Madhuri Behari and R. K. Agrawal}, title = {Graph-theory-based spectral feature selection for computer aided diagnosis of Parkinson's disease using T1-weighted {MRI}}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {3}, pages = {245--255}, year = {2015}, url = {https://doi.org/10.1002/ima.22141}, doi = {10.1002/IMA.22141}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imst/RanaJSGKBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/Kumar15, author = {Mohit Kumar}, title = {Controllability of second-order semilinear fuzzy integrodifferential control systems with nonlocal initial conditions}, journal = {Soft Comput.}, volume = {19}, number = {7}, pages = {1829--1841}, year = {2015}, url = {https://doi.org/10.1007/s00500-014-1367-9}, doi = {10.1007/S00500-014-1367-9}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/Kumar15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChhabraRJTPBK15, author = {Amit Chhabra and Harsh Rawat and Mohit Jain and Pascal Tessier and Daniel Pierredon and Laurent Bergher and Promod Kumar}, title = {{FALPEM:} Framework for Architectural-Level Power Estimation and Optimization for Large Memory Sub-Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {7}, pages = {1138--1142}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2014.2387859}, doi = {10.1109/TCAD.2014.2387859}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChhabraRJTPBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/KumarSST15, author = {Mohit Kumar and Norbert Stoll and Regina Stoll and Kerstin Thurow}, title = {A Stochastic Framework for Robust Fuzzy Filtering and Analysis of Signals - Part {II}}, journal = {{IEEE} Trans. Cybern.}, volume = {45}, number = {3}, pages = {486--496}, year = {2015}, url = {https://doi.org/10.1109/TCYB.2014.2329192}, doi = {10.1109/TCYB.2014.2329192}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/KumarSST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/MukherjeeTGS15, author = {Shubham Mukherjee and Abhishek Tiwari and Mohit Gupta and Anil Kumar Singh}, editor = {Nianwen Xue and Hwee Tou Ng and Sameer Pradhan and Rashmi Prasad and Christopher Bryant and Attapol Rutherford}, title = {Shallow Discourse Parsing with Syntactic and (a Few) Semantic Features}, booktitle = {Proceedings of the 19th Conference on Computational Natural Language Learning: Shared Task, CoNLL 2015, Beijing, China, July 30-31, 2015}, pages = {61--65}, publisher = {{ACL}}, year = {2015}, url = {https://doi.org/10.18653/v1/k15-2009}, doi = {10.18653/V1/K15-2009}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/MukherjeeTGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuHDCPKKTR15, author = {Jianfeng Liu and Mi{-}Suk Hong and Kyung Tae Do and Jung Yun Choi and Jaehong Park and Mohit Kumar and Manish Kumar and Nikhil Tripathi and Abhishek Ranjan}, editor = {Wolfgang Nebel and David Atienza}, title = {Clock domain crossing aware sequential clock gating}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1--6}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755755}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuHDCPKKTR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/ChandanJKCJGKS15, author = {Vikas Chandan and Mohit Jain and Harshad Khadilkar and Zainul Charbiwala and Anupam Jain and Sunil Kumar Ghai and Rajesh Kunnath and Deva P. Seetharam}, editor = {Shivkumar Kalyanaraman and Deva P. Seetharam and Rajeev Shorey and Sarvapali D. Ramchurn and Mani Srivastava}, title = {UrJar: {A} Device to Address Energy Poverty Using E-Waste}, booktitle = {Proceedings of the 2015 {ACM} Sixth International Conference on Future Energy Systems, e-Energy 2015, Bangalore, India, July 14-17, 2015}, pages = {195--196}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2768510.2770940}, doi = {10.1145/2768510.2770940}, timestamp = {Fri, 08 Jul 2022 23:03:27 +0200}, biburl = {https://dblp.org/rec/conf/eenergy/ChandanJKCJGKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncvpripg/KumarP15, author = {Mohit Kumar and Niladri B. Puhan}, title = {Iris liveness detection using texture segmentation}, booktitle = {2015 Fifth National Conference on Computer Vision, Pattern Recognition, Image Processing and Graphics (NCVPRIPG), Patna, India, December 16-19, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NCVPRIPG.2015.7490042}, doi = {10.1109/NCVPRIPG.2015.7490042}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ncvpripg/KumarP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scse/MishraGCSY15, author = {Mohit Mishra and Vaibhav Gupta and Utkarsh Chaturvedi and Kaushal K. Shukla and Roman V. Yampolskiy}, title = {A Study on the Limitations of Evolutionary Computation and other Bio-inspired Approaches for Integer Factorization}, booktitle = {Proceedings of the 2015 International Conference on Soft Computing and Software Engineering, SCSE'15, Berkeley, CA, USA, March 5-6, 2015}, series = {Procedia Computer Science}, volume = {62}, pages = {603--610}, publisher = {Elsevier}, year = {2015}, url = {https://doi.org/10.1016/j.procs.2015.08.553}, doi = {10.1016/J.PROCS.2015.08.553}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scse/MishraGCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sitis/SinghNP15, author = {Mohit Kumar Singh and Neeta Nain and Subhash Panwar}, editor = {Kokou Y{\'{e}}tongnon and Albert Dipanda}, title = {Foreground Object Extraction Using Thresholding with Automatic Shadow Removal}, booktitle = {11th International Conference on Signal-Image Technology {\&} Internet-Based Systems, {SITIS} 2015, Bangkok, Thailand, November 23-27, 2015}, pages = {655--662}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SITIS.2015.73}, doi = {10.1109/SITIS.2015.73}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sitis/SinghNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/MishraVCVSY15, author = {Mohit Mishra and Utkarsh Chaturvedi and Kaushal K. Shukla and Roman V. Yampolskiy}, title = {A Modified Chaotic Firefly Algorithm for Solving Discrete Logarithm Problem and Analysis}, booktitle = {{IEEE} Symposium Series on Computational Intelligence, {SSCI} 2015, Cape Town, South Africa, December 7-10, 2015}, pages = {1885--1892}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SSCI.2015.262}, doi = {10.1109/SSCI.2015.262}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssci/MishraVCVSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HooiSBGAKMF15, author = {Bryan Hooi and Neil Shah and Alex Beutel and Stephan G{\"{u}}nnemann and Leman Akoglu and Mohit Kumar and Disha Makhija and Christos Faloutsos}, title = {{BIRDNEST:} Bayesian Inference for Ratings-Fraud Detection}, journal = {CoRR}, volume = {abs/1511.06030}, year = {2015}, url = {http://arxiv.org/abs/1511.06030}, eprinttype = {arXiv}, eprint = {1511.06030}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/HooiSBGAKMF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShahBHAGMKF15, author = {Neil Shah and Alex Beutel and Bryan Hooi and Leman Akoglu and Stephan G{\"{u}}nnemann and Disha Makhija and Mohit Kumar and Christos Faloutsos}, title = {EdgeCentric: Anomaly Detection in Edge-Attributed Networks}, journal = {CoRR}, volume = {abs/1510.05544}, year = {2015}, url = {http://arxiv.org/abs/1510.05544}, eprinttype = {arXiv}, eprint = {1510.05544}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ShahBHAGMKF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/Kumar14, author = {Mohit Kumar}, title = {Applying weakest t-norm based approximate intuitionistic fuzzy arithmetic operations on different types of intuitionistic fuzzy numbers to evaluate reliability of {PCBA} fault}, journal = {Appl. Soft Comput.}, volume = {23}, pages = {387--406}, year = {2014}, url = {https://doi.org/10.1016/j.asoc.2014.06.036}, doi = {10.1016/J.ASOC.2014.06.036}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/Kumar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/CaoDLMQST0KSCML14, author = {H. Cao and Weishan Dong and Leslie S. Liu and Chunyang Ma and Weihong Qian and J. W. Shi and Chunhua Tian and Yu Wang and David Konopnicki and Michal Shmueli{-}Scheuer and Doron Cohen and Natwar Modani and Hemank Lamba and A. Dwivedi and Amit Anil Nanavati and Mohit Kumar}, title = {SoLoMo analytics for telco Big Data monetization}, journal = {{IBM} J. Res. Dev.}, volume = {58}, number = {5/6}, year = {2014}, url = {https://doi.org/10.1147/JRD.2014.2336177}, doi = {10.1147/JRD.2014.2336177}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/CaoDLMQST0KSCML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/masa/BhattiCK14, author = {Jasdev Bhatti and Ashok K. Chitkara and Mohit Kumar Kakkar}, title = {Stochastic analysis of parallel system with two discrete failures}, journal = {Model. Assist. Stat. Appl.}, volume = {9}, number = {3}, pages = {257--265}, year = {2014}, url = {https://doi.org/10.3233/MAS-140297}, doi = {10.3233/MAS-140297}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/masa/BhattiCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KumarD14, author = {Mohit Kumar and Debabrata Das}, title = {Scaling Number of Active Links in a Linux Kernel Bond Driver Having Heterogeneous Network Interfaces}, journal = {Wirel. Pers. Commun.}, volume = {76}, number = {3}, pages = {435--447}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-1716-0}, doi = {10.1007/S11277-014-1716-0}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KumarD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigda/ThakurPKB14, author = {Mohit Thakur and Dhaval Patel and Shrikant Kumar and Jayendra Barua}, editor = {Srinath Srinivasa and Sameep Mehta}, title = {NewsInstaMiner: Enriching News Article Using Instagram}, booktitle = {Big Data Analytics - Third International Conference, {BDA} 2014, New Delhi, India, December 20-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8883}, pages = {174--188}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13820-6\_16}, doi = {10.1007/978-3-319-13820-6\_16}, timestamp = {Tue, 21 Mar 2023 21:01:30 +0100}, biburl = {https://dblp.org/rec/conf/bigda/ThakurPKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/VaishnavT14, author = {Mohit Vaishnav and Anil Kumar Tiwari}, editor = {Ali Bilgin and Michael W. Marcellin and Joan Serra{-}Sagrist{\`{a}} and James A. Storer}, title = {Bin Classification Using Temporal Gradient Estimation for Lossless Video Coding}, booktitle = {Data Compression Conference, {DCC} 2014, Snowbird, UT, USA, 26-28 March, 2014}, pages = {430}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DCC.2014.93}, doi = {10.1109/DCC.2014.93}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dcc/VaishnavT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/VaishnavTT14, author = {Mohit Vaishnav and Binny Tewani and Anil Kumar Tiwari}, editor = {Ali Bilgin and Michael W. Marcellin and Joan Serra{-}Sagrist{\`{a}} and James A. Storer}, title = {Residue Coding Technique for Video Compression}, booktitle = {Data Compression Conference, {DCC} 2014, Snowbird, UT, USA, 26-28 March, 2014}, pages = {429}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DCC.2014.92}, doi = {10.1109/DCC.2014.92}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcc/VaishnavTT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dev/ChandanJKCJGKS14, author = {Vikas Chandan and Mohit Jain and Harshad Khadilkar and Zainul Charbiwala and Anupam Jain and Sunil Kumar Ghai and Rajesh Kunnath and Deva P. Seetharam}, editor = {Anitha Kannan and Krishnaram Kenthapadi and Shankar Kalyanaraman and Marshini Chetty and Vanessa Fr{\'{\i}}as{-}Mart{\'{\i}}nez}, title = {UrJar: {A} Lighting Solution using Discarded Laptop Batteries}, booktitle = {Proceedings of the Fifth {ACM} Symposium on Computing for Development, {ACM} {DEV} 2014, San Jose, CA, USA, December 5-6, 2014}, pages = {21--30}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2674377.2674391}, doi = {10.1145/2674377.2674391}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dev/ChandanJKCJGKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dev/ChetlurTRSJSW14, author = {Malolan Chetlur and Ashay Tamhane and Vinay Kumar Reddy and Bikram Sengupta and Mohit Jain and Pongsakorn Sukjunnimit and Ramrao Wagh}, editor = {Anitha Kannan and Krishnaram Kenthapadi and Shankar Kalyanaraman and Marshini Chetty and Vanessa Fr{\'{\i}}as{-}Mart{\'{\i}}nez}, title = {EduPaL: Enabling Blended Learning in Resource Constrained Environments}, booktitle = {Proceedings of the Fifth {ACM} Symposium on Computing for Development, {ACM} {DEV} 2014, San Jose, CA, USA, December 5-6, 2014}, pages = {73--82}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2674377.2674388}, doi = {10.1145/2674377.2674388}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dev/ChetlurTRSJSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadiwt/KumariTS14, author = {Deepa Kumari and Mohit P. Tahiliani and Udaya Kumar K. Shenoy}, title = {Experimental analysis of {CUBIC} {TCP} in error prone MANETs}, booktitle = {The Fifth International Conference on the Applications of Digital Information and Web Technologies, {ICADIWT} 2014, Chennai, India, February 17-19, 2014}, pages = {256--261}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICADIWT.2014.6814668}, doi = {10.1109/ICADIWT.2014.6814668}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icadiwt/KumariTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadiwt/RaoTS14, author = {V. Preethi Rao and Mohit P. Tahiliani and Udaya Kumar K. Shenoy}, title = {Analysis of sfqCoDel for Active Queue Management}, booktitle = {The Fifth International Conference on the Applications of Digital Information and Web Technologies, {ICADIWT} 2014, Chennai, India, February 17-19, 2014}, pages = {262--267}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICADIWT.2014.6814669}, doi = {10.1109/ICADIWT.2014.6814669}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icadiwt/RaoTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/VaishnavCT14, author = {Mohit Vaishnav and Dinesh Kumar Chobey and Anil Kumar Tiwari}, editor = {A. G. Ramakrishnan and Jitendra Malik and Alexei A. Efros and C. V. Jawahar and Manik Varma}, title = {Temporal Stationarity Based Prediction Method For Lossless Video Coding}, booktitle = {Proceedings of the 2014 Indian Conference on Computer Vision, Graphics and Image Processing, ICVGIP'14, Bangalore, India, December 14-18, 2014}, pages = {39:1--39:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2683483.2683522}, doi = {10.1145/2683483.2683522}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/VaishnavCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncc/KumarKSH14, author = {Sudhir Kumar and Kumar Kislay and Mohit Kumar Singh and Rajesh M. Hegde}, title = {A range-free tracking algorithm in vehicular ad-hoc networks}, booktitle = {Twentieth National Conference on Communications, {NCC} 2014, Kanpur, India, February 28 - March 2, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NCC.2014.6811310}, doi = {10.1109/NCC.2014.6811310}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ncc/KumarKSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sscc/MiglaniKDR14, author = {Mohit Miglani and Deepika Kukreja and Sanjay Kumar Dhurandher and B. V. Ramana Reddy}, editor = {Jaime Lloret Mauri and Sabu M. Thampi and Danda B. Rawat and Di Jin}, title = {Power Aware and Secure Dynamic Source Routing Protocol in Mobile Ad Hoc Networks}, booktitle = {Security in Computing and Communications - Second International Symposium, {SSCC} 2014, Delhi, India, September 24-27, 2014. Proceedings}, series = {Communications in Computer and Information Science}, volume = {467}, pages = {45--56}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44966-0\_5}, doi = {10.1007/978-3-662-44966-0\_5}, timestamp = {Tue, 01 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sscc/MiglaniKDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GuptaVV14, author = {Mohit Kumar Gupta and Vishal Verma and Megha Singh Verma}, title = {In-Memory Database Systems - {A} Paradigm Shift}, journal = {CoRR}, volume = {abs/1402.1258}, year = {2014}, url = {http://arxiv.org/abs/1402.1258}, eprinttype = {arXiv}, eprint = {1402.1258}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GuptaVV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/KumarYK13, author = {Mohit Kumar and Shiv Prasad Yadav and Surendra Kumar}, title = {Fuzzy system reliability evaluation using time-dependent intuitionistic fuzzy set}, journal = {Int. J. Syst. Sci.}, volume = {44}, number = {1}, pages = {50--66}, year = {2013}, url = {https://doi.org/10.1080/00207721.2011.581393}, doi = {10.1080/00207721.2011.581393}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsysc/KumarYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSTS13, author = {Mohit Kumar and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {Stationary Fuzzy Fokker-Planck Learning for Derivative-Free Optimization}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {21}, number = {2}, pages = {193--208}, year = {2013}, url = {https://doi.org/10.1109/TFUZZ.2012.2204266}, doi = {10.1109/TFUZZ.2012.2204266}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/DhingraLNBG13, author = {Mohit Dhingra and J. Lakshmi and S. K. Nandy and Chiranjib Bhattacharyya and Kanchi Gopinath}, title = {Elastic Resources Framework in IaaS, Preserving Performance SLAs}, booktitle = {2013 {IEEE} Sixth International Conference on Cloud Computing, Santa Clara, CA, USA, June 28 - July 3, 2013}, pages = {430--437}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CLOUD.2013.66}, doi = {10.1109/CLOUD.2013.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/DhingraLNBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africon/MohitO13, author = {Oumesh Kumar Mohit and Vishwamitra Oree}, title = {Assessing the energy savings potential in public buildings through retrofit measures in tropical climates - {A} case study in Mauritius}, booktitle = {{AFRICON} 2013, Pointe aux Piments, Mauritius, September 9-12, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/AFRCON.2013.6757745}, doi = {10.1109/AFRCON.2013.6757745}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/africon/MohitO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aswec/GargLK13, author = {Mohit Garg and Richard Lai and P. K. Kapur}, title = {A Method for Selecting a Model to Estimate the Reliability of a Software Component in a Dynamic System}, booktitle = {22nd Australian Conference on Software Engineering {(ASWEC} 2013), 4-7 June 2013, Melbourne, Victoria, Australia}, pages = {40--50}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ASWEC.2013.15}, doi = {10.1109/ASWEC.2013.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aswec/GargLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/ChobeyVT13, author = {Dinesh Kumar Chobey and Mohit Vaishnav and Anil Kumar Tiwari}, editor = {Ali Bilgin and Michael W. Marcellin and Joan Serra{-}Sagrist{\`{a}} and James A. Storer}, title = {An Optimal Switched Adaptive Prediction Method for Lossless Video Coding}, booktitle = {2013 Data Compression Conference, {DCC} 2013, Snowbird, UT, USA, March 20-22, 2013}, pages = {481}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DCC.2013.63}, doi = {10.1109/DCC.2013.63}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dcc/ChobeyVT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JainAGTS13, author = {Mohit Jain and Ankit Agrawal and Sunil Kumar Ghai and Khai N. Truong and Deva P. Seetharam}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {"We are not in the loop": resource wastage and conservation attitude of employees in indian workplace}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013}, pages = {687--696}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2493432.2493444}, doi = {10.1145/2493432.2493444}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/JainAGTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/KrishnaSDGS13, author = {Tulasi Krishna and Priyam Sachdeva and Suraj Kumar Dhanuka and Mohit Gagrani and Pallabi Sarkar}, title = {A multi parametric optimization based novel approach for an efficient design space exploration for {ASIC} design}, booktitle = {International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2013, Mysore, India, August 22-25, 2013}, pages = {868--873}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICACCI.2013.6637290}, doi = {10.1109/ICACCI.2013.6637290}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/KrishnaSDGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/DuaKV13, author = {Mohit Dua and Sandeep Kumar and Zorawar Singh Virk}, title = {Hindi Language Graphical User Interface to Database Management System}, booktitle = {12th International Conference on Machine Learning and Applications, {ICMLA} 2013, Miami, FL, USA, December 4-7, 2013, Volume 2}, pages = {555--559}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICMLA.2013.176}, doi = {10.1109/ICMLA.2013.176}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icmla/DuaKV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaec/SrivastavaSKJ12, author = {Praveen Ranjan Srivastava and Ashish Kumar Singh and Hemraj Kumhar and Mohit Jain}, title = {Optimal Test Sequence Generation in State Based Testing Using Cuckoo Search}, journal = {Int. J. Appl. Evol. Comput.}, volume = {3}, number = {3}, pages = {17--32}, year = {2012}, url = {https://doi.org/10.4018/jaec.2012070102}, doi = {10.4018/JAEC.2012070102}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaec/SrivastavaSKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/DasKG12, author = {Suvrojit Das and Mohit Kumar and Debidas Ghosh}, title = {Enhancement of forensic capabilities of the linux kernel via file timestamp preservation}, journal = {J. Comput. Methods Sci. Eng.}, volume = {12}, number = {Supplement-1}, pages = {79--89}, year = {2012}, url = {https://doi.org/10.3233/JCM-2012-0438}, doi = {10.3233/JCM-2012-0438}, timestamp = {Mon, 29 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/DasKG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarNBRWSTS12, author = {Mohit Kumar and Sebastian Neubert and Sabine Behrendt and Annika Rieger and Matthias Weippert and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {Stress Monitoring Based on Stochastic Fuzzy Analysis of Heartbeat Intervals}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {20}, number = {4}, pages = {746--759}, year = {2012}, url = {https://doi.org/10.1109/TFUZZ.2012.2183602}, doi = {10.1109/TFUZZ.2012.2183602}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarNBRWSTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEssd/KumarSTS12, author = {Mohit Kumar and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {Physiological signals to individual assessment for application in wireless health systems}, booktitle = {International Multi-Conference on Systems, Signals {\&} Devices, {SSD} 2012, Chemnitz, Germany, March 20-23, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SSD.2012.6198121}, doi = {10.1109/SSD.2012.6198121}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/IEEEssd/KumarSTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZhangCGGM12, author = {Huaijian Zhang and Ricardo Chavarriaga and Mohit Kumar Goel and Lucian Gheorghe and Jos{\'{e}} del R. Mill{\'{a}}n}, title = {Improved recognition of error related potentials through the use of brain connectivity features}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {6740--6743}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347541}, doi = {10.1109/EMBC.2012.6347541}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZhangCGGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grid/DhingraLN12, author = {Mohit Dhingra and J. Lakshmi and S. K. Nandy}, title = {Resource Usage Monitoring in Clouds}, booktitle = {13th {ACM/IEEE} International Conference on Grid Computing, {GRID} 2012, Beijing, China, September 20-23, 2012}, pages = {184--191}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/Grid.2012.10}, doi = {10.1109/GRID.2012.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grid/DhingraLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihi/Kumar12, author = {Mohit Kumar}, editor = {Gang Luo and Jiming Liu and Christopher C. Yang}, title = {Increasing data availability and focus of analytical research for 4P's of healthcare: trends, opportunities and gaps}, booktitle = {{ACM} International Health Informatics Symposium, {IHI} '12, Miami, FL, USA, January 28-30, 2012}, pages = {885--886}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2110363.2110483}, doi = {10.1145/2110363.2110483}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ihi/Kumar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rait/KumarS12, author = {Mohit Kumar and Apoorva Singhal}, title = {Efficient implementation of Advanced Encryption Standard {(AES)} for {ARM} based platforms}, booktitle = {1st International Conference on Recent Advances in Information Technology, {RAIT} 2012, Dhanbad, India, March 15-17, 2012}, pages = {23--27}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/RAIT.2012.6194473}, doi = {10.1109/RAIT.2012.6194473}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/rait/KumarS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/KumarSMN11, author = {B. V. Rathish Kumar and Vivek Sangwan and S. V. S. S. N. V. G. K. Murthy and Mohit Nigam}, title = {A numerical study of singularly perturbed generalized Burgers-Huxley equation using three-step Taylor-Galerkin method}, journal = {Comput. Math. Appl.}, volume = {62}, number = {2}, pages = {776--786}, year = {2011}, url = {https://doi.org/10.1016/j.camwa.2011.06.007}, doi = {10.1016/J.CAMWA.2011.06.007}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/KumarSMN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/Abhinav-VishwaLDV11, author = {Abhinav Vishwa and Mohit Kumar Lal and Sharad Dixit and Pritish Varadwaj}, title = {Clasification Of Arrhythmic {ECG} Data Using Machine Learning Techniques}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {1}, number = {4}, pages = {67--70}, year = {2011}, url = {https://doi.org/10.9781/ijimai.2011.1411}, doi = {10.9781/IJIMAI.2011.1411}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/Abhinav-VishwaLDV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/LaiGKl11, author = {Richard Lai and Mohit Garg and P. K. Kapur and Shaoying Liu}, title = {A Study of When to Release a Software Product from the Perspective of Software Reliability Models}, journal = {J. Softw.}, volume = {6}, number = {4}, pages = {651--661}, year = {2011}, url = {https://doi.org/10.4304/jsw.6.4.651-661}, doi = {10.4304/JSW.6.4.651-661}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsw/LaiGKl11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS11, author = {Mohit Kumar and Norbert Stoll and Regina Stoll}, title = {Stationary Fuzzy Fokker-Planck Learning and Stochastic Fuzzy Filtering}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {19}, number = {5}, pages = {873--889}, year = {2011}, url = {https://doi.org/10.1109/TFUZZ.2011.2148724}, doi = {10.1109/TFUZZ.2011.2148724}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarSTS11, author = {Mohit Kumar and Norbert Stoll and Kerstin Thurow and Regina Stoll}, title = {On the combination of fuzzy models}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2011, Trieste, Italy, Aug. 24-27, 2011}, pages = {322--326}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CASE.2011.6042461}, doi = {10.1109/CASE.2011.6042461}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/KumarSTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/VaishnavST11, author = {Mohit Vaishnav and Ashwani Sharma and Anil Kumar Tiwari}, editor = {James A. Storer and Michael W. Marcellin}, title = {A Novel Computationally Efficient Motion Compensation Method Based on Pixel by Pixel Prediction}, booktitle = {2011 Data Compression Conference {(DCC} 2011), 29-31 March 2011, Snowbird, UT, {USA}}, pages = {480}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DCC.2011.83}, doi = {10.1109/DCC.2011.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcc/VaishnavST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/KumarY11, author = {Mohit Kumar and Shiv Prasad Yadav}, editor = {Ajith Abraham and Mohamed Kamel and Ronald R. Yager}, title = {Fuzzy system reliability analysis using different types of intuitionistic fuzzy numbers}, booktitle = {11th International Conference on Hybrid Intelligent Systems, {HIS} 2011, Melacca, Malaysia, December 5-8, 2011}, pages = {247--252}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HIS.2011.6122113}, doi = {10.1109/HIS.2011.6122113}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/KumarY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/GhaniK11, author = {Rayid Ghani and Mohit Kumar}, editor = {Chid Apt{\'{e}} and Joydeep Ghosh and Padhraic Smyth}, title = {Interactive learning for efficiently detecting errors in insurance claims}, booktitle = {Proceedings of the 17th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, San Diego, CA, USA, August 21-24, 2011}, pages = {325--333}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2020408.2020463}, doi = {10.1145/2020408.2020463}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/GhaniK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/KumarY11, author = {Mohit Kumar and Shiv Prasad Yadav}, editor = {Kusum Deep and Atulya Nagar and Millie Pant and Jagdish Chand Bansal}, title = {Analyzing Fuzzy System Reliability Using Arithmetic Operations on Different Types of Intuitionistic Fuzzy Numbers}, booktitle = {Proceedings of the International Conference on Soft Computing for Problem Solving (SocProS 2011) December 20-22, 2011 - Volume 1}, series = {Advances in Intelligent and Soft Computing}, volume = {130}, pages = {725--736}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-81-322-0487-9\_69}, doi = {10.1007/978-81-322-0487-9\_69}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socpros/KumarY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/KumarWSS10, author = {Mohit Kumar and Matthias Weippert and Norbert Stoll and Regina Stoll}, title = {A mixture of fuzzy filters applied to the analysis of heartbeat intervals}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {9}, number = {4}, pages = {383--412}, year = {2010}, url = {https://doi.org/10.1007/s10700-010-9089-7}, doi = {10.1007/S10700-010-9089-7}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/KumarWSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/SangwanKMN10, author = {Vivek Sangwan and B. V. Rathish Kumar and S. V. S. S. N. V. G. K. Murthy and Mohit Nigam}, title = {Three-Step Taylor Galerkin method for singularly perturbed generalized Hodgkin-Huxley equation}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {1}, number = {2}, year = {2010}, url = {https://doi.org/10.1142/S1793962310000183}, doi = {10.1142/S1793962310000183}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/SangwanKMN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS10, author = {Mohit Kumar and Norbert Stoll and Regina Stoll}, title = {Variational Bayes for a Mixed Stochastic/Deterministic Fuzzy Filter}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {18}, number = {4}, pages = {787--801}, year = {2010}, url = {https://doi.org/10.1109/TFUZZ.2010.2048331}, doi = {10.1109/TFUZZ.2010.2048331}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarWAKTSS10, author = {Mohit Kumar and Matthias Weippert and Dagmar Arndt and Steffi Kreuzfeld and Kerstin Thurow and Norbert Stoll and Regina Stoll}, title = {Fuzzy Filtering for Physiological Signal Analysis}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {18}, number = {1}, pages = {208--216}, year = {2010}, url = {https://doi.org/10.1109/TFUZZ.2009.2038709}, doi = {10.1109/TFUZZ.2009.2038709}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarWAKTSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarKST10, author = {Shefali Kumar and Mohit Kumar and Regina Stoll and Kerstin Thurow}, title = {A mathematical programming for predicting molecular formulas in accurate mass spectrometry}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2010, Toronto, ON, Canada, 21-24 August, 2010}, pages = {246--251}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/COASE.2010.5583994}, doi = {10.1109/COASE.2010.5583994}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/KumarKST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/KumarGM10, author = {Mohit Kumar and Rayid Ghani and Zhu{-}Song Mei}, editor = {Bharat Rao and Balaji Krishnapuram and Andrew Tomkins and Qiang Yang}, title = {Data mining to predict and prevent errors in health insurance claims processing}, booktitle = {Proceedings of the 16th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, July 25-28, 2010}, pages = {65--74}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835804.1835816}, doi = {10.1145/1835804.1835816}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/KumarGM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/KumarKTSK09, author = {Shefali Kumar and Mohit Kumar and Kerstin Thurow and Regina Stoll and Udo Kragl}, title = {Fuzzy filtering for robust bioconcentration factor modelling}, journal = {Environ. Model. Softw.}, volume = {24}, number = {1}, pages = {44--53}, year = {2009}, url = {https://doi.org/10.1016/j.envsoft.2008.05.002}, doi = {10.1016/J.ENVSOFT.2008.05.002}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/KumarKTSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS09, author = {Mohit Kumar and Norbert Stoll and Regina Stoll}, title = {On the Estimation of Parameters of Takagi-Sugeno Fuzzy Filters}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {17}, number = {1}, pages = {150--166}, year = {2009}, url = {https://doi.org/10.1109/TFUZZ.2008.2005405}, doi = {10.1109/TFUZZ.2008.2005405}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS09a, author = {Mohit Kumar and Norbert Stoll and Regina Stoll}, title = {Adaptive Fuzzy Filtering in a Deterministic Setting}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {17}, number = {4}, pages = {763--776}, year = {2009}, url = {https://doi.org/10.1109/TFUZZ.2008.924331}, doi = {10.1109/TFUZZ.2008.924331}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarWKSS09, author = {Mohit Kumar and Matthias Weippert and Steffi Kreuzfeld and Norbert Stoll and Regina Stoll}, title = {A fuzzy filtering based system for maximal oxygen uptake prediction using heart rate variability analysis}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2009, Bangalore, India, 22-25 August, 2011}, pages = {604--608}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/COASE.2009.5234160}, doi = {10.1109/COASE.2009.5234160}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/KumarWKSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KumarAKTSS08, author = {Mohit Kumar and Dagmar Arndt and Steffi Kreuzfeld and Kerstin Thurow and Norbert Stoll and Regina Stoll}, title = {Fuzzy Techniques for Subjective Workload-Score Modeling Under Uncertainties}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {38}, number = {6}, pages = {1449--1464}, year = {2008}, url = {https://doi.org/10.1109/TSMCB.2008.927712}, doi = {10.1109/TSMCB.2008.927712}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/KumarAKTSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarTSS08, author = {Mohit Kumar and Kerstin Thurow and Norbert Stoll and Regina Stoll}, title = {A fuzzy system for modeling the structure-activity relationships in presence of uncertainties}, booktitle = {2008 {IEEE} International Conference on Automation Science and Engineering, {IEEE} {CASE} 2008, Washington, DC, USA, August 23-26, 2008}, pages = {1025--1030}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/COASE.2008.4626437}, doi = {10.1109/COASE.2008.4626437}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/KumarTSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/DasKR08, author = {Dipanjan Das and Mohit Kumar and Alexander I. Rudnicky}, title = {Automatic Extraction of Briefing Templates}, booktitle = {Third International Joint Conference on Natural Language Processing, {IJCNLP} 2008, Hyderabad, India, January 7-12, 2008}, pages = {265--272}, publisher = {The Association for Computer Linguistics}, year = {2008}, url = {https://aclanthology.org/I08-1035/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/DasKR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarWVKS07, author = {Mohit Kumar and Matthias Weippert and Reinhard Vilbrandt and Steffi Kreuzfeld and Regina Stoll}, title = {Fuzzy Evaluation of Heart Rate Signals for Mental Stress Assessment}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {15}, number = {5}, pages = {791--808}, year = {2007}, url = {https://doi.org/10.1109/TFUZZ.2006.889825}, doi = {10.1109/TFUZZ.2006.889825}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarWVKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarSKTS07, author = {Mohit Kumar and Norbert Stoll and David Kaber and Kerstin Thurow and Regina Stoll}, title = {Fuzzy Filtering for an Intelligent Interpretation of Medical Data}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2007, September 22-25, 2007. Scottsdale, Arizona, {USA}}, pages = {225--230}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/COASE.2007.4341714}, doi = {10.1109/COASE.2007.4341714}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KumarSKTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KumarTSS07, author = {Mohit Kumar and Kerstin Thurow and Norbert Stoll and Regina Stoll}, title = {Fuzzy Handling of Uncertainties in Modeling the Inhibition of Glycogen Synthase Kinase-3 by Paullones}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2007, September 22-25, 2007. Scottsdale, Arizona, {USA}}, pages = {237--242}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/COASE.2007.4341713}, doi = {10.1109/COASE.2007.4341713}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/KumarTSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/KumarGR07, author = {Mohit Kumar and Nikesh Garera and Alexander I. Rudnicky}, editor = {Manuela M. Veloso}, title = {Learning from the Report-writing Behavior of Individuals}, booktitle = {{IJCAI} 2007, Proceedings of the 20th International Joint Conference on Artificial Intelligence, Hyderabad, India, January 6-12, 2007}, pages = {1641--1646}, year = {2007}, url = {http://ijcai.org/Proceedings/07/Papers/265.pdf}, timestamp = {Tue, 20 Aug 2019 16:17:11 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/KumarGR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/riao/KumarDR07, author = {Mohit Kumar and Dipanjan Das and Alexander I. Rudnicky}, editor = {David A. Evans and Sadaoki Furui and Chantal Soul{\'{e}}{-}Dupuy}, title = {Summarizing non-textual events with 'Briefing' focus}, booktitle = {Computer-Assisted Information Retrieval (Recherche d'Information et ses Applications) - {RIAO} 2007, 8th International Conference, Carnegie Mellon University, Pittsburgh, PA, USA, May 30 - June 1, 2007. Proceedings, {CD-ROM}}, pages = {210--225}, publisher = {{CID}}, year = {2007}, url = {https://dl.acm.org/doi/10.5555/1931390.1931411}, doi = {10.5555/1931390.1931411}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/riao/KumarDR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KumarSS06, author = {Mohit Kumar and Norbert Stoll and Regina Stoll}, title = {An energy-gain bounding approach to robust fuzzy identification}, journal = {Autom.}, volume = {42}, number = {5}, pages = {711--721}, year = {2006}, url = {https://doi.org/10.1016/j.automatica.2006.01.013}, doi = {10.1016/J.AUTOMATICA.2006.01.013}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KumarSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS06, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {A Min-Max Approach to Fuzzy Clustering, Estimation, and Identification}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {14}, number = {2}, pages = {248--262}, year = {2006}, url = {https://doi.org/10.1109/TFUZZ.2005.864081}, doi = {10.1109/TFUZZ.2005.864081}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KumarSS06a, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {A Robust Design Criterion for Interpretable Fuzzy Models With Uncertain Data}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {14}, number = {2}, pages = {314--328}, year = {2006}, url = {https://doi.org/10.1109/TFUZZ.2005.861614}, doi = {10.1109/TFUZZ.2005.861614}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/KumarSS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KumarSS06, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {Deterministic approach to robust adaptive learning of fuzzy models}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {36}, number = {4}, pages = {767--780}, year = {2006}, url = {https://doi.org/10.1109/TSMCB.2006.870625}, doi = {10.1109/TSMCB.2006.870625}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/KumarSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Huggins-DainesKCBRR06, author = {David Huggins{-}Daines and Mohit Kumar and Arthur Chan and Alan W. Black and Mosur Ravishankar and Alexander I. Rudnicky}, title = {Pocketsphinx: {A} Free, Real-Time Continuous Speech Recognition System for Hand-Held Devices}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {185--188}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1659988}, doi = {10.1109/ICASSP.2006.1659988}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Huggins-DainesKCBRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/KumarGR06, author = {Mohit Kumar and Nikesh Garera and Alexander I. Rudnicky}, title = {A Briefing Tool that Learns Individual Report-Writing Behavior}, booktitle = {18th {IEEE} International Conference on Tools with Artificial Intelligence {(ICTAI} 2006), 13-15 November 2006, Arlington, VA, {USA}}, pages = {527--531}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICTAI.2006.7}, doi = {10.1109/ICTAI.2006.7}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/KumarGR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/de/Kumar2005, author = {Mohit Kumar}, title = {A deterministic approach to robust fuzzy identification of uncertain processes in occupational medicine}, school = {University of Rostock}, year = {2005}, url = {https://d-nb.info/973697067}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/de/Kumar2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwia/VirendraUKA05, author = {Mohit Virendra and Shambhu J. Upadhyaya and Vivek Kumar and Vishal Anand}, title = {{SAWAN:} {A} Survivable Architecture for Wireless LANs}, booktitle = {Proceedings of the 3rd {IEEE} International Workshop on Information Assurance {(IWIA} 2005), 23-24 March 2005, College Park, MD, {USA}}, pages = {71--82}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWIA.2005.15}, doi = {10.1109/IWIA.2005.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwia/VirendraUKA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/RajputNKKD05, author = {Nitendra Rajput and Amit Anil Nanavati and Mohit Kumar and Pankaj Kankar and Rajan Dahiya}, title = {{SAMVAAD:} speech applications made viable for access-anywhere devices}, booktitle = {2005 {IEEE} International Conference on Wireless And Mobile Computing, Networking And Communications, WiMob 2005, Montreal, Canada, August 22-14, 2005, Volume 4}, pages = {37--44}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WIMOB.2005.1512947}, doi = {10.1109/WIMOB.2005.1512947}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wimob/RajputNKKD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/KumarSS04, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {Robust Solution to Fuzzy Identification Problem with Uncertain Data by Regularization}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {3}, number = {1}, pages = {63--82}, year = {2004}, url = {https://doi.org/10.1023/B:FODM.0000013072.42941.0d}, doi = {10.1023/B:FODM.0000013072.42941.0D}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/KumarSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/KumarSS04a, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {Robust Adaptive Identification of Fuzzy Systems with Uncertain Data}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {3}, number = {3}, pages = {195--216}, year = {2004}, url = {https://doi.org/10.1023/B:FODM.0000036863.11629.42}, doi = {10.1023/B:FODM.0000036863.11629.42}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/KumarSS04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/KumarRV04, author = {Mohit Kumar and Nitendra Rajput and Ashish Verma}, title = {A large-vocabulary continuous speech recognition system for Hindi}, journal = {{IBM} J. Res. Dev.}, volume = {48}, number = {5-6}, pages = {703--716}, year = {2004}, url = {https://doi.org/10.1147/rd.485.0703}, doi = {10.1147/RD.485.0703}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/KumarRV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/KumarSS03, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {Robust Adaptive Fuzzy Identification of Time-Varying Processes with Uncertain Data. Handling Uncertainties in the Physical Fitness Fuzzy Approximation with Real World Medical Data: An Application}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {2}, number = {3}, pages = {243--259}, year = {2003}, url = {https://doi.org/10.1023/A:1025046621254}, doi = {10.1023/A:1025046621254}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/KumarSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/KumarSS03a, author = {Mohit Kumar and Regina Stoll and Norbert Stoll}, title = {Regularized Adaptation of Fuzzy Inference Systems. Modelling the Opinion of a Medical Expert about Physical Fitness: An Application}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {2}, number = {4}, pages = {317--336}, year = {2003}, url = {https://doi.org/10.1023/B:FODM.0000003952.07461.ed}, doi = {10.1023/B:FODM.0000003952.07461.ED}, timestamp = {Tue, 23 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/KumarSS03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/KushalCSGSSKB03, author = {Akash M. Kushal and Gaurav Chanda and Kanishka Shrivastava and Mohit Gupta and Subhajit Sanyal and T. V. N. Sriram and Prem Kalra and Subhashis Banerjee}, editor = {Miguel Chover and Hans Hagen and Daniela Tost}, title = {Multilevel modelling and rendering of architectural scenes}, booktitle = {24th Annual Conference of the European Association for Computer Graphics, Eurographics 2003 - Short Presentations, Granada, Spain, September 1-5, 2003}, publisher = {Eurographics Association}, year = {2003}, url = {https://doi.org/10.2312/egs.20031067}, doi = {10.2312/EGS.20031067}, timestamp = {Fri, 03 Jul 2020 17:51:29 +0200}, biburl = {https://dblp.org/rec/conf/eurographics/KushalCSGSSKB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/KumarPG02, author = {Rajeev Kumar and Prajna P. Parida and Mohit Gupta}, title = {Topological design of communication networks using multiobjective genetic optimization}, booktitle = {Proceedings of the 2002 Congress on Evolutionary Computation, {CEC} 2002, Honolulu, HI, USA, May 12-17, 2002}, pages = {425--430}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CEC.2002.1006272}, doi = {10.1109/CEC.2002.1006272}, timestamp = {Thu, 16 Dec 2021 13:53:48 +0100}, biburl = {https://dblp.org/rec/conf/cec/KumarPG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ShrivastavaKKKB00, author = {Aviral Shrivastava and Mohit Kumar and Sanjiv Kapoor and Shashi Kumar and M. Balakrishnan}, title = {Optimal Hardware/Software Partitioning for Concurrent Specification Using Dynamic Programming}, booktitle = {13th International Conference on {VLSI} Design {(VLSI} Design 2000), 4-7 January 2000, Calcutta, India}, pages = {110--113}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICVD.2000.812593}, doi = {10.1109/ICVD.2000.812593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ShrivastavaKKKB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/Roy78, author = {Mohit Kumar Roy}, title = {Evaluation of Permutation Algorithms}, journal = {Comput. J.}, volume = {21}, number = {4}, pages = {296--301}, year = {1978}, url = {https://doi.org/10.1093/comjnl/21.4.296}, doi = {10.1093/COMJNL/21.4.296}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/Roy78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Roy77, author = {Mohit Kumar Roy}, title = {A Note On Refection-Free Permutation Enumeration}, journal = {Commun. {ACM}}, volume = {20}, number = {11}, pages = {823}, year = {1977}, url = {https://doi.org/10.1145/359863.359884}, doi = {10.1145/359863.359884}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Roy77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/GhoshdastidarR75, author = {D. Ghoshdastidar and Mohit Kumar Roy}, title = {A Study on the Evaluation of Shell's Sorting Technique}, journal = {Comput. J.}, volume = {18}, number = {3}, pages = {234--235}, year = {1975}, url = {https://doi.org/10.1093/comjnl/18.3.234}, doi = {10.1093/COMJNL/18.3.234}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/GhoshdastidarR75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Roy73, author = {Mohit Kumar Roy}, title = {Reflection-Free Permutations, Rosary Permutations, and Adjacent Transposition Algorithms}, journal = {Commun. {ACM}}, volume = {16}, number = {5}, pages = {312--313}, year = {1973}, url = {https://doi.org/10.1145/362041.362225}, doi = {10.1145/362041.362225}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Roy73.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Roy73a, author = {Mohit Kumar Roy}, title = {Generation of Permutations in Lexicographic Order (Remark on Algorithm 323)}, journal = {Commun. {ACM}}, volume = {16}, number = {9}, pages = {577}, year = {1973}, timestamp = {Thu, 20 Nov 2003 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Roy73a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.