default search action
Search dblp for Publications
export results for "Ma Fan Yung"
@article{DBLP:journals/eis/TsangFLL24, author = {Yung Po Tsang and Youqing Fan and Carman K. M. Lee and Henry C. W. Lau}, title = {Blockchain sharding for e-commerce supply chain performance analytics towards Industry 5.0}, journal = {Enterp. Inf. Syst.}, volume = {18}, number = {4}, year = {2024}, url = {https://doi.org/10.1080/17517575.2024.2311807}, doi = {10.1080/17517575.2024.2311807}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/TsangFLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuWHKLJCCLLTHCCC24, author = {Hung{-}Hsi Hsu and Tai{-}Hao Wen and Wei{-}Hsing Huang and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile AI-Edge Processor With {SLC-MLC} Hybrid ReRAM Compute-in-Memory Macro Using Current-Voltage-Hybrid Readout Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {116--127}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314433}, doi = {10.1109/JSSC.2023.3314433}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HsuWHKLJCCLLTHCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YouCKLHCLLHTCCC24, author = {De{-}Qi You and Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8b-Precision 8-Mb {STT-MRAM} Near-Memory-Compute Macro Using Weight-Feature and Input-Sparsity Aware Schemes for Energy-Efficient Edge {AI} Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {219--230}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3324335}, doi = {10.1109/JSSC.2023.3324335}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YouCKLHCLLHTCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SunCCAMFNCCWC24, author = {Xiaoyu Sun and Weidong Cao and Brian Crafton and Kerem Akarvardar and Haruki Mori and Hidehiro Fujiwara and Hiroki Noguchi and Yu{-}Der Chih and Meng{-}Fan Chang and Yih Wang and Tsung{-}Yung Jonathan Chang}, title = {Efficient Processing of MLPerf Mobile Workloads Using Digital Compute-In-Memory Macros}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {4}, pages = {1191--1205}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3333290}, doi = {10.1109/TCAD.2023.3333290}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SunCCAMFNCCWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/LiuMLSMYBW24, author = {Guodong Liu and Youshan Miao and Zhiqi Lin and Xiaoxiang Shi and Saeed Maleki and Fan Yang and Yungang Bao and Sa Wang}, title = {Aceso: Efficient Parallel {DNN} Training through Iterative Bottleneck Alleviation}, booktitle = {Proceedings of the Nineteenth European Conference on Computer Systems, EuroSys 2024, Athens, Greece, April 22-25, 2024}, pages = {163--181}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627703.3629554}, doi = {10.1145/3627703.3629554}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/LiuMLSMYBW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinNSYLCHLLHTANYGSJWJWCWCCWC24, author = {Ku{-}Feng Lin and Hiroki Noguchi and Yi{-}Chun Shih and Perng{-}Fei Yuh and Yuan{-}Jen Lee and Tung{-}Cheng Chang and Sheng{-}Po Huang and Yu{-}Fan Lin and Chun{-}Ying Lee and Yen{-}Hsiang Huang and Jui{-}Che Tsai and Saman Adham and Peter Noel and Ramin Yazdi and Marat Gershoig and YangJae Shin and Vineet Joshi and Ted Wong and Meng{-}Ru Jiang and J. J. Wu and Chun{-}Tai Cheng and Yu{-}Jen Wang and Harry Chuang and Yu{-}Der Chih and Yih Wang and Tsung{-}Yung Jonathan Chang}, title = {15.9 {A} 16nm 16Mb Embedded {STT-MRAM} with a 20ns Write Time, a 10\({}^{\mbox{12}}\) Write Endurance and Integrated Margin-Expansion Schemes}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {292--294}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454339}, doi = {10.1109/ISSCC49657.2024.10454339}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinNSYLCHLLHTANYGSJWJWCWCCWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WenHKHKCWCHLLHTTCCCC24, author = {Tai{-}Hao Wen and Hung{-}Hsi Hsu and Win{-}San Khwa and Wei{-}Hsing Huang and Zhao{-}En Ke and Yu{-}Hsiang Chin and Hua{-}Jin Wen and Yu{-}Chen Chang and Wei{-}Ting Hsu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shih{-}Hsih Teng and Chung{-}Cheng Chou and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {34.8 {A} 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2TFLOPS/W for {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {580--582}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454468}, doi = {10.1109/ISSCC49657.2024.10454468}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WenHKHKCWCHLLHTTCCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/DrayssFQVLLCPRZFKK24, author = {Daniel Dray{\ss} and Dengyang Fang and Alexander Quint and Luca Valenziano and Matthias Lauermann and Grigory Lihachev and Yung Chen and Huanfa Peng and Sebastian Randel and Thomas Zwick and Wolfgang Freude and Tobias J. Kippenberg and Christian Koos}, title = {Integrated Non-sliced {OAWM} Engine Enabling 320 GHz Photonic-Electronic Analog-to-Digital Conversion}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10527087}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/DrayssFQVLLCPRZFKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/FangDCLPLQVRZFKK24, author = {Dengyang Fang and Daniel Dray{\ss} and Yung Chen and Matthias Lauermann and Huanfa Peng and Grigory Lihachev and Alexander Quint and Luca Valenziano and Sebastian Randel and Thomas Zwick and Wolfgang Freude and Tobias J. Kippenberg and Christian Koos}, title = {Spectrally Sliced Optical Arbitrary Waveform Measurement {(OAWM)} Using a Photonic Multi-Chip Receiver Assembly}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526882}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/FangDCLPLQVRZFKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungWHHCSKLLHTC23, author = {Je{-}Min Hung and Tai{-}Hao Wen and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for {AI} Edge Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {303--315}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200515}, doi = {10.1109/JSSC.2022.3200515}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HungWHHCSKLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuKLHCLCPYCLLLHTCCC23, author = {Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and De{-}Qi You and Fang{-}Yi Chen and Andrew Lee and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 8Mb {STT-MRAM} Near-Memory-Computing Macro with 8b-Precision and 46.4-160.1TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {496--497}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067563}, doi = {10.1109/ISSCC42615.2023.10067563}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChiuKLHCLCPYCLLLHTCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangWHKLJHCCLLTHCCC23, author = {Wei{-}Hsing Huang and Tai{-}Hao Wen and Je{-}Min Hung and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Hung{-}Hsi Hsu and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chuna{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile Al-Edge Processor with 4MB {SLC-MLC} Hybrid-Mode ReRAM Compute-in-Memory Macro and 51.4-251TOPS/W}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {258--259}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067610}, doi = {10.1109/ISSCC42615.2023.10067610}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HuangWHKLJHCCLLTHCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185326}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185326}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/WenHHWCLCSKWLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01857, author = {Xingquan Li and Simin Tao and Zengrong Huang and Shijian Chen and Zhisheng Zeng and Liwei Ni and Zhipeng Huang and Chunan Zhuang and Hongxi Wu and Weiguo Li and Xueyan Zhao and He Liu and Shuaiying Long and Wei He and Bojun Liu and Sifeng Gan and Zihao Yu and Tong Liu and Yuchi Miao and Zhiyuan Yan and Hao Wang and Jie Zhao and Yifan Li and Ruizhi Liu and Xiaoze Lin and Bo Yang and Zhen Xue and Fuxing Huang and Zonglin Yang and Zhenggang Wu and Jiangkao Li and Yuezuo Liu and Ming Peng and Yihang Qiu and Wenrui Wu and Zheqing Shao and Kai Mo and Jikang Liu and Yuyao Liang and Mingzhe Zhang and Zhuang Ma and Xiang Cong and Daxiang Huang and Guojie Luo and Huawei Li and Haihua Shen and Mingyu Chen and Dongbo Bu and Wenxing Zhu and Ye Cai and Xiaoming Xiong and Ying Jiang and Yi Heng and Peng Zhang and Biwei Xie and Yungang Bao}, title = {iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library}, journal = {CoRR}, volume = {abs/2308.01857}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01857}, doi = {10.48550/ARXIV.2308.01857}, eprinttype = {arXiv}, eprint = {2308.01857}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14862, author = {Yin Luo and Qingchao Kong and Nan Xu and Jia Cao and Bao Hao and Baoyu Qu and Bo Chen and Chao Zhu and Chenyang Zhao and Donglei Zhang and Fan Feng and Feifei Zhao and Hailong Sun and Hanxuan Yang and Haojun Pan and Hongyu Liu and Jianbin Guo and Jiangtao Du and Jingyi Wang and Junfeng Li and Lei Sun and Liduo Liu and Lifeng Dong and Lili Liu and Lin Wang and Liwen Zhang and Minzheng Wang and Pin Wang and Ping Yu and Qingxiao Li and Rui Yan and Rui Zou and Ruiqun Li and Taiwen Huang and Xiaodong Wang and Xiaofei Wu and Xin Peng and Xina Zhang and Xing Fang and Xinglin Xiao and Yanni Hao and Yao Dong and Yigang Wang and Ying Liu and Yongyu Jiang and Yungan Wang and Yuqi Wang and Zhangsheng Wang and Zhaoxin Yu and Zhen Luo and Wenji Mao and Lei Wang and Daniel Dajun Zeng}, title = {{YAYI} 2: Multilingual Open-Source Large Language Models}, journal = {CoRR}, volume = {abs/2312.14862}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14862}, doi = {10.48550/ARXIV.2312.14862}, eprinttype = {arXiv}, eprint = {2312.14862}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ChenALIDFKXZBBB22, author = {Qingyu Chen and Alexis Allot and Robert Leaman and Rezarta Islamaj and Jingcheng Du and Li Fang and Wang Kai and Shuo Xu and Yuefu Zhang and Parsa Bagherzadeh and Sabine Bergler and Aakash Bhatnagar and Nidhir Bhavsar and Yung{-}Chun Chang and Sheng{-}Jie Lin and Wentai Tang and Hongtong Zhang and Ilija Tavchioski and Senja Pollak and Shubo Tian and Jinfeng Zhang and Yulia Otmakhova and Antonio Jimeno{-}Yepes and Hang Dong and Honghan Wu and Richard Dufour and Yanis Labrak and Niladri Chatterjee and Kushagri Tandon and Fr{\'{e}}jus A. A. Laleye and Lo{\"{\i}}c Rakotoson and Emmanuele Chersoni and Jinghang Gu and Annemarie Friedrich and Subhash Chandra Pujari and Mariia Chizhikova and Naveen Sivadasan and Saipradeep Vg and Zhiyong Lu}, title = {Multi-label classification for biomedical literature: an overview of the BioCreative {VII} LitCovid Track for {COVID-19} literature topic annotations}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac069}, doi = {10.1093/DATABASE/BAAC069}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ChenALIDFKXZBBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuCLHCXWKCHTL22, author = {Yen{-}Cheng Chiu and Tung{-}Cheng Chang and Chun{-}Ying Lee and Je{-}Min Hung and Kuang{-}Tang Chang and Cheng{-}Xin Xue and Ssu{-}Yen Wu and Hui{-}Yao Kao and Peng Chen and Hsiao{-}Yu Huang and Shih{-}Hsih Teng and Chieh{-}Pu Lo and Yi{-}Chun Shih and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Yier Jin and Meng{-}Fan Chang}, title = {A 22-nm 1-Mb 1024-b Read Data-Protected {STT-MRAM} Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1936--1949}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3112182}, doi = {10.1109/JSSC.2021.3112182}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuCLHCXWKCHTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuSCCHTLLLWCRCW22, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Yen{-}Lin Chung and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Hongwu Jiang and Shanshi Huang and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shimeng Yu and Meng{-}Fan Chang}, title = {Two-Way Transpose Multibit 6T {SRAM} Computing-in-Memory Macro for Inference-Training {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {609--624}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3108344}, doi = {10.1109/JSSC.2021.3108344}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SuSCCHTLLLWCRCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/LauYYFKNTY22, author = {Chi Yat Lau and Man{-}Ching Yuen and Ka{-}Ho Yueng and Cheuk{-}Pan Fan and On{-}Yi Ko and Lit{-}Wang Ngan and Wing{-}Chun Tam and Wai{-}Nam Yeung}, title = {PC-based Intelligent Traffic Monitoring System with Real-time Analysis for Smart Cities}, booktitle = {14th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2022, Bangalore, India, January 4-8, 2022}, pages = {324--328}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COMSNETS53615.2022.9668589}, doi = {10.1109/COMSNETS53615.2022.9668589}, timestamp = {Tue, 25 Jan 2022 16:35:12 +0100}, biburl = {https://dblp.org/rec/conf/comsnets/LauYYFKNTY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuYTHCWCHLLCP22, author = {Yen{-}Cheng Chiu and Chia{-}Sheng Yang and Shih{-}Hsih Teng and Hsiao{-}Yu Huang and Fu{-}Chun Chang and Yuan Wu and Yu{-}An Chien and Fang{-}Ling Hsieh and Chung{-}Yuan Li and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and Chung{-}Chuan Lo and Win{-}San Khwa and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chieh{-}Pu Lo and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb {STT-MRAM} Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b {MAC} for {AI} Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {178--180}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731621}, doi = {10.1109/ISSCC42614.2022.9731621}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiuYTHCWCHLLCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungHHCWSKLLHTC22, author = {Je{-}Min Hung and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Tai{-}Hao Wen and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731715}, doi = {10.1109/ISSCC42614.2022.9731715}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HungHHCWSKLLHTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/DrayssFFLHCPMZF22, author = {Daniel Dray{\ss} and Dengyang Fang and Christoph F{\"{u}}llner and Grigorii Likhachev and Thomas Henauer and Yung Chen and Huanfa Peng and Pablo Marin{-}Palomo and Thomas Zwick and Wolfgang Freude and Tobias J. Kippenberg and Sebastian Randel and Christian Koos}, title = {Slice-Less Optical Arbitrary Waveform Measurement {(OAWM)} in a Bandwidth of More Than 600 GHz}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748235}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/DrayssFFLHCPMZF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-09798, author = {Shaheer U. Saeed and Wen Yan and Yunguan Fu and Francesco Giganti and Qianye Yang and Zachary M. C. Baum and Mirabela Rusu and Richard E. Fan and Geoffrey A. Sonn and Mark Emberton and Dean C. Barratt and Yipeng Hu}, title = {Image quality assessment by overlapping task-specific and task-agnostic measures: application to prostate multiparametric {MR} images for cancer segmentation}, journal = {CoRR}, volume = {abs/2202.09798}, year = {2022}, url = {https://arxiv.org/abs/2202.09798}, eprinttype = {arXiv}, eprint = {2202.09798}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-09798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09781, author = {Qingyu Chen and Alexis Allot and Robert Leaman and Rezarta Islamaj Dogan and Jingcheng Du and Li Fang and Wang Kai and Shuo Xu and Yuefu Zhang and Parsa Bagherzadeh and Sabine Bergler and Aakash Bhatnagar and Nidhir Bhavsar and Yung{-}Chun Chang and Sheng{-}Jie Lin and Wentai Tang and Hongtong Zhang and Ilija Tavchioski and Shubo Tian and Jinfeng Zhang and Yulia Otmakhova and Antonio Jimeno{-}Yepes and Hang Dong and Honghan Wu and Richard Dufour and Yanis Labrak and Niladri Chatterjee and Kushagri Tandon and Fr{\'{e}}jus A. A. Laleye and Lo{\"{\i}}c Rakotoson and Emmanuele Chersoni and Jinghang Gu and Annemarie Friedrich and Subhash Chandra Pujari and Mariia Chizhikova and Naveen Sivadasan and Zhiyong Lu}, title = {Multi-label classification for biomedical literature: an overview of the BioCreative {VII} LitCovid Track for {COVID-19} literature topic annotations}, journal = {CoRR}, volume = {abs/2204.09781}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09781}, doi = {10.48550/ARXIV.2204.09781}, eprinttype = {arXiv}, eprint = {2204.09781}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-01142, author = {Albert Lu and Jordan Marshall and Yifan Wang and Ming Xiao and Yuhao Zhang and Hiu Yung Wong}, title = {Vertical GaN Diode {BV} Maximization through Rapid {TCAD} Simulation and ML-enabled Surrogate Model}, journal = {CoRR}, volume = {abs/2208.01142}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.01142}, doi = {10.48550/ARXIV.2208.01142}, eprinttype = {arXiv}, eprint = {2208.01142}, timestamp = {Tue, 09 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-01142.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/JengCMCS21, author = {Shuen{-}Lin Jeng and Yung{-}Chan Chi and Mi{-}Chia Ma and Shi{-}Huang Chan and Hsiao{-}Fang Sunny Sun}, title = {Gene expression analysis of combined RNA-seq experiments using a receiver operating characteristic calibrated procedure}, journal = {Comput. Biol. Chem.}, volume = {93}, pages = {107515}, year = {2021}, url = {https://doi.org/10.1016/j.compbiolchem.2021.107515}, doi = {10.1016/J.COMPBIOLCHEM.2021.107515}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/JengCMCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiTHSLWLWLCCSLL21, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Yen{-}Lin Chung and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b {MAC} Operation for Edge {AI} Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2817--2831}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3073254}, doi = {10.1109/JSSC.2021.3073254}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiTHSLWLWLCCSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YueLLSYTCRWCLY21, author = {Jinshan Yue and Yongpan Liu and Ruoyang Liu and Wenyu Sun and Zhe Yuan and Yung{-}Ning Tu and Yi{-}Ju Chen and Ao Ren and Yanzhi Wang and Meng{-}Fan Chang and Xueqing Li and Huazhong Yang}, title = {{STICKER-T:} An Energy-Efficient Neural Network Processor Using Block-Circulant Algorithm and Unified Frequency-Domain Acceleration}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {6}, pages = {1936--1948}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3030264}, doi = {10.1109/JSSC.2020.3030264}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YueLLSYTCRWCLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihLFSLNCLLMZS21, author = {Yu{-}Der Chih and Po{-}Hao Lee and Hidehiro Fujiwara and Yi{-}Chun Shih and Chia{-}Fu Lee and Rawan Naous and Yu{-}Lin Chen and Chieh{-}Pu Lo and Cheng{-}Han Lu and Haruki Mori and Wei{-}Cheng Zhao and Dar Sun and Mahmut E. Sinangil and Yen{-}Huei Chen and Tan{-}Li Chou and Kerem Akarvardar and Hung{-}Jen Liao and Yih Wang and Meng{-}Fan Chang and Tsung{-}Yung Jonathan Chang}, title = {An 89TOPS/W and 16.3TOPS/mm\({}^{\mbox{2}}\) All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {252--254}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365766}, doi = {10.1109/ISSCC42613.2021.9365766}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihLFSLNCLLMZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHKHHCCLJSKLL21, author = {Cheng{-}Xin Xue and Je{-}Min Hung and Hui{-}Yao Kao and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Peng Chen and Ta{-}Wei Liu and Chuan{-}Jia Jhang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {245--247}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365769}, doi = {10.1109/ISSCC42613.2021.9365769}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueHKHHCCLJSKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-10074, author = {Raghav Mehta and Angelos Filos and Ujjwal Baid and Chiharu Sako and Richard McKinley and Michael Rebsamen and Katrin D{\"{a}}twyler and Raphael Meier and Piotr Radojewski and Gowtham Krishnan Murugesan and Sahil S. Nalawade and Chandan Ganesh and Benjamin C. Wagner and Fang F. Yu and Baowei Fei and Ananth J. Madhuranthakam and Joseph A. Maldjian and Laura Alexandra Daza and Catalina G{\'{o}}mez Caballero and Pablo Arbel{\'{a}}ez and Chengliang Dai and Shuo Wang and Hadrien Raynaud and Yuanhan Mo and Elsa D. Angelini and Yike Guo and Wenjia Bai and Subhashis Banerjee and Linmin Pei and Murat Ak and Sarahi Rosas{-}Gonz{\'{a}}lez and Ilyess Zemmoura and Clovis Tauber and Minh H. Vu and Tufve Nyholm and Tommy L{\"{o}}fstedt and Laura Mora Ballestar and Ver{\'{o}}nica Vilaplana and Hugh McHugh and Gonzalo D. Maso Talou and Alan Wang and Jay B. Patel and Ken Chang and Katharina Hoebel and Mishka Gidwani and Nishanth Thumbavanam Arun and Sharut Gupta and Mehak Aggarwal and Praveer Singh and Elizabeth R. Gerstner and Jayashree Kalpathy{-}Cramer and Nicolas Boutry and Alexis Huard and Lasitha Vidyaratne and Md Monibor Rahman and Khan M. Iftekharuddin and Joseph Chazalon and {\'{E}}lodie Puybareau and Guillaume Tochon and Jun Ma and Mariano Cabezas and Xavier Llad{\'{o}} and Arnau Oliver and Liliana Valencia and Sergi Valverde and Mehdi Amian and Mohammadreza Soltaninejad and Andriy Myronenko and Ali Hatamizadeh and Xue Feng and Quan Dou and Nicholas J. Tustison and Craig H. Meyer and Nisarg A. Shah and Sanjay N. Talbar and Marc{-}Andr{\'{e}} Weber and Abhishek Mahajan and Andr{\'{a}}s Jakab and Roland Wiest and Hassan M. Fathallah{-}Shaykh and Arash Nazeri and Mikhail Milchenko and Daniel S. Marcus and Aikaterini Kotrotsou and Rivka Colen and John B. Freymann and Justin S. Kirby and Christos Davatzikos and Bjoern H. Menze and Spyridon Bakas and Yarin Gal and Tal Arbel}, title = {QU-BraTS: {MICCAI} BraTS 2020 Challenge on Quantifying Uncertainty in Brain Tumor Segmentation - Analysis of Ranking Metrics and Benchmarking Results}, journal = {CoRR}, volume = {abs/2112.10074}, year = {2021}, url = {https://arxiv.org/abs/2112.10074}, eprinttype = {arXiv}, eprint = {2112.10074}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-10074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005754}, doi = {10.1109/JSSC.2020.3005754}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZCSLTSHWWHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiLYLHTLCCTHWCW20, author = {Xin Si and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun}, title = {A Twin-8T {SRAM} Computation-in-Memory Unit-Macro for Multibit CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {189--202}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952773}, doi = {10.1109/JSSC.2019.2952773}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiLYLHTLCCTHWCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/CalabreseDDFHKL20, author = {Claudia Calabrese and Natalie R. Davidson and Deniz Demircioglu and Nuno A. Fonseca and Yao He and Andr{\'{e}} Kahles and Kjong{-}Van Lehmann and Fenglin Liu and Yuichi Shiraishi and Cameron M. Soulette and Lara Urban and Liliana Greger and Siliang Li and Dongbing Liu and Marc D. Perry and Qian Xiang and Fan Zhang and Junjun Zhang and Peter Bailey and Serap Erkek and Katherine A. Hoadley and Yong Hou and Matthew R. Huska and Helena Kilpinen and Jan O. Korbel and Maximillian G. Marin and Julia Markowski and Tannistha Nandi and Qiang Pan{-}Hammarstr{\"{o}}m and Chandra Sekhar Pedamallu and Reiner Siebert and Stefan G. Stark and Hong Su and Patrick Tan and Sebastian M. Waszak and Christina K. Yung and Shida Zhu and Philip Awadalla and Matthew Meyerson and B. F. Francis Ouellette and Kui Wu and Huanming Yang and Samirkumar B. Amin and Aur{\'{e}}lien Chateigner and Isidro Cort{\'{e}}s{-}Ciriano and Brian Craft and Milana Frenkel{-}Morgenstern and Mary Goldman and Ekta Khurana and Fabien C. Lamaze and Chang Li and Xiaobo Li and Xinyue Li and Xingmin Liu and Morten Muhlig Nielsen and Akinyemi I. Ojesina and Peter J. Park and Jakob Skou Pedersen and Bin Tean Teh and Jian Wang and Heng Xiong and Sergei Yakneen and Chen Ye and Xiuqing Zhang and Liangtao Zheng and Jingchun Zhu and Chad Creighton and Jonathan G{\"{o}}ke and Roland F. Schwarz and Oliver Stegle and Zemin Zhang and Alvis Brazma and Gunnar R{\"{a}}tsch and Angela N. Brooks}, title = {Genomic basis for {RNA} alterations in cancer}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {129--136}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1970-0}, doi = {10.1038/S41586-020-1970-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/CalabreseDDFHKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiTHSLWLWLCZSWL20, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Zhixiao Zhang and Syuan{-}Hao Sie and Wei{-}Chen Wei and Yun{-}Chen Lo and Tai{-}Hsing Wen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {15.5 {A} 28nm 64Kb 6T {SRAM} Computing-in-Memory Macro with 8b {MAC} Operation for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {246--248}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062995}, doi = {10.1109/ISSCC19947.2020.9062995}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiTHSLWLWLCZSWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuSCCHTLLLWZJHL20, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Zhixiao Zhang and Hongwu Jiang and Shanshi Huang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Heng{-}Yuan Lee and Shih{-}Chieh Chang and Shimeng Yu and Meng{-}Fan Chang}, title = {15.2 {A} 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T {SRAM} Compute-in-Memory Macro for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {240--242}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062949}, doi = {10.1109/ISSCC19947.2020.9062949}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuSCCHTLLLWZJHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/AlyamkinABBCCCF19, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, and Opportunities}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {2}, pages = {411--421}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2911899}, doi = {10.1109/JETCAS.2019.2911899}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/AlyamkinABBCCCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LoLLLYCKLCCC19, author = {Chieh{-}Pu Lo and Wen{-}Zhang Lin and Wei{-}Yu Lin and Huan{-}Ting Lin and Tzu{-}Hsien Yang and Yen{-}Ning Chiang and Ya{-}Chin King and Chrong Jung Lin and Yu{-}Der Chih and Tsung{-}Yung Jonathon Chang and Meng{-}Fan Chang}, title = {A ReRAM Macro Using Dynamic Trip-Point-Mismatch Sampling Current-Mode Sense Amplifier and Low-DC Voltage-Mode Write-Termination Scheme Against Resistance and Write-Delay Variation}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {2}, pages = {584--595}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2873588}, doi = {10.1109/JSSC.2018.2873588}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LoLLLYCKLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ZhangCSTSHWWCHS19, author = {Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Yen{-}Cheng Chiu and Je{-}Min Hong and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 55nm 1-to-8 bit Configurable 6T {SRAM} based Computing-in-Memory Unit-Macro for CNN-based {AI} Edge Processors}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {217--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056933}, doi = {10.1109/A-SSCC47793.2019.9056933}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ZhangCSTSHWWCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SrinivasaCTCSN19, author = {Srivatsa Rangachar Srinivasa and Wei{-}Hao Chen and Yung{-}Ning Tu and Meng{-}Fan Chang and Jack Sampson and Vijaykrishnan Narayanan}, title = {Monolithic-3D Integration Augmented Design Techniques for Computing in SRAMs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702536}, doi = {10.1109/ISCAS.2019.8702536}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SrinivasaCTCSN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiCTHWCWWSLYLHT19, author = {Xin Si and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang}, title = {A Twin-8T {SRAM} Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {396--398}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662392}, doi = {10.1109/ISSCC.2019.8662392}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiCTHWCWWSLYLHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YueLSYWTCRWCLYL19, author = {Jinshan Yue and Ruoyang Liu and Wenyu Sun and Zhe Yuan and Zhibo Wang and Yung{-}Ning Tu and Yi{-}Ju Chen and Ao Ren and Yanzhi Wang and Meng{-}Fan Chang and Xueqing Li and Huazhong Yang and Yongpan Liu}, title = {A 65nm 0.39-to-140.3TOPS/W 1-to-12b Unified Neural Network Processor Using Block-Circulant-Enabled Transpose-Domain Acceleration with 8.1 {\texttimes} Higher TOPS/mm\({}^{\mbox{2}}\)and 6T HBST-TRAM-Based 2D Data-Reuse Architecture}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {138--140}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662360}, doi = {10.1109/ISSCC.2019.8662360}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YueLSYWTCRWCLYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07714, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, Opportunities}, journal = {CoRR}, volume = {abs/1904.07714}, year = {2019}, url = {http://arxiv.org/abs/1904.07714}, eprinttype = {arXiv}, eprint = {1904.07714}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07714.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01732, author = {Sergei Alyamkin and Matthew Ardi and Achille Brighton and Alexander C. Berg and Yiran Chen and Hsin{-}Pai Cheng and Bo Chen and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Jongkook Go and Alexander Goncharenko and Xuyang Guo and Hong Hanh Nguyen and Andrew Howard and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Alexander Kondratyev and Seungjae Lee and Suwoong Lee and Junhyeok Lee and Zhiyu Liang and Xin Liu and Juzheng Liu and Zichao Li and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Eunbyung Park and Denis Repin and Tao Sheng and Liang Shen and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {2018 Low-Power Image Recognition Challenge}, journal = {CoRR}, volume = {abs/1810.01732}, year = {2018}, url = {http://arxiv.org/abs/1810.01732}, eprinttype = {arXiv}, eprint = {1810.01732}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LinCHLOZMFSPCEE17, author = {Hui{-}Yi Lin and Dung{-}Tsa Chen and Po{-}Yu Huang and Yung{-}Hsin Liu and Augusto Ochoa and Jovanny Zabaleta and Donald Mercante and Zhide Fang and Thomas A. Sellers and Julio M. Pow{-}Sang and Chia{-}Ho Cheng and Rosalind A. Eeles and Doug Easton and Zsofia Kote{-}Jarai and Ali Amin Al Olama and Sara Benlloch and Kenneth Muir and Graham G. Giles and Fredrik Wiklund and Henrik Gronberg and Christopher A. Haiman and Johanna Schleutker and B{\o}rge G. Nordestgaard and Ruth C. Travis and Freddie Hamdy and Nora Pashayan and Kay{-}Tee Khaw and Janet L. Stanford and William J. Blot and Stephen N. Thibodeau and Christiane Maier and Adam S. Kibel and Cezary Cybulski and Lisa A. Cannon{-}Albright and Hermann Brenner and Radka Kaneva and Jyotsna Batra and Manuel R. Teixeira and Hardev Pandha and Yong{-}Jie Lu and The PRACTICAL Consortium and Jong Y. Park}, title = {{SNP} interaction pattern identifier {(SIPI):} an intensive search for {SNP-SNP} interaction patterns}, journal = {Bioinform.}, volume = {33}, number = {6}, pages = {822--833}, year = {2017}, url = {https://doi.org/10.1093/bioinformatics/btw762}, doi = {10.1093/BIOINFORMATICS/BTW762}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LinCHLOZMFSPCEE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenMY16, author = {Jeng{-}Yueng Chen and Yi{-}Ting Mai and Fan{-}Wei Yeh}, title = {Design of device-to-device decision schemes for intra-eNB traffic in {LTE} networks}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7520993}, doi = {10.1109/ICCE-TW.2016.7520993}, timestamp = {Mon, 29 Nov 2021 08:26:42 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenMY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangWCLYSKLLCC15, author = {Meng{-}Fan Chang and Jui{-}Jen Wu and Tun{-}Fei Chien and Yen{-}Chen Liu and Ting{-}Chin Yang and Wen{-}Chao Shen and Ya{-}Chin King and Chrong Jung Lin and Ku{-}Feng Lin and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Low VDDmin Swing-Sample-and-Couple Sense Amplifier and Energy-Efficient Self-Boost-Write-Termination Scheme for Embedded ReRAM Macros Against Resistance and Switch-Time Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2786--2795}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2472601}, doi = {10.1109/JSSC.2015.2472601}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangWCLYSKLLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FransCEAFTJBIUW15, author = {Yohan Frans and Declan Carey and Marc Erett and Hesam Amir Aslanzadeh and Wayne Y. Fang and Didem Turker and Anup P. Jose and Adebabay Bekele and Jay Im and Parag Upadhyaya and Zhaoyin Daniel Wu and Kenny C.{-}H. Hsieh and Jafar Savoj and Ken Chang}, title = {A 0.5-16.3 Gb/s Fully Adaptive Flexible-Reach Transceiver for {FPGA} in 20 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1932--1944}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413849}, doi = {10.1109/JSSC.2015.2413849}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FransCEAFTJBIUW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SavojACEFFHIJTUWC14, author = {Jafar Savoj and Hesam Amir Aslanzadeh and Declan Carey and Marc Erett and Wayne Fang and Yohan Frans and Kenny C.{-}H. Hsieh and Jay Im and Anup P. Jose and Didem Turker and Parag Upadhyaya and Zhaoyin Daniel Wu and Ken Chang}, title = {Wideband flexible-reach techniques for a 0.5-16.3Gb/s fully-adaptive transceiver in 20nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6945980}, doi = {10.1109/CICC.2014.6945980}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SavojACEFFHIJTUWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isicir/KimNWLMC14, author = {Seong{-}Jin Kim and Simon Sheung Yan Ng and David Wee and Yoon Hwee Leow and Fan{-}Yung Ma and Sie Boo Chiang}, title = {High accuracy remote temperature sensor based on {BJT} devices in 0.13-{\(\mu\)}m {CMOS}}, booktitle = {2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014}, pages = {408--411}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISICIR.2014.7029477}, doi = {10.1109/ISICIR.2014.7029477}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isicir/KimNWLMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangWCLYSKLLCN14, author = {Meng{-}Fan Chang and Jui{-}Jen Wu and Tun{-}Fei Chien and Yen{-}Chen Liu and Ting{-}Chin Yang and Wen{-}Chao Shen and Ya{-}Chin King and Chorng{-}Jung Lin and Ku{-}Feng Lin and Yu{-}Der Chih and Sreedhar Natarajan and Tsung{-}Yung Jonathan Chang}, title = {19.4 embedded 1Mb ReRAM in 28nm {CMOS} with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {332--333}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757457}, doi = {10.1109/ISSCC.2014.6757457}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangWCLYSKLLCN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/YaoSXMFMFB14, author = {Zhicheng Yao and Xiufeng Sui and Tianni Xu and Jiuyue Ma and Juan Fang and Sally A. McKee and Binzhang Fu and Yungang Bao}, title = {{QBLESS:} {A} case for QoS-aware bufferless NoCs}, booktitle = {{IEEE} 22nd International Symposium of Quality of Service, IWQoS 2014, Hong Kong, China, May 26-27, 2014}, pages = {93--98}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IWQoS.2014.6914305}, doi = {10.1109/IWQOS.2014.6914305}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/YaoSXMFMFB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eit/LamsalHDMVQGF13, author = {Buddhi Sagar Lamsal and Yung Huh and Mukul Dubey and K. C. Manoj and Swaminathan Venkatesan and Qiquan Qiao and David Galipeau and Qi Hua Fan}, title = {Oxygen induced limitation on grain growth in {RF} sputtered Indium tin oxide thin films}, booktitle = {{IEEE} International Conference on Electro-Information Technology , {EIT} 2013, Rapid City, SD, USA, May 9-11, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EIT.2013.6632655}, doi = {10.1109/EIT.2013.6632655}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/eit/LamsalHDMVQGF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icimcs/LuLHWKL13, author = {Chun{-}Wei Lu and Chih{-}Yang Lin and Chao{-}Yung Hsu and Ming{-}Fang Weng and Li{-}Wei Kang and Hong{-}Yuan Mark Liao}, editor = {Ke Lu and Tao Mei and Xindong Wu}, title = {Identification and tracking of players in sport videos}, booktitle = {International Conference on Internet Multimedia Computing and Service, {ICIMCS} '13, Huangshan, China - August 17 - 19, 2013}, pages = {113--116}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2499788.2499842}, doi = {10.1145/2499788.2499842}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/icimcs/LuLHWKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChiuCCFKMCCY12, author = {Hung{-}Chih Chiu and Chi{-}Fen Chuang and Yung{-}Chan Chen and Yang{-}Hang Fan and Yu{-}Han Kao and Hsi{-}Pin Ma and Hsin Chen and Yen{-}Chung Chang and Shih{-}Rung Yeh}, title = {An integrated system for brain machine interface}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {407--410}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380812}, doi = {10.1109/HEALTHCOM.2012.6380812}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChiuCCFKMCCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bildmed/Maier-HeinFFSSMKGYSM11, author = {Lena Maier{-}Hein and Alfred M. Franz and Markus Fangerau and Mirko Schmidt and Alexander Seitel and Sven Mersmann and Thomas Kilgus and Anja Groch and Kwong Yung and Thiago R. dos Santos and Hans{-}Peter Meinzer}, editor = {Heinz Handels and Jan Ehrhardt and Thomas Martin Deserno and Hans{-}Peter Meinzer and Thomas Tolxdorff}, title = {Towards Mobile Augmented Reality for On-Patient Visualization of Medical Images}, booktitle = {Bildverarbeitung f{\"{u}}r die Medizin 2011: Algorithmen - Systeme - Anwendungen, Proceedings des Workshops vom 20. bis 22. M{\"{a}}rz 2011 in L{\"{u}}beck}, series = {Informatik Aktuell}, pages = {389--393}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19335-4\_80}, doi = {10.1007/978-3-642-19335-4\_80}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bildmed/Maier-HeinFFSSMKGYSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bildmed/SeitelYEFGMMM11, author = {Alexander Seitel and Kwong Yung and Markus Engel and Markus Fangerau and Anja Groch and Michael M{\"{u}}ller and Hans{-}Peter Meinzer and Lena Maier{-}Hein}, editor = {Heinz Handels and Jan Ehrhardt and Thomas Martin Deserno and Hans{-}Peter Meinzer and Thomas Tolxdorff}, title = {Effiziente Planung von Zugangswegen f{\"{u}}r sichere Nadelinsertionen}, booktitle = {Bildverarbeitung f{\"{u}}r die Medizin 2011: Algorithmen - Systeme - Anwendungen, Proceedings des Workshops vom 20. bis 22. M{\"{a}}rz 2011 in L{\"{u}}beck}, series = {Informatik Aktuell}, pages = {199--203}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19335-4\_42}, doi = {10.1007/978-3-642-19335-4\_42}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bildmed/SeitelYEFGMMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangWCCCLLY10, author = {Meng{-}Fan Chang and Jui{-}Jen Wu and Kuang{-}Ting Chen and Yung{-}Chi Chen and Yen{-}Hui Chen and Robin Lee and Hung{-}Jen Liao and Hiroyuki Yamauchi}, title = {A Differential Data-Aware Power-Supplied {(D} \({}^{\mbox{2}}\) {AP)} 8T {SRAM} Cell With Expanded Write/Read Stabilities for Lower VDDmin Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {6}, pages = {1234--1245}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2048496}, doi = {10.1109/JSSC.2010.2048496}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChangWCCCLLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangCC10, author = {Meng{-}Fan Chang and Yung{-}Chi Chen and Chien{-}Fu Chen}, title = {A 0.45-V 300-MHz 10T Flowthrough {SRAM} With Expanded write/ read Stability and Speed-Area-Wise Array for Sub-0.5-V Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {12}, pages = {980--985}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2083130}, doi = {10.1109/TCSII.2010.2083130}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChangCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/Yung06, author = {Ma Fan Yung}, title = {On-Chip Supply Voltage Measurement Technique}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1461--1464}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342497}, doi = {10.1109/APCCAS.2006.342497}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/Yung06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/KwaiCCYCHLLSLH06, author = {Ding{-}Ming Kwai and Yung{-}Fa Chou and Meng{-}Fan Chang and Su{-}Meng Yang and Ding{-}Sheng Chen and Min{-}Chung Hsu and Yu{-}Zhen Liao and Shiao{-}Yi Lin and Yu{-}Ling Sung and Chia{-}Hsin Lee and Hsin{-}Kun Hsu}, title = {FlexiVia {ROM} Compiler Programmable on Different Via Layers Based on Top Metal Assignment}, booktitle = {14th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2006), 2-4 August 2006, Taipei, Taiwan}, pages = {28--33}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MTDT.2006.14}, doi = {10.1109/MTDT.2006.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/KwaiCCYCHLLSLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/KwaiHKCHCSPLCC06, author = {Ding{-}Ming Kwai and Ching{-}Hua Hsiao and Chung{-}Ping Kuo and Chi{-}Hsien Chuang and Min{-}Chung Hsu and Yi{-}Chun Chen and Yu{-}Ling Sung and Hsien{-}Yu Pan and Chia{-}Hsin Lee and Meng{-}Fan Chang and Yung{-}Fa Chou}, title = {{SRAM} Cell Current in Low Leakage Design}, booktitle = {14th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2006), 2-4 August 2006, Taipei, Taiwan}, pages = {65--70}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MTDT.2006.28}, doi = {10.1109/MTDT.2006.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/KwaiHKCHCSPLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChouMCC06, author = {Yung{-}Shan Chou and Wei{-}Lung Mao and Yu{-}Cheng Chen and Fan{-}Ren Chang}, title = {A Novel Loop Filter Design for Phase-Locked Loops}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {2932--2938}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.384563}, doi = {10.1109/ICSMC.2006.384563}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChouMCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/FangW96, author = {Yung{-}Ming Fang and D. F. Wong}, title = {Multiplexor Network Generation in High Level Synthesis}, booktitle = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI} in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings}, pages = {78--83}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICCD.1996.563537}, doi = {10.1109/ICCD.1996.563537}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/FangW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangW94, author = {Yung{-}Ming Fang and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Simultaneous functional-unit binding and floorplanning}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {317--321}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629787}, doi = {10.1109/ICCAD.1994.629787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.