default search action
Search dblp for Publications
export results for "M.-S. Hsieh"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/behaviourIT/ChouHP24, author = {Shih{-}Wei Chou and Ming{-}Chia Hsieh and Hui{-}Chun Pan}, title = {Understanding the impact of self-regulation on perceived learning outcomes based on social cognitive theory}, journal = {Behav. Inf. Technol.}, volume = {43}, number = {6}, pages = {1129--1148}, year = {2024}, url = {https://doi.org/10.1080/0144929x.2023.2198048}, doi = {10.1080/0144929X.2023.2198048}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/behaviourIT/ChouHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/BeheraPHL24, author = {Gopal Behera and Sanjaya Kumar Panda and Meng{-}Yen Hsieh and Kuan{-}Ching Li}, title = {Hybrid collaborative filtering using matrix factorization and XGBoost for movie recommendation}, journal = {Comput. Stand. Interfaces}, volume = {90}, pages = {103847}, year = {2024}, url = {https://doi.org/10.1016/j.csi.2024.103847}, doi = {10.1016/J.CSI.2024.103847}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/BeheraPHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ShenSHCKYWWZZZCCCLZJCJW24, author = {Chao Shen and Jianfei Song and Chang{-}Yu Hsieh and Dong{-}Sheng Cao and Yu Kang and Wenling Ye and Zhenxing Wu and Jike Wang and Odin Zhang and Xujun Zhang and Hao Zeng and Heng Cai and Yu Chen and Linkang Chen and Hao Luo and Xinda Zhao and Tianye Jian and Tong Chen and Dejun Jiang and Mingyang Wang and Qing Ye and Jialu Wu and Hongyan Du and Hui Shi and Yafeng Deng and Tingjun Hou}, title = {DrugFlow: An AI-Driven One-Stop Platform for Innovative Drug Discovery}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {14}, pages = {5381--5391}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.4c00621}, doi = {10.1021/ACS.JCIM.4C00621}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/ShenSHCKYWWZZZCCCLZJCJW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/WangMHKX24, author = {Zhao Wang and Yaping Mao and Sun{-}Yuan Hsieh and Ralf Klasing and Yuzhi Xiao}, title = {The g-extra connectivity of graph products}, journal = {J. Comput. Syst. Sci.}, volume = {145}, pages = {103552}, year = {2024}, url = {https://doi.org/10.1016/j.jcss.2024.103552}, doi = {10.1016/J.JCSS.2024.103552}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/WangMHKX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/YangYHMK24, author = {Chenxu Yang and Gang Yang and Sun{-}Yuan Hsieh and Yaping Mao and Ralf Klasing}, title = {Monitoring the edges of a graph using distances with given girth}, journal = {J. Comput. Syst. Sci.}, volume = {143}, pages = {103528}, year = {2024}, url = {https://doi.org/10.1016/j.jcss.2024.103528}, doi = {10.1016/J.JCSS.2024.103528}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/YangYHMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSCHRCWCLHLSCLWLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {An 8b-Precision 6T {SRAM} Computing-in-Memory Macro Using Time-Domain Incremental Accumulation for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {7}, pages = {2297--2309}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3343669}, doi = {10.1109/JSSC.2023.3343669}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuSCHRCWCLHLSCLWLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSHRCCKHLSLCLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A Floating-Point 6T {SRAM} In-Memory-Compute Macro Using Hybrid-Domain Structure for Advanced {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {196--207}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3309966}, doi = {10.1109/JSSC.2023.3309966}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuSHRCCKHLSLCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/make/HsiehLNNSOJM24, author = {Chihcheng Hsieh and Andr{\'{e}} Lu{\'{\i}}s and Jos{\'{e}} Neves and Isabel Blanco Nobre and Sandra Costa Sousa and Chun Ouyang and Joaquim Jorge and Catarina Moreira}, title = {EyeXNet: Enhancing Abnormality Detection and Diagnosis via Eye-Tracking and X-ray Fusion}, journal = {Mach. Learn. Knowl. Extr.}, volume = {6}, number = {2}, pages = {1055--1071}, year = {2024}, url = {https://doi.org/10.3390/make6020048}, doi = {10.3390/MAKE6020048}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/make/HsiehLNNSOJM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChangYYGLH24, author = {Yun{-}Hsuan Chang and Meng{-}Heng Yang and Cheng{-}Ta Yang and Joshua Oon Soo Goh and Sheng{-}Hsiang Lin and Shulan Hsieh}, title = {Alternation of psychological resilience may moderate mentalization toward mental health conditions from macro- and microstructure aspects}, journal = {NeuroImage}, volume = {299}, pages = {120810}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120810}, doi = {10.1016/J.NEUROIMAGE.2024.120810}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChangYYGLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/ChangYHN24, author = {Jose Ramon Chang and Zai{-}Fu Yao and Shulan Hsieh and Torbj{\"{o}}rn E. M. Nordling}, title = {Age Prediction Using Resting-State Functional {MRI}}, journal = {Neuroinformatics}, volume = {22}, number = {2}, pages = {119--134}, year = {2024}, url = {https://doi.org/10.1007/s12021-024-09653-x}, doi = {10.1007/S12021-024-09653-X}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ni/ChangYHN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/EvansRHZXASA24, author = {Hayley I. Evans and Myeonghan Ryu and Theresa Hsieh and Jiawei Zhou and Kefan Xu and Kenneth W. Akers and Andrew M. Sherrill and Rosa I. Arriaga}, title = {Using Sensor-Captured Patient-Generated Data to Support Clinical Decision-making in {PTSD} Therapy}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--28}, year = {2024}, url = {https://doi.org/10.1145/3637426}, doi = {10.1145/3637426}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/EvansRHZXASA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/HsiehC24, author = {Ming{-}Jin Hsieh and Shiu{-}Kuan Chiu}, title = {Innovative Thinking in Volunteer Organizations: Addressing the Impact of Psychological Ownership on Volunteer Organizational Commitment}, journal = {Syst.}, volume = {12}, number = {7}, pages = {228}, year = {2024}, url = {https://doi.org/10.3390/systems12070228}, doi = {10.3390/SYSTEMS12070228}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/HsiehC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tai/HsiehCCCSH24, author = {Jun{-}Wei Hsieh and Cheng{-}Han Chou and Ming{-}Ching Chang and Ping{-}Yang Chen and Santanu Santra and Chih{-}Sheng Huang}, title = {Mean-Shift Based Differentiable Architecture Search}, journal = {{IEEE} Trans. Artif. Intell.}, volume = {5}, number = {3}, pages = {1235--1246}, year = {2024}, url = {https://doi.org/10.1109/TAI.2023.3329792}, doi = {10.1109/TAI.2023.3329792}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tai/HsiehCCCSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangHLSWJJ24, author = {Jen{-}Cheng Wang and Chao{-}Liang Hsieh and Mu{-}Hwa Lee and Chih{-}Hong Sun and Tzai{-}Hung Wen and Jehn{-}Yih Juang and Joe{-}Air Jiang}, title = {Research on Monitoring Road Surface Anomalies Using an IoT-Based Automatic Detection System: Case Study in Taiwan}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {9}, pages = {11404--11417}, year = {2024}, url = {https://doi.org/10.1109/TII.2024.3404052}, doi = {10.1109/TII.2024.3404052}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/WangHLSWJJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LinHCL24, author = {Ming{-}Yi Lin and Sheng{-}Hsien Hsieh and Ching{-}Han Chen and Chun{-}Hung Lin}, title = {High-Performance Chip Design With Parallel Architecture for Magnetic Field Imaging System}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--13}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3334351}, doi = {10.1109/TIM.2023.3334351}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/LinHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/WangXCTLLCHHXP24, author = {Sihan Wang and Tian Xie and Min{-}Yue Chen and Guan{-}Hua Tu and Chi{-}Yu Li and Xinyu Lei and Po{-}Yi Chou and Fu{-}Cheng Hsieh and Yiwen Hu and Li Xiao and Chunyi Peng}, title = {Dissecting Operational Cellular IoT Service Security: Attacks and Defenses}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {32}, number = {2}, pages = {1229--1244}, year = {2024}, url = {https://doi.org/10.1109/TNET.2023.3313557}, doi = {10.1109/TNET.2023.3313557}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/WangXCTLLCHHXP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangHCCSL24, author = {Yu{-}Hsiang Wang and Jun{-}Wei Hsieh and Ping{-}Yang Chen and Ming{-}Ching Chang and Hung{-}Hin So and Xin Li}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {SMILEtrack: SiMIlarity LEarning for Occlusion-Aware Multiple Object Tracking}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {5740--5748}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i6.28386}, doi = {10.1609/AAAI.V38I6.28386}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangHCCSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/HsiehKLM24, author = {Chiao Hsieh and Yubin Koh and Yangge Li and Sayan Mitra}, title = {Assuring Safety of Vision-Based Swarm Formation Control}, booktitle = {American Control Conference, {ACC} 2024, Toronto, ON, Canada, July 10-12, 2024}, pages = {3215--3222}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ACC60939.2024.10644491}, doi = {10.23919/ACC60939.2024.10644491}, timestamp = {Sat, 21 Sep 2024 12:19:37 +0200}, biburl = {https://dblp.org/rec/conf/amcc/HsiehKLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ZhongSMKCH24, author = {Ruican Zhong and Donghoon Shin and Rosemary Meza and Predrag Klasnja and Lucas Colusso and Gary Hsieh}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {AI-Assisted Causal Pathway Diagram for Human-Centered Design}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {2:1--2:19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642179}, doi = {10.1145/3613904.3642179}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ZhongSMKCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MarasingheTHHMB24, author = {Dileepa Marasinghe and Muhammad Tayyab and Sofonias Hailu and Frank Hsieh and Nitin Mangalvedhe and M. Majid Butt and Rapeepat Ratasuk and Navin Hathiramani}, title = {Ambient IoT Devices in Future Cellular Networks: {A} System Level Study}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} 2024 Workshops, Denver, CO, USA, June 9-13, 2024}, pages = {129--134}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCWorkshops59551.2024.10615328}, doi = {10.1109/ICCWORKSHOPS59551.2024.10615328}, timestamp = {Wed, 21 Aug 2024 14:57:07 +0200}, biburl = {https://dblp.org/rec/conf/icc/MarasingheTHHMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/WangSLLYHDK24, author = {Yihan Wang and Si Si and Daliang Li and Michal Lukasik and Felix Yu and Cho{-}Jui Hsieh and Inderjit S. Dhillon and Sanjiv Kumar}, title = {Two-stage {LLM} Fine-tuning with Less Specialization and More Generalization}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=pCEgna6Qco}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/WangSLLYHDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/Xiong0G0S0HY24, author = {Yuanhao Xiong and Long Zhao and Boqing Gong and Ming{-}Hsuan Yang and Florian Schroff and Ting Liu and Cho{-}Jui Hsieh and Liangzhe Yuan}, title = {Structured Video-Language Modeling with Temporal Grouping and Spatial Grounding}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=5dlfiJIXoh}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/Xiong0G0S0HY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/0006W0HWJLJPLBW24, author = {Lu Yin and You Wu and Zhenyu Zhang and Cheng{-}Yu Hsieh and Yaqing Wang and Yiling Jia and Gen Li and Ajay Kumar Jaiswal and Mykola Pechenizkiy and Yi Liang and Michael Bendersky and Zhangyang Wang and Shiwei Liu}, title = {Outlier Weighed Layerwise Sparsity {(OWL):} {A} Missing Secret Sauce for Pruning LLMs to High Sparsity}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=ahEm3l2P6w}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/0006W0HWJLJPLBW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/WangACZHH24, author = {Ruochen Wang and Sohyun An and Minhao Cheng and Tianyi Zhou and Sung Ju Hwang and Cho{-}Jui Hsieh}, title = {One Prompt is not Enough: Automated Construction of a Mixture-of-Expert Prompts}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=edHLN40DWu}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/WangACZHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/TsengFLLLLLHWL24, author = {Po{-}Hao Tseng and Shao{-}Yu Fang and Yu{-}Hsuan Lin and Feng{-}Ming Lee and Jhe{-}Yi Liao and Yu{-}Yu Lin and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {3D-NAND based Filtering Cube with High Resolution 2D Query and Tunable Feature Length for Computational {SSD}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536967}, doi = {10.1109/IMW59701.2024.10536967}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/TsengFLLLLLHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChangLCCLLHYLHH24, author = {H. C. Chang and P. J. Liao and S. H. Chen and Y. K. Chang and C. P. Li and W. C. Liao and M. H. Hsieh and H. W. Yang and J. H. Lee and C. M. Huang and Jun He}, title = {Enhancing {EM} Reliability and Lifetime Modeling: {A} Multi-Link Structure Approach}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529443}, doi = {10.1109/IRPS48228.2024.10529443}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChangLCCLLHYLHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/YangTLCHHCLKTHHLLL24, author = {Y. L. Yang and P. C. Tsao and C. W. Lin and H. Q. Chen and B. J. Huang and Hank Hsieh and Kerwin Chen and Ross Lee and Khim Koh and Y. J. Ting and B. C. Hsu and Y. S. Huang and Citi Lai and M. Z. Lee and T. H. Lee}, title = {Vmin Shift Prediction Using Machine Learning-Based Methodology for Automotive Products}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529430}, doi = {10.1109/IRPS48228.2024.10529430}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/YangTLCHHCLKTHHLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhwaWWSCKCHCCLLHTC24, author = {Win{-}San Khwa and Ping{-}Chun Wu and Jui{-}Jen Wu and Jian{-}Wei Su and Ho{-}Yu Chen and Zhao{-}En Ke and Ting{-}Chien Chiu and Jun{-}Ming Hsu and Chiao{-}Yen Cheng and Yu{-}Chen Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {34.2 {A} 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3TOPS/W and 33.2-91.2TFLOPS/W for AI-Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {568--570}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454447}, doi = {10.1109/ISSCC49657.2024.10454447}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhwaWWSCKCHCCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LienLLLPH24, author = {Bei{-}Shing Lien and Szu Lin Liu and Wei{-}Lin Lai and Yi{-}Chen Lu and Yung{-}Chow Peng and Kenny Cheng{-}Hsiang Hsieh}, title = {3.8 {A} 0.65V 900{\(\mathrm{\mu}\)}m{\({^2}\)} BEoL RC-Based Temperature Sensor with {\(\pm\)}1{\textdegree}C Inaccuracy from -25{\textdegree}C to 125{\textdegree}C}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {68--70}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454423}, doi = {10.1109/ISSCC49657.2024.10454423}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LienLLLPH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24, author = {Ming{-}En Shih and Shih{-}Wei Hsieh and Ping{-}Yuan Tsai and Ming{-}Hung Lin and Pei{-}Kuei Tsung and En{-}Jui Chang and Jenwei Liang and Shu{-}Hsin Chang and Chung{-}Lun Huang and You{-}Yu Nian and Zhe Wan and Sushil Kumar and Cheng{-}Xin Xue and Gajanan Jedhe and Hidehiro Fujiwara and Haruki Mori and Chih{-}Wei Chen and Po{-}Hua Huang and Chih{-}Feng Juan and Chung{-}Yi Chen and Tsung{-}Yao Lin and Ch Wang and Chih{-}Cheng Chen and Kevin Jou}, title = {20.1 {NVE:} {A} 3nm 23.2TOPS/W 12b-Digital-CIM-Based Neural Engine for High-Resolution Visual-Quality Enhancement on Smart Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {360--362}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454482}, doi = {10.1109/ISSCC49657.2024.10454482}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WenHKHKCWCHLLHTTCCCC24, author = {Tai{-}Hao Wen and Hung{-}Hsi Hsu and Win{-}San Khwa and Wei{-}Hsing Huang and Zhao{-}En Ke and Yu{-}Hsiang Chin and Hua{-}Jin Wen and Yu{-}Chen Chang and Wei{-}Ting Hsu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shih{-}Hsih Teng and Chung{-}Cheng Chou and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {34.8 {A} 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2TFLOPS/W for {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {580--582}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454468}, doi = {10.1109/ISSCC49657.2024.10454468}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WenHKHKCWCHLLHTTCCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/DubielASMHW24, author = {Mateusz Dubiel and Matthew Peter Aylett and Anuschka Schmitt and Zilin Ma and Gary Hsieh and Thiemo Wambsganss}, title = {Speech as Interactive Design Material {(SIDM):} How to design and evaluate task-tailored synthetic voices?}, booktitle = {Companion Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {131--133}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640544.3645258}, doi = {10.1145/3640544.3645258}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/DubielASMHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/l4dc/CheeSHP24, author = {Kong Yao Chee and Thales C. Silva and M. Ani Hsieh and George J. Pappas}, editor = {Alessandro Abate and Mark Cannon and Kostas Margellos and Antonis Papachristodoulou}, title = {Uncertainty quantification and robustification of model-based controllers using conformal prediction}, booktitle = {6th Annual Learning for Dynamics {\&} Control Conference, 15-17 July 2024, University of Oxford, Oxford, {UK}}, series = {Proceedings of Machine Learning Research}, volume = {242}, pages = {528--540}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v242/chee24a.html}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/l4dc/CheeSHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/HsiehY24, author = {Yi{-}Chun Hsieh and Albert C. M. Yang}, editor = {Martin Hlosta and Ivan Moser and Brendan Flanagan and Gloria Milena Fern{\'{a}}ndez Nieto and Lixiang Yan and Angela Stewart and Amir Winer and Nitza Geri and Umesh Ramnarain and Christo van der Westhuizen and Atsushi Shimada and Fumiya Okubo and Hsiao{-}Ting Tseng and Albert C. M. Yang and Owen H. T. Lu and Hiroaki Ogata and Vanessa Echeverr{\'{\i}}a and Roberto Mart{\'{\i}}nez Maldonado and Yi{-}Shan Tsai and LuEttaMae Lawrence and Shaveen Singh and Stanislav Pozdniakov and Lujie Karen Chen and Jiaqi Gong and Louise Yarnall and Andy Nguyen and Lele Sha and Jionghao Lin and Mutlu Cukurova and Kshitij Sharma and Linxuan Zhao and Yuheng Li and Yueqiao Jin and Dragan Gasevic and Caitlin Mills and Stephen Hutt}, title = {Enhancing Personalized Learning with {MBTI} Forecasts and ChatGPT's Tailored Study Advice}, booktitle = {Joint Proceedings of {LAK} 2024 Workshops co-located with 14th International Conference on Learning Analytics and Knowledge {(LAK} 2024), Kyoto, Japan, March 18-22, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3667}, pages = {42--46}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3667/DC-LAK24-paper-5.pdf}, timestamp = {Mon, 23 Sep 2024 20:29:57 +0200}, biburl = {https://dblp.org/rec/conf/lak/HsiehY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/Hsieh0SMEPNCK24, author = {Kevin Hsieh and Mike Wong and Santiago Segarra and Sathiya Kumaran Mani and Trevor Eberl and Anatoliy Panasyuk and Ravi Netravali and Ranveer Chandra and Srikanth Kandula}, editor = {Laurent Vanbever and Irene Zhang}, title = {NetVigil: Robust and Low-Cost Anomaly Detection for East-West Data Center Security}, booktitle = {21st {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2024, Santa Clara, CA, April 15-17, 2024}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/nsdi24/presentation/hsieh}, timestamp = {Fri, 19 Apr 2024 11:29:16 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/Hsieh0SMEPNCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/LambSHCKHS24, author = {Andrew Lamb and Yijie Shen and Dani{\"{e}}l Heres and Jayjeet Chakraborty and Mehmet Ozan Kabak and Liang{-}Chi Hsieh and Chao Sun}, editor = {Pablo Barcel{\'{o}} and Nayat S{\'{a}}nchez{-}Pi and Alexandra Meliou and S. Sudarshan}, title = {Apache Arrow DataFusion: {A} Fast, Embeddable, Modular Analytic Query Engine}, booktitle = {Companion of the 2024 International Conference on Management of Data, {SIGMOD/PODS} 2024, Santiago AA, Chile, June 9-15, 2024}, pages = {5--17}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626246.3653368}, doi = {10.1145/3626246.3653368}, timestamp = {Wed, 24 Jul 2024 21:43:30 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/LambSHCKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stoc/HsiehMM024, author = {Jun{-}Ting Hsieh and Theo McKenzie and Sidhanth Mohanty and Pedro Paredes}, editor = {Bojan Mohar and Igor Shinkar and Ryan O'Donnell}, title = {Explicit Two-Sided Unique-Neighbor Expanders}, booktitle = {Proceedings of the 56th Annual {ACM} Symposium on Theory of Computing, {STOC} 2024, Vancouver, BC, Canada, June 24-28, 2024}, pages = {788--799}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3618260.3649705}, doi = {10.1145/3618260.3649705}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/stoc/HsiehMM024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tqc/ChenAH24, author = {Kuo{-}Chin Chen and Simon Apers and Min{-}Hsiu Hsieh}, editor = {Fr{\'{e}}d{\'{e}}ric Magniez and Alex Bredariol Grilo}, title = {(Quantum) Complexity of Testing Signed Graph Clusterability}, booktitle = {19th Conference on the Theory of Quantum Computation, Communication and Cryptography, {TQC} 2024, September 9-13, 2024, Okinawa, Japan}, series = {LIPIcs}, volume = {310}, pages = {8:1--8:16}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2024}, url = {https://doi.org/10.4230/LIPIcs.TQC.2024.8}, doi = {10.4230/LIPICS.TQC.2024.8}, timestamp = {Mon, 26 Aug 2024 16:40:52 +0200}, biburl = {https://dblp.org/rec/conf/tqc/ChenAH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/ChangJZATHYV24, author = {Wei{-}Cheng Chang and Jyun{-}Yu Jiang and Jiong Zhang and Mutasem Al{-}Darabsah and Choon Hui Teo and Cho{-}Jui Hsieh and Hsiang{-}Fu Yu and S. V. N. Vishwanathan}, editor = {Luz Angelica Caudillo{-}Mata and Silvio Lattanzi and Andr{\'{e}}s Mu{\~{n}}oz Medina and Leman Akoglu and Aristides Gionis and Sergei Vassilvitskii}, title = {{PEFA:} Parameter-Free Adapters for Large-scale Embedding-based Retrieval Models}, booktitle = {Proceedings of the 17th {ACM} International Conference on Web Search and Data Mining, {WSDM} 2024, Merida, Mexico, March 4-8, 2024}, pages = {77--86}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3616855.3635791}, doi = {10.1145/3616855.3635791}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/ChangJZATHYV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11590, author = {Jun{-}Ting Hsieh and Pravesh K. Kothari and Sidhanth Mohanty and David Munh{\'{a}} Correia and Benny Sudakov}, title = {Small Even Covers, Locally Decodable Codes and Restricted Subgraphs of Edge-Colored Kikuchi Graphs}, journal = {CoRR}, volume = {abs/2401.11590}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11590}, doi = {10.48550/ARXIV.2401.11590}, eprinttype = {arXiv}, eprint = {2401.11590}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12741, author = {Sen Li and Ruochen Wang and Cho{-}Jui Hsieh and Minhao Cheng and Tianyi Zhou}, title = {MuLan: Multimodal-LLM Agent for Progressive Multi-Object Diffusion}, journal = {CoRR}, volume = {abs/2402.12741}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12741}, doi = {10.48550/ARXIV.2402.12741}, eprinttype = {arXiv}, eprint = {2402.12741}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16592, author = {Mateusz Dubiel and Matthew P. Aylett and Anuschka Schmitt and Zilin Ma and Gary Hsieh and Thiemo Wambsganss}, title = {Speech as Interactive Design Material {(SIDM):} How to design and evaluate task-tailored synthetic voices?}, journal = {CoRR}, volume = {abs/2402.16592}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16592}, doi = {10.48550/ARXIV.2402.16592}, eprinttype = {arXiv}, eprint = {2402.16592}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16592.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-02363, author = {Ying{-}Hsuan Wu and Jun{-}Wei Hsieh and Li Xin and Shin{-}You Teng and Yi{-}Kuan Hsieh and Ming{-}Ching Chang}, title = {Addressing Long-Tail Noisy Label Learning Problems: a Two-Stage Solution with Label Refurbishment Considering Label Rarity}, journal = {CoRR}, volume = {abs/2403.02363}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.02363}, doi = {10.48550/ARXIV.2403.02363}, eprinttype = {arXiv}, eprint = {2403.02363}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-02363.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08111, author = {Ruican Zhong and Donghoon Shin and Rosemary Meza and Predrag Klasnja and Lucas Colusso and Gary Hsieh}, title = {AI-Assisted Causal Pathway Diagram for Human-Centered Design}, journal = {CoRR}, volume = {abs/2403.08111}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08111}, doi = {10.48550/ARXIV.2403.08111}, eprinttype = {arXiv}, eprint = {2403.08111}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08111.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00014, author = {Odin Zhang and Yufei Huang and Shichen Cheng and Mengyao Yu and Xujun Zhang and Haitao Lin and Yundian Zeng and Mingyang Wang and Zhenxing Wu and Huifeng Zhao and Zaixi Zhang and Chenqing Hua and Yu Kang and Sunliang Cui and Peichen Pan and Chang{-}Yu Hsieh and Tingjun Hou}, title = {Deep Geometry Handling and Fragment-wise Molecular 3D Graph Generation}, journal = {CoRR}, volume = {abs/2404.00014}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00014}, doi = {10.48550/ARXIV.2404.00014}, eprinttype = {arXiv}, eprint = {2404.00014}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09432, author = {Shuo Wang and David C. Anastasiu and Zheng Tang and Ming{-}Ching Chang and Yue Yao and Liang Zheng and Mohammed Shaiqur Rahman and Meenakshi S. Arya and Anuj Sharma and Pranamesh Chakraborty and Sanjita Prajapati and Quan Kong and Norimasa Kobori and Munkhjargal Gochoo and Munkh{-}Erdene Otgonbold and Fady Alnajjar and Ganzorig Batnasan and Ping{-}Yang Chen and Jun{-}Wei Hsieh and Xunlei Wu and Sameer Satish Pusegaonkar and Yizhou Wang and Sujit Biswas and Rama Chellappa}, title = {The 8th {AI} City Challenge}, journal = {CoRR}, volume = {abs/2404.09432}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09432}, doi = {10.48550/ARXIV.2404.09432}, eprinttype = {arXiv}, eprint = {2404.09432}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09432.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03714, author = {Siddhant Kharbanda and Devaansh Gupta and Gururaj K and Pankaj Malhotra and Cho{-}Jui Hsieh and Rohit Babbar}, title = {UniDEC : Unified Dual Encoder and Classifier Training for Extreme Multi-Label Classification}, journal = {CoRR}, volume = {abs/2405.03714}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03714}, doi = {10.48550/ARXIV.2405.03714}, eprinttype = {arXiv}, eprint = {2405.03714}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03714.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-07137, author = {Nai{-}Hui Chia and Min{-}Hsiu Hsieh and Shih{-}Han Hung and En{-}Jui Kuo}, title = {Oracle Separation between Noisy Quantum Polynomial Time and the Polynomial Hierarchy}, journal = {CoRR}, volume = {abs/2405.07137}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.07137}, doi = {10.48550/ARXIV.2405.07137}, eprinttype = {arXiv}, eprint = {2405.07137}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-07137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16194, author = {Chun{-}Mao Lai and Hsiang{-}Chun Wang and Ping{-}Chun Hsieh and Yu{-}Chiang Frank Wang and Min{-}Hung Chen and Shao{-}Hua Sun}, title = {Diffusion-Reward Adversarial Imitation Learning}, journal = {CoRR}, volume = {abs/2405.16194}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16194}, doi = {10.48550/ARXIV.2405.16194}, eprinttype = {arXiv}, eprint = {2405.16194}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16194.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18655, author = {Ping{-}Han Hsieh and Ru{-}Xiu Hsiao and Katalin Ferenc and Anthony Mathelier and Rebekka Burkholz and Chien{-}Yu Chen and Geir Kjetil Sandve and Tatiana Belova and Marieke L. Kuijjer}, title = {{CAVACHON:} a hierarchical variational autoencoder to integrate multi-modal single-cell data}, journal = {CoRR}, volume = {abs/2405.18655}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18655}, doi = {10.48550/ARXIV.2405.18655}, eprinttype = {arXiv}, eprint = {2405.18655}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-05184, author = {Scott Geng and Cheng{-}Yu Hsieh and Vivek Ramanujan and Matthew Wallingford and Chun{-}Liang Li and Pang Wei Koh and Ranjay Krishna}, title = {The Unmet Promise of Synthetic Training Images: Using Retrieved Real Images Performs Better}, journal = {CoRR}, volume = {abs/2406.05184}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.05184}, doi = {10.48550/ARXIV.2406.05184}, eprinttype = {arXiv}, eprint = {2406.05184}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-05184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11794, author = {Jeffrey Li and Alex Fang and Georgios Smyrnis and Maor Ivgi and Matt Jordan and Samir Yitzhak Gadre and Hritik Bansal and Etash Kumar Guha and Sedrick Keh and Kushal Arora and Saurabh Garg and Rui Xin and Niklas Muennighoff and Reinhard Heckel and Jean Mercat and Mayee Chen and Suchin Gururangan and Mitchell Wortsman and Alon Albalak and Yonatan Bitton and Marianna Nezhurina and Amro Abbas and Cheng{-}Yu Hsieh and Dhruba Ghosh and Josh Gardner and Maciej Kilian and Hanlin Zhang and Rulin Shao and Sarah M. Pratt and Sunny Sanyal and Gabriel Ilharco and Giannis Daras and Kalyani Marathe and Aaron Gokaslan and Jieyu Zhang and Khyathi Raghavi Chandu and Thao Nguyen and Igor Vasiljevic and Sham M. Kakade and Shuran Song and Sujay Sanghavi and Fartash Faghri and Sewoong Oh and Luke Zettlemoyer and Kyle Lo and Alaaeldin El{-}Nouby and Hadi Pouransari and Alexander Toshev and Stephanie Wang and Dirk Groeneveld and Luca Soldaini and Pang Wei Koh and Jenia Jitsev and Thomas Kollar and Alexandros G. Dimakis and Yair Carmon and Achal Dave and Ludwig Schmidt and Vaishaal Shankar}, title = {DataComp-LM: In search of the next generation of training sets for language models}, journal = {CoRR}, volume = {abs/2406.11794}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11794}, doi = {10.48550/ARXIV.2406.11794}, eprinttype = {arXiv}, eprint = {2406.11794}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11794.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-00256, author = {Ruochen Wang and Sohyun An and Minhao Cheng and Tianyi Zhou and Sung Ju Hwang and Cho{-}Jui Hsieh}, title = {One Prompt is not Enough: Automated Construction of a Mixture-of-Expert Prompts}, journal = {CoRR}, volume = {abs/2407.00256}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.00256}, doi = {10.48550/ARXIV.2407.00256}, eprinttype = {arXiv}, eprint = {2407.00256}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-00256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-06723, author = {Yu{-}Guan Hsieh and Cheng{-}Yu Hsieh and Shih{-}Ying Yeh and Louis B{\'{e}}thune and Hadipour Ansari and Pavan Kumar Anasosalu Vasu and Chun{-}Liang Li and Ranjay Krishna and Oncel Tuzel and Marco Cuturi}, title = {Graph-Based Captioning: Enhancing Visual Descriptions by Interconnecting Region Captions}, journal = {CoRR}, volume = {abs/2407.06723}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.06723}, doi = {10.48550/ARXIV.2407.06723}, eprinttype = {arXiv}, eprint = {2407.06723}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-06723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07930, author = {Jike Wang and Rui Qin and Mingyang Wang and Meijing Fang and Yangyang Zhang and Yuchen Zhu and Qun Su and Qiaolin Gou and Chao Shen and Odin Zhang and Zhenxing Wu and Dejun Jiang and Xujun Zhang and Huifeng Zhao and Xiaozhe Wan and Zhourui Wu and Liwei Liu and Yu Kang and Chang{-}Yu Hsieh and Tingjun Hou}, title = {Token-Mol 1.0: Tokenized drug design with large language model}, journal = {CoRR}, volume = {abs/2407.07930}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07930}, doi = {10.48550/ARXIV.2407.07930}, eprinttype = {arXiv}, eprint = {2407.07930}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07930.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08227, author = {Chihcheng Hsieh and Catarina Moreira and Isabel Blanco Nobre and Sandra Costa Sousa and Chun Ouyang and Margot Brereton and Joaquim Jorge and Jacinto C. Nascimento}, title = {{DALL-M:} Context-Aware Clinical Data Augmentation with LLMs}, journal = {CoRR}, volume = {abs/2407.08227}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08227}, doi = {10.48550/ARXIV.2407.08227}, eprinttype = {arXiv}, eprint = {2407.08227}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-07776, author = {Tom Z. Jiahao and Ryan Adolf and Cynthia Sung and M. Ani Hsieh}, title = {Knowledge-based Neural Ordinary Differential Equations for Cosserat Rod-based Soft Robots}, journal = {CoRR}, volume = {abs/2408.07776}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.07776}, doi = {10.48550/ARXIV.2408.07776}, eprinttype = {arXiv}, eprint = {2408.07776}, timestamp = {Fri, 27 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-07776.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-14407, author = {Benjamin D. Shaffer and Jeremy R. Vorenberg and M. Ani Hsieh}, title = {Spectrally Informed Learning of Fluid Flows}, journal = {CoRR}, volume = {abs/2408.14407}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.14407}, doi = {10.48550/ARXIV.2408.14407}, eprinttype = {arXiv}, eprint = {2408.14407}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-14407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-16378, author = {Min{-}Hsiu Hsieh and Leandro Mendes and Michael de Oliveira and Sathyawageeswar Subramanian}, title = {Unconditionally separating noisy QNC\({}^{\mbox{0}}\) from bounded polynomial threshold circuits of constant depth}, journal = {CoRR}, volume = {abs/2408.16378}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.16378}, doi = {10.48550/ARXIV.2408.16378}, eprinttype = {arXiv}, eprint = {2408.16378}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-16378.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/HsiehS23, author = {M. Ani Hsieh and Dylan A. Shell}, title = {Editorial}, journal = {Auton. Robots}, volume = {47}, number = {5}, pages = {503--504}, year = {2023}, url = {https://doi.org/10.1007/s10514-023-10119-3}, doi = {10.1007/S10514-023-10119-3}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/HsiehS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/SalamH23, author = {Tahiya Salam and M. Ani Hsieh}, title = {Heterogeneous robot teams for modeling and prediction of multiscale environmental processes}, journal = {Auton. Robots}, volume = {47}, number = {4}, pages = {353--376}, year = {2023}, url = {https://doi.org/10.1007/s10514-023-10089-6}, doi = {10.1007/S10514-023-10089-6}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/SalamH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HsiehLZSGK23, author = {Ping{-}Han Hsieh and Camila Miranda Lopes{-}Ramos and Manuela Zucknick and Geir Kjetil Sandve and Kimberly Glass and Marieke L. Kuijjer}, title = {Adjustment of spurious correlations in co-expression measurements from RNA-Sequencing data}, journal = {Bioinform.}, volume = {39}, number = {10}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad610}, doi = {10.1093/BIOINFORMATICS/BTAD610}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HsiehLZSGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biosystems/LingCHS23, author = {Ming{-}Yang Ling and Lin{-}Jie Chiu and Ching{-}Chu Hsieh and Che{-}Chi Shu}, title = {Dimerization induces bimodality in protein number distributions}, journal = {Biosyst.}, volume = {223}, pages = {104812}, year = {2023}, url = {https://doi.org/10.1016/j.biosystems.2022.104812}, doi = {10.1016/J.BIOSYSTEMS.2022.104812}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biosystems/LingCHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ChangYCH23, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, title = {RU-Net: skull stripping in rat brain {MR} images after ischemic stroke with rat U-Net}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {44}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-00994-8}, doi = {10.1186/S12880-023-00994-8}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/ChangYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChouCZGHPTCWJ23, author = {Cheng{-}Ying Chou and Shan{-}Cheng Chang and Zi{-}Ping Zhong and Ming{-}Chi Guo and Ming{-}Hsien Hsieh and Jui{-}Chu Peng and Ling{-}Chieh Tai and Ping{-}Liang Chung and Jen{-}Cheng Wang and Joe{-}Air Jiang}, title = {Development of AIoT System for facility asparagus cultivation}, journal = {Comput. Electron. Agric.}, volume = {206}, pages = {107665}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.107665}, doi = {10.1016/J.COMPAG.2023.107665}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/ChouCZGHPTCWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WuRTHHC23, author = {Jen{-}Her Wu and Simon Robinson and Jing{-}Shiang Tsemg and Yu{-}Ping Hsu and Ming{-}Che Hsieh and Yi{-}Cheng Chen}, title = {Digital and physical factors influencing an individual's preventive behavior during the {COVID-19} pandemic in Taiwan: {A} perspective based on the {S-O-R} model}, journal = {Comput. Hum. Behav.}, volume = {139}, pages = {107525}, year = {2023}, url = {https://doi.org/10.1016/j.chb.2022.107525}, doi = {10.1016/J.CHB.2022.107525}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/WuRTHHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/RothCWY0HKHZL0023, author = {Holger R. Roth and Yan Cheng and Yuhong Wen and Isaac Yang and Ziyue Xu and Yuan{-}Ting Hsieh and Kristopher Kersten and Ahmed Harouni and Can Zhao and Kevin Lu and Zhihong Zhang and Wenqi Li and Andriy Myronenko and Dong Yang and Sean Yang and Nicola Rieke and Abood Quraini and Chester Chen and Daguang Xu and Nic Ma and Prerna Dogra and Mona Flores and Andrew Feng}, title = {{NVIDIA} {FLARE:} Federated Learning from Simulation to Real-World}, journal = {{IEEE} Data Eng. Bull.}, volume = {46}, number = {1}, pages = {170--184}, year = {2023}, url = {http://sites.computer.org/debull/A23mar/p170.pdf}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/RothCWY0HKHZL0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/HsiehZSH23, author = {Jui{-}Hung Hsieh and Zhi{-}Yu Zhang and Jing{-}Cheng Syu and Mao{-}Cheng Hsieh}, title = {Bandwidth-Aware High-Efficiency Video Coding Design Scheme on a Multiprocessor System on Chip}, journal = {{IEEE} Multim.}, volume = {30}, number = {3}, pages = {37--47}, year = {2023}, url = {https://doi.org/10.1109/MMUL.2023.3253521}, doi = {10.1109/MMUL.2023.3253521}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeemm/HsiehZSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijet/ShiehH23, author = {Meng{-}Dar Shieh and Hsin{-}Yin Hsieh}, title = {Using Technology Acceptance Model to Discuss Factors in Distance Learning Behavior}, journal = {Int. J. Emerg. Technol. Learn.}, volume = {18}, number = {21}, pages = {161--170}, year = {2023}, url = {https://doi.org/10.3991/ijet.v18i21.43919}, doi = {10.3991/IJET.V18I21.43919}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijet/ShiehH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/LiuHHLT23, author = {Chui{-}Hua Liu and Sun{-}Weng Huang and Mei{-}Ting Hsieh and Chiao{-}Bing Lin and Gwo{-}Hshiung Tzeng}, title = {Improving the Poverty-Alleviating Effects of Bed and Breakfast Tourism Using {Z-DEMATEL}}, journal = {Int. J. Fuzzy Syst.}, volume = {25}, number = {5}, pages = {1907--1921}, year = {2023}, url = {https://doi.org/10.1007/s40815-023-01481-6}, doi = {10.1007/S40815-023-01481-6}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/LiuHHLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/HsiehS23, author = {M. Ani Hsieh and Dylan A. Shell}, title = {Selected papers from {RSS2021}}, journal = {Int. J. Robotics Res.}, volume = {42}, number = {10}, pages = {703--704}, year = {2023}, url = {https://doi.org/10.1177/02783649231199044}, doi = {10.1177/02783649231199044}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijrr/HsiehS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/ChengMHCCWH23, author = {Rocky Chung{-}Ngam Cheng and Xiaohua Men and J. J. Po{-}An Hsieh and Zhuo June Cheng and Xiaocong Cui and Tiange Wang and Sheng{-}Hsun Hsu}, title = {The effects of {IT} chargeback on strategic alignment and performance: the contingent roles of business executives' {IT} competence and CIOs' business competence}, journal = {Internet Res.}, volume = {33}, number = {1}, pages = {57--83}, year = {2023}, url = {https://doi.org/10.1108/INTR-11-2020-0630}, doi = {10.1108/INTR-11-2020-0630}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/ChengMHCCWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/HungWCXHLH23, author = {Chih{-}Lung Hung and Jen{-}Her Wu and Pei{-}Yu Chen and Xiaoyu Xu and Wan{-}Ling Hsu and Li{-}Min Lin and Ming{-}Che Hsieh}, title = {Enhancing healthcare services and brand engagement through social media marketing: Integration of Kotler's 5A framework with {IDEA} process}, journal = {Inf. Process. Manag.}, volume = {60}, number = {4}, pages = {103379}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2023.103379}, doi = {10.1016/J.IPM.2023.103379}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/HungWCXHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/WangZSWWJWZCCHH23, author = {Jike Wang and Yundian Zeng and Huiyong Sun and Junmei Wang and Xiaorui Wang and Ruofan Jin and Mingyang Wang and Xujun Zhang and Dong{-}Sheng Cao and Xi Chen and Chang{-}Yu Hsieh and Tingjun Hou}, title = {Molecular Generation with Reduced Labeling through Constraint Architecture}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {11}, pages = {3319--3327}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00579}, doi = {10.1021/ACS.JCIM.3C00579}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/WangZSWWJWZCCHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmis/PanMH023, author = {Yang Pan and Sunil Mithas and JJ Po{-}An Hsieh and Che{-}Wei Liu}, title = {Do Risk Preferences Shape the Effect of Online Trading on Trading Frequency, Volume, and Portfolio Performance?}, journal = {J. Manag. Inf. Syst.}, volume = {40}, number = {2}, pages = {440--469}, year = {2023}, url = {http://www.jmis-web.org/articles/1618}, timestamp = {Wed, 05 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmis/PanMH023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenHMH23, author = {Chih{-}Cheng Chen and Yu{-}Hsiang Huang and John Carl Joel Salao Marquez and Chih{-}Cheng Hsieh}, title = {A 12-ENOB Second-Order Noise-Shaping {SAR} {ADC} With PVT-Insensitive Voltage- Time-Voltage Converter}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2897--2906}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3273311}, doi = {10.1109/JSSC.2023.3273311}, timestamp = {Sun, 11 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenHMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungWHHCSKLLHTC23, author = {Je{-}Min Hung and Tai{-}Hao Wen and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for {AI} Edge Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {303--315}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200515}, doi = {10.1109/JSSC.2022.3200515}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HungWHHCSKLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Tianlong Pan and Chuan{-}Jia Jhang and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 8-b-Precision 6T {SRAM} Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {877--892}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3199077}, doi = {10.1109/JSSC.2022.3199077}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/KadambiMHSS23, author = {Achuta Kadambi and Celso de Melo and Cho{-}Jui Hsieh and Mani B. Srivastava and Stefano Soatto}, title = {Incorporating physics into data-driven computer vision}, journal = {Nat. Mac. Intell.}, volume = {5}, number = {6}, pages = {572--580}, year = {2023}, url = {https://doi.org/10.1038/s42256-023-00662-0}, doi = {10.1038/S42256-023-00662-0}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/natmi/KadambiMHSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/ChouHP23, author = {Shih{-}Wei Chou and Ming{-}Chia Hsieh and Hui{-}Chun Pan}, title = {Understanding viewers' information-sharing in live-streaming based on a motivation perspective}, journal = {Online Inf. Rev.}, volume = {47}, number = {1}, pages = {177--196}, year = {2023}, url = {https://doi.org/10.1108/OIR-12-2020-0576}, doi = {10.1108/OIR-12-2020-0576}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/oir/ChouHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ZadeWJSZHAHS23, author = {Himanshu Zade and Megan Woodruff and Erika Johnson and Mariah Stanley and Zhennan Zhou and Minh Tu Huynh and Alissa Elizabeth Acheson and Gary Hsieh and Kate Starbird}, title = {Tweet Trajectory and AMPS-based Contextual Cues can Help Users Identify Misinformation}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW1}}, pages = {1--27}, year = {2023}, url = {https://doi.org/10.1145/3579536}, doi = {10.1145/3579536}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ZadeWJSZHAHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/AstorgaHMM23, author = {Angello Astorga and Chiao Hsieh and P. Madhusudan and Sayan Mitra}, title = {Perception Contracts for Safety of ML-Enabled Systems}, journal = {Proc. {ACM} Program. Lang.}, volume = {7}, number = {{OOPSLA2}}, pages = {2196--2223}, year = {2023}, url = {https://doi.org/10.1145/3622875}, doi = {10.1145/3622875}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/AstorgaHMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/TianSDZLZYHWWHLYT23, author = {Jinkai Tian and Xiaoyu Sun and Yuxuan Du and Shanshan Zhao and Qing Liu and Kaining Zhang and Wei Yi and Wanrong Huang and Chaoyue Wang and Xingyao Wu and Min{-}Hsiu Hsieh and Tongliang Liu and Wenjing Yang and Dacheng Tao}, title = {Recent Advances for Quantum Neural Networks in Generative Learning}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {10}, pages = {12321--12340}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2023.3272029}, doi = {10.1109/TPAMI.2023.3272029}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/TianSDZLZYHWWHLYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/SingalCHKGH23, author = {Tanmay Singal and Che Chiang and Eugene Hsu and Eunsang Kim and Hsi{-}Sheng Goan and Min{-}Hsiu Hsieh}, title = {Counting stabiliser codes for arbitrary dimension}, journal = {Quantum}, volume = {7}, pages = {1048}, year = {2023}, url = {https://doi.org/10.22331/q-2023-07-06-1048}, doi = {10.22331/Q-2023-07-06-1048}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/quantum/SingalCHKGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HsiehLWVLLHC23, author = {Yu{-}Ming Hsieh and Chin{-}Yi Lin and Jan Wilch and Birgit Vogel{-}Heuser and Yu{-}Chen Lin and Yu{-}Chuan Lin and Min{-}Hsiung Hung and Fan{-}Tien Cheng}, title = {An Intelligent Factory Automation System With Multivariate Time Series Algorithm for Chip Probing Process}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {9}, pages = {5464--5471}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3295237}, doi = {10.1109/LRA.2023.3295237}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HsiehLWVLLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KamWOSHWKK23, author = {Michael Kam and Shuwen Wei and Justin D. Opfermann and Hamed Saeidi and Michael H. Hsieh and Karen C. Wang and Jin U. Kang and Axel Krieger}, title = {Autonomous System for Vaginal Cuff Closure via Model-Based Planning and Markerless Tracking Techniques}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {7}, pages = {3915--3922}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3273416}, doi = {10.1109/LRA.2023.3273416}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KamWOSHWKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/WilchVMCFHC23, author = {Jan Wilch and Birgit Vogel{-}Heuser and Jens Mager and Rostislav Cendel{\'{\i}}n and Thomas Fett and Yu{-}Ming Hsieh and Fan{-}Tien Cheng}, title = {A Distributed Framework for Knowledge-Driven Root-Cause Analysis on Evolving Alarm Data-An Industrial Case Study}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {6}, pages = {3732--3739}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3270822}, doi = {10.1109/LRA.2023.3270822}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/WilchVMCFHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/McCallumWFGHHLMMMPSSV23, author = {Ian McCallum and Jon Walker and Steffen Fritz and Markus Grau and Cassie Hannan and I{-}Sah Hsieh and Deanna Lape and Jen Mahone and Caroline McLester and Steve Mellgren and Nolan Piland and Linda See and Gerhard Svolba and Murray de Villiers}, title = {Crowd-Driven Deep Learning Tracks Amazon Deforestation}, journal = {Remote. Sens.}, volume = {15}, number = {21}, pages = {5204}, year = {2023}, url = {https://doi.org/10.3390/rs15215204}, doi = {10.3390/RS15215204}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/McCallumWFGHHLMMMPSSV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SigalinggingPLHAF23, author = {Xanno Kharis Sigalingging and Setya Widyawan Prakosa and Jenq{-}Shiou Leu and He{-}Yen Hsieh and Cries Avian and Muhamad Faisal}, title = {SCANet: Implementation of Selective Context Adaptation Network in Smart Farming Applications}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1358}, year = {2023}, url = {https://doi.org/10.3390/s23031358}, doi = {10.3390/S23031358}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SigalinggingPLHAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/PavanSLMSDCHP23, author = {Matheus Camasmie Pavan and Vitor Garcia dos Santos and Alex Gwo Jen Lan and Jo{\~{a}}o Trevisan Martins and Wesley Ramos dos Santos and Caio Deutsch and Pablo Botton da Costa and Fernando Chiu Hsieh and Ivandr{\'{e}} Paraboni}, title = {Morality Classification in Natural Language Text}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {14}, number = {1}, pages = {857--863}, year = {2023}, url = {https://doi.org/10.1109/TAFFC.2020.3034050}, doi = {10.1109/TAFFC.2020.3034050}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/PavanSLMSDCHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/TuLCSH23, author = {Deng{-}Yao Tu and Peng{-}Chan Lin and Hsin{-}Hung Chou and Meng{-}Ru Shen and Sun{-}Yuan Hsieh}, title = {Slice-Fusion: Reducing False Positives in Liver Tumor Detection for Mask {R-CNN}}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {5}, pages = {3267--3277}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2023.3265394}, doi = {10.1109/TCBB.2023.3265394}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/TuLCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/WuLCSH23, author = {Tzu{-}Hsuan Wu and Peng{-}Chan Lin and Hsin{-}Hung Chou and Meng{-}Ru Shen and Sun{-}Yuan Hsieh}, title = {Pathogenicity Prediction of Single Amino Acid Variants With Machine Learning Model Based on Protein Structural Energies}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {1}, pages = {606--615}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2021.3139048}, doi = {10.1109/TCBB.2021.3139048}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/WuLCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/RenHMWL23, author = {Xiaojing Ren and Chao{-}Mao Hsieh and Mohammad O. A. Malik and Joshua Su Weiming and Quan Liu}, title = {A Radio Frequency Tagging Continuous-Wave Optical Spectrometer With Megahertz Refreshing Rate}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--8}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3227992}, doi = {10.1109/TIM.2022.3227992}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/RenHMWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KuZHLC23, author = {Ming{-}Yueh Ku and Tai{-}Siang Zhong and Yi{-}Ting Hsieh and Shuenn{-}Yuh Lee and Ju{-}Yi Chen}, title = {A High Performance Accelerating {CNN} Inference on {FPGA} with Arrhythmia Classification}, booktitle = {5th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AICAS57966.2023.10168615}, doi = {10.1109/AICAS57966.2023.10168615}, timestamp = {Mon, 24 Jul 2023 15:56:17 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KuZHLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/Hsieh0D023, author = {Yu{-}Cheng Hsieh and Cheng Sun and Suraj Dengale and Min Sun}, title = {PanoMixSwap - Panorama Mixing via Structural Swapping for Indoor Scene Understanding}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {226--228}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/226/}, timestamp = {Mon, 11 Mar 2024 15:42:29 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/Hsieh0D023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/LinHWW023, author = {Ting{-}Ying Lin and Lin{-}Yung Hsieh and Fu{-}En Wang and Wen{-}Shen Wuen and Min Sun}, title = {Sparse and Privacy-enhanced Representation for Human Pose Estimation}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {143}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/143/}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/LinHWW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChenCMHP23, author = {Shaoru Chen and Kong Yao Chee and Nikolai Matni and M. Ani Hsieh and George J. Pappas}, title = {Safety Filter Design for Neural Network Systems via Convex Optimization}, booktitle = {62nd {IEEE} Conference on Decision and Control, {CDC} 2023, Singapore, December 13-15, 2023}, pages = {6356--6363}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CDC49753.2023.10383577}, doi = {10.1109/CDC49753.2023.10383577}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ChenCMHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/SilvaH23, author = {Thales C. Silva and M. Ani Hsieh}, title = {Local Input-to-State Stability for Consensus in the Presence of Intermittent Communication and Input Saturation}, booktitle = {62nd {IEEE} Conference on Decision and Control, {CDC} 2023, Singapore, December 13-15, 2023}, pages = {7420--7426}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CDC49753.2023.10383364}, doi = {10.1109/CDC49753.2023.10383364}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/SilvaH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/TsaiHH23, author = {Meng{-}Shiou Tsai and Sun{-}Yuan Hsieh and Ling{-}Ju Hung}, editor = {Weili Wu and Guangmo Tong}, title = {Hardness and Approximation for the Star {\(\beta\)}-Hub Routing Cost Problem in {\textdollar}{\textbackslash}varDelta {\_}{\textbackslash}beta {\textdollar}-Metric Graphs}, booktitle = {Computing and Combinatorics - 29th International Conference, {COCOON} 2023, Hawaii, HI, USA, December 15-17, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14422}, pages = {97--111}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-49190-0\_7}, doi = {10.1007/978-3-031-49190-0\_7}, timestamp = {Thu, 04 Jan 2024 08:13:45 +0100}, biburl = {https://dblp.org/rec/conf/cocoon/TsaiHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csee/ShauLHLM23, author = {An{-}Chi Shau and Yan{-}Cih Liang and Wan{-}Jung Hsieh and Xiang{-}Ling Lin and Shang{-}Pin Ma}, title = {PSAbot: {A} Chatbot System for the Analysis of Posts on Stack Overflow}, booktitle = {35th International Conference on Software Engineering Education and Training, CSEE{\&}T 2023, Tokyo, Japan, August 7-9, 2023}, pages = {137--141}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CSEET58097.2023.00029}, doi = {10.1109/CSEET58097.2023.00029}, timestamp = {Wed, 13 Sep 2023 08:43:31 +0200}, biburl = {https://dblp.org/rec/conf/csee/ShauLHLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Han Zhou and Wei Dong and Yangyi Liu and Jun Chen and Huan Liu and Liangyan Li and Zijun Wu and Yubo Dong and Yuyan Li and Tian Qiu and Yu He and Yonghong Lu and Yinwei Wu and Zhenxiang Jiang and Songhua Liu and Xingyi Yang and Yongcheng Jing and Bilel Benjdira and Anas M. Ali and Anis Koubaa and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Wei{-}Ting Chen and Zhi{-}Kai Huang and Yi{-}Chung Chen and Chia{-}Hsuan Hsieh and Hua{-}En Chang and Yuan{-}Chun Chiang and Sy{-}Yen Kuo and Yu Guo and Yuan Gao and Ryan Wen Liu and Yuxu Lu and Jingxiang Qu and Shengfeng He and Wenqi Ren and Trung Hoang and Haichuan Zhang and Amirsaeed Yazdani and Vishal Monga and Lehan Yang and Alex Jiahao Wu and Tiancheng Mai and Xiaofeng Cong and Xuemeng Yin and Xuefei Yin and Hazim Emad and Ahmed Abdallah and Yahya Yasser and Dalia Elshahat and Esraa Elbaz and Zhan Li and Wenqing Kuang and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Zhao Zhang and Yanyan Wei and Junhu Wang and Suiyi Zhao and Huan Zheng and Jin Guo and Yangfan Sun and Tianli Liu and Dejun Hao and Kui Jiang and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 {HR} NonHomogeneous Dehazing Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1808--1825}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00180}, doi = {10.1109/CVPRW59228.2023.00180}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23, author = {Mingdeng Cao and Chong Mou and Fanghua Yu and Xintao Wang and Yinqiang Zheng and Jian Zhang and Chao Dong and Gen Li and Ying Shan and Radu Timofte and Xiaopeng Sun and Weiqi Li and Zhenyu Zhang and Xuhan Sheng and Bin Chen and Haoyu Ma and Ming Cheng and Shijie Zhao and Wanwan Cui and Tianyu Xu and Chunyang Li and Long Bao and Heng Sun and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Renlong Wu and Yi Yang and Zhilu Zhang and Shuohao Zhang and Junyi Li and Yunjin Chen and Dongwei Ren and Wangmeng Zuo and Qian Wang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Wei{-}Ting Chen and Yuan{-}Chun Chiang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Zebin Zhang and Jiaqi Zhang and Yuhui Wang and Shuhao Cui and Junshi Huang and Li Zhu and Shuman Tian and Wei Yu and Bingchun Luo}, title = {{NTIRE} 2023 Challenge on 360{\textdegree} Omnidirectional Image and Video Super-Resolution: Datasets, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1731--1745}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00174}, doi = {10.1109/CVPRW59228.2023.00174}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GochooOGHCCDJBAAL23, author = {Munkhjargal Gochoo and Munkh{-}Erdene Otgonbold and Erkhembayar Ganbold and Jun{-}Wei Hsieh and Ming{-}Ching Chang and Ping{-}Yang Chen and Byambaa Dorj and Hamad Al Jassmi and Ganzorig Batnasan and Fady Alnajjar and Mohammed Abduljabbar and Fang{-}Pang Lin}, title = {FishEye8K: {A} Benchmark and Dataset for Fisheye Camera Object Detection}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5305--5313}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00559}, doi = {10.1109/CVPRW59228.2023.00559}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GochooOGHCCDJBAAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23, author = {Alina Shutova and Egor I. Ershov and Georgy Perevozchikov and Ivan Ermakov and Nikola Banic and Radu Timofte and Richard Collins and Maria Efimova and Arseniy P. Terekhin and Simone Zini and Claudio Rota and Marco Buzzelli and Simone Bianco and Raimondo Schettini and Chunxia Lei and Tingniao Wang and Song Wang and Shuai Liu and Chaoyu Feng and Guangqi Shao and Hao Wang and Xiaotao Wang and Lei Lei and Lu Xu and Chao Zhang and Yasi Wang and Jin Guo and Yangfan Sun and Tianli Liu and Hao Dejun and Furkan Kinli and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Hyerin Chung and Nakyung Lee and Sungkeun Kwak and Marcos V. Conde and Tim Seizinger and Florin{-}Alexandru Vasluianu and Omar Elezabi and Chia{-}Hsuan Hsieh and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Hua{-}En Chang and I{-}Hsiang Chen and Yi{-}Chung Chen and Yuan{-}Chun Chiang}, title = {{NTIRE} 2023 Challenge on Night Photography Rendering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1982--1993}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00192}, doi = {10.1109/CVPRW59228.2023.00192}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangCHHCHTYT23, author = {Bor{-}Shiun Wang and Ping{-}Yang Chen and Yi{-}Kuan Hsieh and Jun{-}Wei Hsieh and Ming{-}Ching Chang and JiaXin He and Shin{-}You Teng and HaoYuan Yue and Yu{-}Chee Tseng}, title = {{PRB-FPN+:} Video Analytics for Enforcing Motorcycle Helmet Laws}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5477--5485}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00579}, doi = {10.1109/CVPRW59228.2023.00579}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangCHHCHTYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cw/TanLLHSC23, author = {Joanne Tan and Wei Lun Lim and Ruilin Li and Meng{-}Hsueh Hsieh and Olga Sourina and Chun{-}Hsien Chen}, editor = {Najoua Essoukri Ben Amara and Alexei Sourin and Olga Sourina and Christophe Rosenberger}, title = {Heart Rate Based Cross-subject Stress Recognition}, booktitle = {International Conference on Cyberworlds, {CW} 2023, Sousse, Tunisia, October 3-5, 2023}, pages = {329--332}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CW58918.2023.00057}, doi = {10.1109/CW58918.2023.00057}, timestamp = {Wed, 13 Dec 2023 14:38:50 +0100}, biburl = {https://dblp.org/rec/conf/cw/TanLLHSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangYCH23, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, title = {Automated Stroke Lesion Segmentation in Rat Brain {MR} Images Using an Encoder-Decoder Framework}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340278}, doi = {10.1109/EMBC40787.2023.10340278}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChangYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChuYHACT23, author = {Slo{-}Li Chu and Hideo Yokota and Hao{-}Lun Hsieh and Kuniya Abe and Dooseon Cho and Ming{-}Dar Tsai}, title = {Quantitative Analysis of Differentiation Activity for Mouse Embryonic Stem Cells by Deep Learning for Cell Center Detection using Three-Dimensional Confocal Fluorescence Microscopy Images}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340148}, doi = {10.1109/EMBC40787.2023.10340148}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChuYHACT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotnets/ManiHSECAABK23, author = {Sathiya Kumaran Mani and Kevin Hsieh and Santiago Segarra and Trevor Eberl and Ranveer Chandra and Eliran Azulai and Narayan Annamalai and Deepak Bansal and Srikanth Kandula}, title = {Securing Public Clouds using Dynamic Communication Graphs}, booktitle = {Proceedings of the 22nd {ACM} Workshop on Hot Topics in Networks, HotNets 2023, Cambridge, MA, USA, November 28-29, 2023}, pages = {272--279}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626111.3628198}, doi = {10.1145/3626111.3628198}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotnets/ManiHSECAABK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotnets/ManiZHSEAFCK23, author = {Sathiya Kumaran Mani and Yajie Zhou and Kevin Hsieh and Santiago Segarra and Trevor Eberl and Eliran Azulai and Ido Frizler and Ranveer Chandra and Srikanth Kandula}, title = {Enhancing Network Management Using Code Generated by Large Language Models}, booktitle = {Proceedings of the 22nd {ACM} Workshop on Hot Topics in Networks, HotNets 2023, Cambridge, MA, USA, November 28-29, 2023}, pages = {196--204}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626111.3628183}, doi = {10.1145/3626111.3628183}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotnets/ManiZHSEAFCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ialp/WattyKH23, author = {Deborah Watty and Micah Kitsunai and Shu{-}Kai Hsieh}, editor = {Lei Wang and Yanfeng Lu and Minghui Dong}, title = {Prompt-Based Translation of Chinese into Taiwanese Mandarin Braille}, booktitle = {International Conference on Asian Language Processing, {IALP} 2023, Singapore, November 18-20, 2023}, pages = {56--61}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IALP61005.2023.10337277}, doi = {10.1109/IALP61005.2023.10337277}, timestamp = {Wed, 17 Jan 2024 17:11:26 +0100}, biburl = {https://dblp.org/rec/conf/ialp/WattyKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbsp/LiaoHCLT023, author = {Hung{-}Ju Liao and Ya{-}Chu Hsieh and Shih{-}Wen Chiu and Meng{-}Rui Lee and Kea{-}Tiong Tang and Min Sun}, title = {Shared Embedding of X-ray {\&} Enose Networks for Lung Cancer Classification}, booktitle = {Proceedings of the 2023 8th International Conference on Biomedical Imaging, Signal Processing, {ICBSP} 2023, Singapore, Singapore, October 20-22, 2023}, pages = {9--16}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3634875.3634877}, doi = {10.1145/3634875.3634877}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbsp/LiaoHCLT023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChungCHLHHC23, author = {Ming{-}An Chung and Sung{-}Yun Chai and Ming{-}Chun Hsieh and Chia{-}Wei Lin and Chia{-}Chun Hsu and Shang{-}Jui Huang and Kai{-}Xiang Chen}, title = {A Fusion Algorithm Using Camera and {FMCW} Radar for Emotion Recognition}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {715--716}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226903}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226903}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChungCHLHHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/JuangWSSH23, author = {Wen{-}Ho Juang and Meng{-}Chang Wu and Yung{-}Hoh Sheu and Jen{-}Yu Shieh and Tung{-}Hsien Hsieh}, title = {A Cost-efficient Hardware Accelerator Design for 2D Sliding Discrete Fourier Transform}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {595--596}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227037}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227037}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/JuangWSSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeHT23, author = {Hsiang{-}Wen Lee and Shu{-}Lin Hsieh and Ming{-}Fong Tsai}, title = {Action Recognition with Multiple People Using Long Short-term Memory}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {127--128}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226656}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226656}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/TsaiMWZWKCHHHLS23, author = {Kuen{-}Yu Tsai and Guang{-}Yun Meng and Tung{-}Ling Wu and Ming{-}Hui Zheng and Wei{-}Yao Wang and Chih{-}Ming Kung and Yen{-}Chuan Chen and Chi{-}Fa Huang and Tsang{-}Chieh Hsieh and Hsin{-}Sheng Hsu and Huei{-}Der Lin and Jing{-}Xiang Shi}, title = {eVTOL, UAM, and {AAM:} Brief Development History and Implementation Outlook of the United States}, booktitle = {{IEEE} International Conference on e-Business Engineering, {ICEBE} 2023, Sydney, Australia, November 4-6, 2023}, pages = {287--296}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEBE59045.2023.00053}, doi = {10.1109/ICEBE59045.2023.00053}, timestamp = {Mon, 22 Jan 2024 20:34:14 +0100}, biburl = {https://dblp.org/rec/conf/icebe/TsaiMWZWKCHHHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ZengS0KLHJ23, author = {Yi Zeng and Zhouxing Shi and Ming Jin and Feiyang Kang and Lingjuan Lyu and Cho{-}Jui Hsieh and Ruoxi Jia}, title = {Towards Robustness Certification Against Universal Perturbations}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=7GEvPKxjtt}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ZengS0KLHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/CheeSHP23, author = {Kong Yao Chee and Thales C. Silva and M. Ani Hsieh and George J. Pappas}, title = {Enhancing Sample Efficiency and Uncertainty Compensation in Learning-Based Model Predictive Control for Aerial Robots}, booktitle = {{IROS}}, pages = {9435--9441}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341774}, doi = {10.1109/IROS55552.2023.10341774}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/CheeSHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/EdwardsSMDH23, author = {Victoria M. Edwards and Thales C. Silva and Bharg Mehta and Jasleen Dhanoa and M. Ani Hsieh}, title = {On Collaborative Robot Teams for Environmental Monitoring: {A} Macroscopic Ensemble Approach}, booktitle = {{IROS}}, pages = {11148--11153}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342495}, doi = {10.1109/IROS55552.2023.10342495}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/EdwardsSMDH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/KuoCFHTK23, author = {Ting{-}Yu Kuo and Yu{-}Han Chen and Andrea Frosini and Sun{-}Yuan Hsieh and Shi{-}Chun Tsai and Mong{-}Jen Kao}, editor = {Satoru Iwata and Naonori Kakimura}, title = {On Min-Max Graph Balancing with Strict Negative Correlation Constraints}, booktitle = {34th International Symposium on Algorithms and Computation, {ISAAC} 2023, December 3-6, 2023, Kyoto, Japan}, series = {LIPIcs}, volume = {283}, pages = {50:1--50:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/LIPIcs.ISAAC.2023.50}, doi = {10.4230/LIPICS.ISAAC.2023.50}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/isaac/KuoCFHTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangTHCTCFHCCCKWCMH23, author = {Bo{-}Jr Huang and Alfred Tsai and Lear Hsieh and Kathleen Chang and C.{-}J. Tsai and Jia{-}Ming Chen and Eric Jia{-}Wei Fang and Sung S.{-}Y. Hsueh and Jack Ciao and Barry Chen and Chuck Chang and Ping Kao and Ericbill Wang and Harry H. Chen and Hugh Mair and Shih{-}Arn Hwang}, title = {A 5G Mobile Gaming-Centric SoC with High-Performance Thermal Management in 4nm FinFET}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {40--41}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067271}, doi = {10.1109/ISSCC42615.2023.10067271}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangTHCTCFHCCCKWCMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSHRCCKHLSLCLLHTC23, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 22nm 832Kb Hybrid-Domain Floating-Point {SRAM} In-Memory-Compute Macro with 16.2-70.2TFLOPS/W for High-Accuracy AI-Edge Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {126--127}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067527}, doi = {10.1109/ISSCC42615.2023.10067527}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSHRCCKHLSLCLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/l4dc/SalamLH23, author = {Tahiya Salam and Alice Kate Li and M. Ani Hsieh}, editor = {Nikolai Matni and Manfred Morari and George J. Pappas}, title = {Online Estimation of the Koopman Operator Using Fourier Features}, booktitle = {Learning for Dynamics and Control Conference, {L4DC} 2023, 15-16 June 2023, Philadelphia, PA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {211}, pages = {1271--1283}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v211/salam23a.html}, timestamp = {Fri, 16 Jun 2023 14:48:17 +0200}, biburl = {https://dblp.org/rec/conf/l4dc/SalamLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/ChangYLCH23, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Yi{-}Ru Lin and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, editor = {Barjor S. Gimi and Andrzej Kr{\'{o}}l}, title = {Automatic infarct segmentation in rat brain {MR} images after stroke using an adaptive deformable model}, booktitle = {Medical Imaging 2023: Biomedical Applications in Molecular, Structural, and Functional Imaging, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12468}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654532}, doi = {10.1117/12.2654532}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mibam/ChangYLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/DunningRHEYWGFM23, author = {Chelsea A. S. Dunning and Prabhakar Shantha Rajiah and Scott S. Hsieh and Andrea Esquivel and Mariana Yalon and Nikkole M. Weber and Hao Gong and Joel G. Fletcher and Cynthia H. McCollough and Shuai Leng}, editor = {Khan M. Iftekharuddin and Weijie Chen}, title = {Classification of high-risk coronary plaques using radiomic analysis of multi-energy photon-counting-detector computed tomography {(PCD-CT)} images}, booktitle = {Medical Imaging 2023: Computer-Aided Diagnosis, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12465}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654412}, doi = {10.1117/12.2654412}, timestamp = {Tue, 19 Mar 2024 12:50:04 +0100}, biburl = {https://dblp.org/rec/conf/micad/DunningRHEYWGFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/HsiehIYCFGPVJLY23, author = {Scott S. Hsieh and Akitoshi Inoue and Mariana Yalon and David A. Cook and Jeff L. Fidler and Hao Gong and Parvathy Sudhir Pillai and Andrew J. Vercnocke and Matthew P. Johnson and Shuai Leng and Lifeng Yu and David R. Holmes III and Rickey E. Carter and Cynthia H. McCollough and Joel G. Fletcher}, editor = {Claudia R. Mello{-}Thoms and Yan Chen}, title = {A training program to reduce reader search errors for liver metastasis detection in {CT}}, booktitle = {Medical Imaging 2023: Image Perception, Observer Performance, and Technology Assessment, San Diego, CA, USA, February 21-23, 2023}, series = {{SPIE} Proceedings}, volume = {12467}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654007}, doi = {10.1117/12.2654007}, timestamp = {Tue, 19 Mar 2024 12:48:44 +0100}, biburl = {https://dblp.org/rec/conf/miipop/HsiehIYCFGPVJLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/HsiehYCST23, author = {Tsun{-}An Hsieh and Chao{-}Han Huck Yang and Pin{-}Yu Chen and Sabato Marco Siniscalchi and Yu Tsao}, editor = {Danilo Comminiello and Michele Scarpiniti}, title = {Inference and Denoise: Causal Inference-Based Neural Speech Enhancement}, booktitle = {33rd {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2023, Rome, Italy, September 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MLSP55844.2023.10285967}, doi = {10.1109/MLSP55844.2023.10285967}, timestamp = {Mon, 06 Nov 2023 17:21:37 +0100}, biburl = {https://dblp.org/rec/conf/mlsp/HsiehYCST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/KhaniAHJNSAB23, author = {Mehrdad Khani Shirkoohi and Ganesh Ananthanarayanan and Kevin Hsieh and Junchen Jiang and Ravi Netravali and Yuanchao Shu and Mohammad Alizadeh and Victor Bahl}, editor = {Mahesh Balakrishnan and Manya Ghobadi}, title = {{RECL:} Responsive Resource-Efficient Continuous Learning for Video Analytics}, booktitle = {20th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2023, Boston, MA, April 17-19, 2023}, pages = {917--932}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/nsdi23/presentation/khani}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/KhaniAHJNSAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/TsengKCCH23, author = {Yu{-}Hsiang Tseng and Mao{-}Chang Ku and Wei{-}Ling Chen and Yu{-}Lin Chang and Shu{-}Kai Hsieh}, editor = {Chu{-}Ren Huang and Yasunari Harada and Jong{-}Bok Kim and Si Chen and Yu{-}Yin Hsu and Emmanuele Chersoni and Pranav A and Winnie Huiheng Zeng and Bo Peng and Yuxi Li and Junlin Li}, title = {Vec2Gloss: definition modeling leveraging contextualized vectors with Wordnet gloss}, booktitle = {Proceedings of the 37th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2023, The Hong Kong Polytechnic University, Hong Kong, SAR, China, 2-4 December 2023}, pages = {679--690}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/2023.paclic-1.68}, timestamp = {Thu, 15 Feb 2024 16:12:31 +0100}, biburl = {https://dblp.org/rec/conf/paclic/TsengKCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qce/HongHCFHLYY23, author = {Xin Hong and Wei{-}Jia Huang and Wei{-}Chen Chien and Yuan Feng and Min{-}Hsiu Hsieh and Sanjiang Li and Chia{-}Shun Yeh and Mingsheng Ying}, editor = {Brian La Cour and Lia Yeh and Marek Osinski}, title = {Decision Diagrams for Symbolic Verification of Quantum Circuits}, booktitle = {{IEEE} International Conference on Quantum Computing and Engineering, {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023}, pages = {970--977}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/QCE57702.2023.00111}, doi = {10.1109/QCE57702.2023.00111}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qce/HongHCFHLYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/HsiehKM23, author = {Jun{-}Ting Hsieh and Pravesh K. Kothari and Sidhanth Mohanty}, editor = {Nikhil Bansal and Viswanath Nagarajan}, title = {A simple and sharper proof of the hypergraph Moore bound}, booktitle = {Proceedings of the 2023 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2023, Florence, Italy, January 22-25, 2023}, pages = {2324--2344}, publisher = {{SIAM}}, year = {2023}, url = {https://doi.org/10.1137/1.9781611977554.ch89}, doi = {10.1137/1.9781611977554.CH89}, timestamp = {Fri, 17 Feb 2023 09:28:57 +0100}, biburl = {https://dblp.org/rec/conf/soda/HsiehKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HsuTH23, author = {Feng{-}Chiao Hsu and Meng{-}Che Tsai and Sun{-}Yuan Hsieh}, editor = {Chao{-}Yang Lee and Chun{-}Li Lin and Hsuan{-}Ting Chang}, title = {Automated Pediatric Bone Age Assessment Using Convolutional Neural Networks}, booktitle = {Technologies and Applications of Artificial Intelligence - 28th International Conference, {TAAI} 2023, Yunlin, Taiwan, December 1-2, 2023, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {2075}, pages = {228--237}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-1714-9\_19}, doi = {10.1007/978-981-97-1714-9\_19}, timestamp = {Wed, 03 Apr 2024 16:03:15 +0200}, biburl = {https://dblp.org/rec/conf/taai/HsuTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/SomnathPHM0B23, author = {Vignesh Ram Somnath and Matteo Pariset and Ya{-}Ping Hsieh and Mar{\'{\i}}a Rodr{\'{\i}}guez Mart{\'{\i}}nez and Andreas Krause and Charlotte Bunne}, editor = {Robin J. Evans and Ilya Shpitser}, title = {Aligned Diffusion Schr{\"{o}}dinger Bridges}, booktitle = {Uncertainty in Artificial Intelligence, {UAI} 2023, July 31 - 4 August 2023, Pittsburgh, PA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {216}, pages = {1985--1995}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v216/somnath23a.html}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uai/SomnathPHM0B23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChangXDJLCLLKJG23, author = {En{-}Jui Chang and Cheng{-}Xin Xue and Chetan Deshpande and Gajanan Jedhe and Jenwei Liang and Chih{-}Chung Cheng and Hung{-}Wei Lin and Chia{-}Da Lee and Sushil Kumar and Kim Soon Jway and Zijie Guo and Ritesh Garg and Allen{-}Cl Lu and Chien{-}Hung Lin and Meng{-}Han Hsieh and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on Vision}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185296}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185296}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChangXDJLCLLKJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChenLZHLTHWCXCC23, author = {Yu{-}Rui Chen and Yi{-}Chun Liu and Zefu Zhao and Wan{-}Hsuan Hsieh and Jia{-}Yang Lee and Chien{-}Te Tu and Bo{-}Wei Huang and Jer{-}Fu Wang and Shee{-}Jier Chueh and Yifan Xing and Guan{-}Hua Chen and Hung{-}Chun Chou and Dong Soo Woo and Min{-}Hung Lee and Chee Wee Liu}, title = {First Stacked Nanosheet FeFET Featuring Memory Window of 1.8V at Record Low Write Voltage of 2V and Endurance {\textgreater}1E11 Cycles}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185284}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185284}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChenLZHLTHWCXCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChiangHWHWHHCLC23, author = {H.{-}L. Chiang and Richard A. Hadi and J.{-}F. Wang and H.{-}C. Han and J.{-}J. Wu and H.{-}H. Hsieh and J.{-}J. Horng and W.{-}S. Chou and B.{-}S. Lien and C.{-}H. Chang and Y.{-}C. Chen and Yeong{-}Her Wang and T.{-}C. Chen and J.{-}C. Liu and Y.{-}C. Liu and Meng{-}Hsueh Chiang and K.{-}H. Kao and B. Pulicherla and J. Cai and C.{-}S. Chang and K.{-}W. Su and K.{-}L. Cheng and T.{-}J. Yeh and Y.{-}C. Peng and C. Enz and Mau{-}Chung Frank Chang and M.{-}F. Chang and H.{-}S. Philip Wong and Iuliana P. Radu}, title = {How Fault-Tolerant Quantum Computing Benefits from Cryo-CMOS Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185325}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185325}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChiangHWHWHHCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KomuraMOTISKKOY23, author = {Yusuke Komura and Shoki Miyata and Yuki Okamoto and Yuki Tamatsukuri and Hiroki Inoue and Toshihiko Saito and Munehiro Kozuma and Hidetomo Kobayashi and Tatsuya Onuki and Yuichi Yanagisawa and Toshihiko Takeuchi and Yutaka Okazaki and Hitoshi Kunitake and Daiki Nakamura and Takaaki Nagata and Yasumasa Yamane and Makoto Ikeda and Shih{-}Ci Yen and Chuan{-}Hua Chang and Wen{-}Hsiang Hsieh and Hiroshi Yoshida and Min{-}Cheng Chen and Ming{-}Han Liao and Shou{-}Zen Chang and Shunpei Yamazaki}, title = {Two-Dimensionally Arranged Display Drivers Achieved by OS/Si Structure}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185358}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185358}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KomuraMOTISKKOY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185326}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185326}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/WenHHWCLCSKWLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YuMH23, author = {Hsin Yu and John Carl Joel Salao Marquez and Chih{-}Cheng Hsieh}, title = {A -20{\textdegree}C{\textasciitilde}+107{\textdegree}C 52mk-NETD Reference-cell-free 15-bits {ROIC} for 80{\texttimes}60 Micro-bolometer Thermal Imager}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185249}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185249}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YuMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vnc/WangSHT23, author = {Bo{-}Wen Wang and Wen{-}Hsuan Shen and Ming{-}Ju Hsieh and Hsin{-}Mu Tsai}, editor = {Sinem Coleri and Onur Altintas and Frank Kargl and Takamasa Higuchi and Michele Segata and Florian Klingler}, title = {{EMS-RTC:} LSTM-based Adaptive Video Streaming for Smart Ambulance}, booktitle = {{IEEE} Vehicular Networking Conference, {VNC} 2023, Istanbul, Turkey, April 26-28, 2023}, pages = {9--16}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VNC57357.2023.10136348}, doi = {10.1109/VNC57357.2023.10136348}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vnc/WangSHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LuisHNSMJM23, author = {Andr{\'{e}} Lu{\'{\i}}s and Chihcheng Hsieh and Isabel Blanco Nobre and Sandra Costa Sousa and Anderson Maciel and Joaquim Jorge and Catarina Moreira}, title = {Integrating Eye-Gaze Data into {CXR} {DL} Approaches: {A} Preliminary study}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2023, Shanghai, China, March 25-29, 2023}, pages = {196--199}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VRW58643.2023.00048}, doi = {10.1109/VRW58643.2023.00048}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/LuisHNSMJM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiCLLH23, author = {Hong{-}Wen Li and You{-}Cheng Chen and Alan Liu and Shih{-}Cheng Lin and Meng{-}Yuan Hsieh}, title = {A Generative Adversarial Network Approach to Reflectarray Pattern Synthesis}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2023, Glasgow, UK, March 26-29, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WCNC55385.2023.10119100}, doi = {10.1109/WCNC55385.2023.10119100}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LiCLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/LeeWMWBHC23, author = {Wonkyeong Lee and Fabian Wagner and Andreas Maier and Adam S. Wang and Jongduk Baek and Scott S. Hsieh and Jang{-}Hwan Choi}, title = {Low-dose Computed Tomography Perceptual Image Quality Assessment Grand Challenge Dataset {(MICCAI} 2023) (Version 1)}, publisher = {Zenodo}, year = {2023}, month = apr, howpublished = {\url{https://doi.org/10.5281/zenodo.7833096}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.5281/zenodo.7833096}, doi = {10.5281/ZENODO.7833096}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/LeeWMWBHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-00984, author = {Jonathan P. Mailoa and Zhaofeng Ye and Jiezhong Qiu and Chang{-}Yu Hsieh and Shengyu Zhang}, title = {Protein-Ligand Complex Generator {\&} Drug Screening via Tiered Tensor Transform}, journal = {CoRR}, volume = {abs/2301.00984}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.00984}, doi = {10.48550/ARXIV.2301.00984}, eprinttype = {arXiv}, eprint = {2301.00984}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-00984.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-01212, author = {Jun{-}Ting Hsieh and Theo McKenzie and Sidhanth Mohanty and Pedro Paredes}, title = {Explicit two-sided unique-neighbor expanders}, journal = {CoRR}, volume = {abs/2302.01212}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.01212}, doi = {10.48550/ARXIV.2302.01212}, eprinttype = {arXiv}, eprint = {2302.01212}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-01212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02509, author = {Yingkai Ouyang and Kaumudibikash Goswami and Jacquiline Romero and Barry C. Sanders and Min{-}Hsiu Hsieh and Marco Tomamichel}, title = {Approximate reconstructability of quantum states and noisy quantum secret sharing schemes}, journal = {CoRR}, volume = {abs/2302.02509}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02509}, doi = {10.48550/ARXIV.2302.02509}, eprinttype = {arXiv}, eprint = {2302.02509}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02940, author = {Andr{\'{e}} Lu{\'{\i}}s and Chihcheng Hsieh and Isabel Blanco Nobre and Sandra Costa Sousa and Anderson Maciel and Catarina Moreira and Joaquim Jorge}, title = {Integrating Eye-Gaze Data into {CXR} {DL} Approaches: {A} Preliminary study}, journal = {CoRR}, volume = {abs/2302.02940}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02940}, doi = {10.48550/ARXIV.2302.02940}, eprinttype = {arXiv}, eprint = {2302.02940}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-11419, author = {Vignesh Ram Somnath and Matteo Pariset and Ya{-}Ping Hsieh and Mar{\'{\i}}a Rodr{\'{\i}}guez Mart{\'{\i}}nez and Andreas Krause and Charlotte Bunne}, title = {Aligned Diffusion Schr{\"{o}}dinger Bridges}, journal = {CoRR}, volume = {abs/2302.11419}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.11419}, doi = {10.48550/ARXIV.2302.11419}, eprinttype = {arXiv}, eprint = {2302.11419}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-11419.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-13390, author = {Chihcheng Hsieh and Isabel Blanco Nobre and Sandra Costa Sousa and Chun Ouyang and Margot Brereton and Jacinto C. Nascimento and Joaquim Jorge and Catarina Moreira}, title = {MDF-Net: Multimodal Dual-Fusion Network for Abnormality Detection using {CXR} Images and Clinical Data}, journal = {CoRR}, volume = {abs/2302.13390}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.13390}, doi = {10.48550/ARXIV.2302.13390}, eprinttype = {arXiv}, eprint = {2302.13390}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-13390.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16341, author = {Yuanhao Xiong and Long Zhao and Boqing Gong and Ming{-}Hsuan Yang and Florian Schroff and Ting Liu and Cho{-}Jui Hsieh and Liangzhe Yuan}, title = {Spatiotemporally Discriminative Video-Language Pre-Training with Text Grounding}, journal = {CoRR}, volume = {abs/2303.16341}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16341}, doi = {10.48550/ARXIV.2303.16341}, eprinttype = {arXiv}, eprint = {2303.16341}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16341.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00732, author = {Sandeep Manjanna and Tom Z. Jiahao and M. Ani Hsieh}, title = {Leveraging Predictive Models for Adaptive Sampling of Spatiotemporal Fluid Processes}, journal = {CoRR}, volume = {abs/2304.00732}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00732}, doi = {10.48550/ARXIV.2304.00732}, eprinttype = {arXiv}, eprint = {2304.00732}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-02143, author = {Fabio Hellmann and Silvan Mertes and Mohamed Benouis and Alexander Hustinx and Tzung{-}Chien Hsieh and Cristina Conati and Peter Krawitz and Elisabeth Andr{\'{e}}}, title = {GANonymization: {A} GAN-based Face Anonymization Framework for Preserving Emotional Expressions}, journal = {CoRR}, volume = {abs/2305.02143}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.02143}, doi = {10.48550/ARXIV.2305.02143}, eprinttype = {arXiv}, eprint = {2305.02143}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-02143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17449, author = {Munkhjargal Gochoo and Munkh{-}Erdene Otgonbold and Erkhembayar Ganbold and Jun{-}Wei Hsieh and Ming{-}Ching Chang and Ping{-}Yang Chen and Byambaa Dorj and Hamad Al Jassmi and Ganzorig Batnasan and Fady Alnajjar and Mohammed Abduljabbar and Fang{-}Pang Lin}, title = {FishEye8K: {A} Benchmark and Dataset for Fisheye Camera Object Detection}, journal = {CoRR}, volume = {abs/2305.17449}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17449}, doi = {10.48550/ARXIV.2305.17449}, eprinttype = {arXiv}, eprint = {2305.17449}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17855, author = {Yu{-}Hsiang Tseng and Mao{-}Chang Ku and Wei{-}Ling Chen and Yu{-}Lin Chang and Shu{-}Kai Hsieh}, title = {Vec2Gloss: definition modeling leveraging contextualized vectors with Wordnet gloss}, journal = {CoRR}, volume = {abs/2305.17855}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17855}, doi = {10.48550/ARXIV.2305.17855}, eprinttype = {arXiv}, eprint = {2305.17855}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00570, author = {Kong Yao Chee and Thales C. Silva and M. Ani Hsieh and George J. Pappas}, title = {Enhancing Sample Efficiency and Uncertainty Compensation in Learning-based Model Predictive Control for Aerial Robots}, journal = {CoRR}, volume = {abs/2308.00570}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00570}, doi = {10.48550/ARXIV.2308.00570}, eprinttype = {arXiv}, eprint = {2308.00570}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00570.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06261, author = {Sathiya Kumaran Mani and Yajie Zhou and Kevin Hsieh and Santiago Segarra and Ranveer Chandra and Srikanth Kandula}, title = {Enhancing Network Management Using Code Generated by Large Language Models}, journal = {CoRR}, volume = {abs/2308.06261}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06261}, doi = {10.48550/ARXIV.2308.06261}, eprinttype = {arXiv}, eprint = {2308.06261}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06261.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-08086, author = {Shaoru Chen and Kong Yao Chee and Nikolai Matni and M. Ani Hsieh and George J. Pappas}, title = {Safety Filter Design for Neural Network Systems via Convex Optimization}, journal = {CoRR}, volume = {abs/2308.08086}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.08086}, doi = {10.48550/ARXIV.2308.08086}, eprinttype = {arXiv}, eprint = {2308.08086}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-08086.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09514, author = {Yu{-}Cheng Hsieh and Cheng Sun and Suraj Dengale and Min Sun}, title = {PanoMixSwap Panorama Mixing via Structural Swapping for Indoor Scene Understanding}, journal = {CoRR}, volume = {abs/2309.09514}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09514}, doi = {10.48550/ARXIV.2309.09514}, eprinttype = {arXiv}, eprint = {2309.09514}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09514.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09515, author = {Ting{-}Ying Lin and Lin{-}Yung Hsieh and Fu{-}En Wang and Wen{-}Shen Wuen and Min Sun}, title = {Sparse and Privacy-enhanced Representation for Human Pose Estimation}, journal = {CoRR}, volume = {abs/2309.09515}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09515}, doi = {10.48550/ARXIV.2309.09515}, eprinttype = {arXiv}, eprint = {2309.09515}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11637, author = {Katherine Mao and Igor Spasojevic and M. Ani Hsieh and Vijay Kumar}, title = {TOPPQuad: Dynamically-Feasible Time Optimal Path Parametrization for Quadrotors}, journal = {CoRR}, volume = {abs/2309.11637}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11637}, doi = {10.48550/ARXIV.2309.11637}, eprinttype = {arXiv}, eprint = {2309.11637}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13494, author = {Alysson Ribeiro Da Silva and Luiz Chaimowicz and Vijay Kumar and Thales Costa Silva and M. Ani Hsieh}, title = {Communication-Constrained Multi-Robot Exploration with Intermittent Rendezvous}, journal = {CoRR}, volume = {abs/2309.13494}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13494}, doi = {10.48550/ARXIV.2309.13494}, eprinttype = {arXiv}, eprint = {2309.13494}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13494.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05175, author = {Lu Yin and You Wu and Zhenyu Zhang and Cheng{-}Yu Hsieh and Yaqing Wang and Yiling Jia and Mykola Pechenizkiy and Yi Liang and Zhangyang Wang and Shiwei Liu}, title = {Outlier Weighed Layerwise Sparsity {(OWL):} {A} Missing Secret Sauce for Pruning LLMs to High Sparsity}, journal = {CoRR}, volume = {abs/2310.05175}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05175}, doi = {10.48550/ARXIV.2310.05175}, eprinttype = {arXiv}, eprint = {2310.05175}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05175.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15479, author = {Namjoon Suh and Xiaofeng Lin and Din{-}Yin Hsieh and Merhdad Honarkhah and Guang Cheng}, title = {AutoDiff: combining Auto-encoder and Diffusion model for tabular data synthesizing}, journal = {CoRR}, volume = {abs/2310.15479}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15479}, doi = {10.48550/ARXIV.2310.15479}, eprinttype = {arXiv}, eprint = {2310.15479}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-09354, author = {Kylie J. Trettner and Jeremy Hsieh and Weikun Xiao and Jerry S. H. Lee and Andrea M. Armani}, title = {Nondestructive, quantitative viability analysis of 3D tissue cultures using machine learning image segmentation}, journal = {CoRR}, volume = {abs/2311.09354}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.09354}, doi = {10.48550/ARXIV.2311.09354}, eprinttype = {arXiv}, eprint = {2311.09354}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-09354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-10480, author = {Kuo{-}Chin Chen and Simon Apers and Min{-}Hsiu Hsieh}, title = {(Quantum) complexity of testing signed graph clusterability}, journal = {CoRR}, volume = {abs/2311.10480}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.10480}, doi = {10.48550/ARXIV.2311.10480}, eprinttype = {arXiv}, eprint = {2311.10480}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-10480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02429, author = {Wei{-}Cheng Chang and Jyun{-}Yu Jiang and Jiong Zhang and Mutasem Al{-}Darabsah and Choon Hui Teo and Cho{-}Jui Hsieh and Hsiang{-}Fu Yu and S. V. N. Vishwanathan}, title = {{PEFA:} Parameter-Free Adapters for Large-scale Embedding-based Retrieval Models}, journal = {CoRR}, volume = {abs/2312.02429}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02429}, doi = {10.48550/ARXIV.2312.02429}, eprinttype = {arXiv}, eprint = {2312.02429}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02429.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14248, author = {Alice Kate Li and Yue Mao and Sandeep Manjanna and Sixuan Liu and Jasleen Dhanoa and Bharg Mehta and Victoria M. Edwards and Fernando Cladera Ojeda and Ma{\"{e}}l Le Men and Eric Sigg and Hugo N. Ulloa and Douglas J. Jerolmack and M. Ani Hsieh}, title = {Towards Understanding Underwater Weather Events in Rivers Using Autonomous Surface Vehicles}, journal = {CoRR}, volume = {abs/2312.14248}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14248}, doi = {10.48550/ARXIV.2312.14248}, eprinttype = {arXiv}, eprint = {2312.14248}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14248.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15320, author = {Da Wu and Jingye Yang and Steven Klein and Cong Liu and Tzung{-}Chien Hsieh and Peter Krawitz and Chunhua Weng and Gholson J. Lyon and Jennifer M. Kalish and Kai Wang}, title = {Multimodal Machine Learning Combining Facial Images and Clinical Texts Improves Diagnosis of Rare Genetic Diseases}, journal = {CoRR}, volume = {abs/2312.15320}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15320}, doi = {10.48550/ARXIV.2312.15320}, eprinttype = {arXiv}, eprint = {2312.15320}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HsiehCJLS22, author = {Cheng{-}Hsiung Hsieh and Kuan{-}Yu Chen and Meng{-}Yuan Jiang and Jiun{-}Jian Liaw and Jungpil Shin}, title = {Estimation of PM\({}_{\mbox{2.5}}\) Concentration Based on Support Vector Regression With Improved Dark Channel Prior and High Frequency Information in Images}, journal = {{IEEE} Access}, volume = {10}, pages = {48486--48498}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3172468}, doi = {10.1109/ACCESS.2022.3172468}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HsiehCJLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/ManjannaHD22, author = {Sandeep Manjanna and M. Ani Hsieh and Greogory Dudek}, title = {Scalable multirobot planning for informed spatial sampling}, journal = {Auton. Robots}, volume = {46}, number = {7}, pages = {817--829}, year = {2022}, url = {https://doi.org/10.1007/s10514-022-10048-7}, doi = {10.1007/S10514-022-10048-7}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/ManjannaHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsis/NayakPPNH22, author = {Suvra Nayak and Chhabi Rani Panigrahi and Bibudhendu Pati and Sarmistha Nanda and Meng{-}Yen Hsieh}, title = {Comparative analysis of {HAR} datasets using classification algorithms}, journal = {Comput. Sci. Inf. Syst.}, volume = {19}, number = {1}, pages = {47--63}, year = {2022}, url = {https://doi.org/10.2298/csis201221043n}, doi = {10.2298/CSIS201221043N}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsis/NayakPPNH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/HuLHHS22, author = {Jianqiang Hu and Wei Liang and Osama Hosam and Meng{-}Yen Hsieh and Xin Su}, title = {5GSS: a framework for 5G-secure-smart healthcare monitoring}, journal = {Connect. Sci.}, volume = {34}, number = {1}, pages = {139--161}, year = {2022}, url = {https://doi.org/10.1080/09540091.2021.1977243}, doi = {10.1080/09540091.2021.1977243}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/HuLHHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/LiSLLHCLZ22, author = {Tianyuan Li and Xin Su and Wei Liu and Wei Liang and Meng{-}Yen Hsieh and Zhuhui Chen and Xuchong Liu and Hong Zhang}, title = {Memory-augmented meta-learning on meta-path for fast adaptation cold-start recommendation}, journal = {Connect. Sci.}, volume = {34}, number = {1}, pages = {301--318}, year = {2022}, url = {https://doi.org/10.1080/09540091.2021.1996537}, doi = {10.1080/09540091.2021.1996537}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/LiSLLHCLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/WeiLZZH22, author = {Zhongliang Wei and Wenjuan Liu and Guangli Zhu and Shunxiang Zhang and Meng{-}Yen Hsieh}, title = {Sentiment classification of Chinese Weibo based on extended sentiment dictionary and organisational structure of comments}, journal = {Connect. Sci.}, volume = {34}, number = {1}, pages = {409--428}, year = {2022}, url = {https://doi.org/10.1080/09540091.2021.2006146}, doi = {10.1080/09540091.2021.2006146}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/WeiLZZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/ZhangWXZH22, author = {Shunxiang Zhang and Houyue Wu and Xin Xu and Guangli Zhu and Meng{-}Yen Hsieh}, title = {{CL-ECPE:} contrastive learning with adversarial samples for emotion-cause pair extraction}, journal = {Connect. Sci.}, volume = {34}, number = {1}, pages = {1877--1894}, year = {2022}, url = {https://doi.org/10.1080/09540091.2022.2082383}, doi = {10.1080/09540091.2022.2082383}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/ZhangWXZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/SuCCTHSSABYG22, author = {Yu{-}Chuan Su and Soravit Changpinyo and Xiangning Chen and Sathish Thoppay and Cho{-}Jui Hsieh and Lior Shapira and Radu Soricut and Hartwig Adam and Matthew Brown and Ming{-}Hsuan Yang and Boqing Gong}, title = {2.5D visual relationship detection}, journal = {Comput. Vis. Image Underst.}, volume = {224}, pages = {103557}, year = {2022}, url = {https://doi.org/10.1016/j.cviu.2022.103557}, doi = {10.1016/J.CVIU.2022.103557}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/SuCCTHSSABYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/HsiehLWHOL22, author = {Mi{-}Tsuen Hsieh and Shie{-}Jue Lee and Chih{-}Hung Wu and Chun{-}Liang Hou and Chen{-}Sen Ouyang and Zhan{-}Pei Lin}, title = {Leveraging attribute latent features for addressing new item cold-start issue}, journal = {Electron. Commer. Res. Appl.}, volume = {54}, pages = {101177}, year = {2022}, url = {https://doi.org/10.1016/j.elerap.2022.101177}, doi = {10.1016/J.ELERAP.2022.101177}, timestamp = {Tue, 20 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecra/HsiehLWHOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/HsiehFFCGS22, author = {Katherine L. Hsieh and Mikaela L. Frechette and Jason Fanning and Lingjun Chen and Aileen Griffin and Jacob J. Sosnoff}, title = {The Developments and Iterations of a Mobile Technology-Based Fall Risk Health Application}, journal = {Frontiers Digit. Health}, volume = {4}, pages = {828686}, year = {2022}, url = {https://doi.org/10.3389/fdgth.2022.828686}, doi = {10.3389/FDGTH.2022.828686}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/HsiehFFCGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuSCCHTLLLWCRCW22, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Yen{-}Lin Chung and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Hongwu Jiang and Shanshi Huang and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shimeng Yu and Meng{-}Fan Chang}, title = {Two-Way Transpose Multibit 6T {SRAM} Computing-in-Memory Macro for Inference-Training {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {609--624}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3108344}, doi = {10.1109/JSSC.2021.3108344}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SuSCCHTLLLWCRCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChenHCLLHCHCLLL22, author = {Pin{-}Hsiu Chen and Cheng{-}Hsien Huang and Wen{-}Tse Chiu and Chen{-}Mao Liao and Yu{-}Ruei Lin and Shih{-}Kai Hung and Liang{-}Cheng Chen and Hui{-}Ling Hsieh and Wen{-}Yen Chiou and Moon{-}Sing Lee and Hon{-}Yi Lin and Wei{-}Min Liu}, title = {A multiple organ segmentation system for {CT} image series using Attention-LSTM fused U-Net}, journal = {Multim. Tools Appl.}, volume = {81}, number = {9}, pages = {11881--11895}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11889-7}, doi = {10.1007/S11042-021-11889-7}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChenHCLLHCHCLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KimCJWWYHETLWSH22, author = {Chris K. Kim and Ji Whae Choi and Zhicheng Jiao and Dongcui Wang and Jing Wu and Thomas Y. Yi and Kasey C. Halsey and Feyisope Eweje and Thi My Linh Tran and Chang Liu and Robin Wang and John Sollee and Celina Hsieh and Ken Chang and Fang{-}Xue Yang and Ritambhara Singh and Jie{-}Lin Ou and Raymond Y. Huang and Cai Feng and Michael D. Feldman and Tao Liu and Ji Sheng Gong and Shaolei Lu and Carsten Eickhoff and Xue Feng and Ihab Kamel and Ronnie Sebro and Michael Atalay and Terrance Healey and Yong Fan and Wei{-}hua Liao and Jianxin Wang and Harrison Bai}, title = {An automated {COVID-19} triage pipeline using artificial intelligence based on chest radiographs and clinical data}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-021-00546-w}, doi = {10.1038/S41746-021-00546-W}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KimCJWWYHETLWSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ShandhiCRSWESST22, author = {Md. Mobashir Hasan Shandhi and Peter J. Cho and Ali R. Roghanizad and Karnika Singh and Will Ke Wang and Oana M. Enache and Amanda Stern and Rami Sbahi and Bilge Tatar and Sean Fiscus and Qi Xuan Khoo and Yvonne Kuo and Xiao Lu and Joseph Hsieh and Alena Kalodzitsa and Amir Bahmani and Arash Alavi and Utsab Ray and Michael P. Snyder and Geoffrey S. Ginsburg and Dana K. Pasquale and Christopher W. Woods and Ryan J. Shaw and Jessilyn Dunn}, title = {A method for intelligent allocation of diagnostic testing by leveraging data from commercial wearable devices: a case study on {COVID-19}}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00672-z}, doi = {10.1038/S41746-022-00672-Z}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/ShandhiCRSWESST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/AgapieAHM22, author = {Elena Agapie and Patricia A. Are{\'{a}}n and Gary Hsieh and Sean A. Munson}, title = {A Longitudinal Goal Setting Model for Addressing Complex Personal Problems in Mental Health}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--28}, year = {2022}, url = {https://doi.org/10.1145/3555160}, doi = {10.1145/3555160}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/AgapieAHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/SalamEH22, author = {Tahiya Salam and Victoria M. Edwards and M. Ani Hsieh}, title = {Learning and Leveraging Features in Flow-Like Environments to Improve Situational Awareness}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {2071--2078}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3141762}, doi = {10.1109/LRA.2022.3141762}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/SalamEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ShuSCSBLYCSHH22, author = {Tony Shu and Christopher Shallal and Ethan Chun and Aashini Shah and Angel Bu and Daniel S. Levine and Seong Ho Yeon and Matthew E. Carney and Hyungeun Song and Tsung{-}Han Hsieh and Hugh M. Herr}, title = {Modulation of Prosthetic Ankle Plantarflexion Through Direct Myoelectric Control of a Subject-Optimized Neuromuscular Model}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {7620--7627}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3183762}, doi = {10.1109/LRA.2022.3183762}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ShuSCSBLYCSHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/LiMMLYFSST22, author = {Hsieh{-}Yu Li and Yuchen Ma and M. Naufal A. Bin Miswadi and Long Nguyen Nguyen Luu and Liangjing Yang and Shaohui Foong and Gim Song Soh and Espen Sivertsen and U{-}Xuan Tan}, title = {Detect-Remove-Replace: {A} Robotic Solution That Enables Unmanned Continuous 3D Printing}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {29}, number = {2}, pages = {33--45}, year = {2022}, url = {https://doi.org/10.1109/MRA.2021.3103478}, doi = {10.1109/MRA.2021.3103478}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/LiMMLYFSST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/SaeidiOKWLHKK22, author = {Hamed Saeidi and Justin D. Opfermann and Michael Kam and Shuwen Wei and Simon L{\'{e}}onard and Michael H. Hsieh and Jin U. Kang and Axel Krieger}, title = {Autonomous robotic laparoscopic surgery for intestinal anastomosis}, journal = {Sci. Robotics}, volume = {7}, number = {62}, year = {2022}, url = {https://doi.org/10.1126/scirobotics.abj2908}, doi = {10.1126/SCIROBOTICS.ABJ2908}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/SaeidiOKWLHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OtgonboldGAATHC22, author = {Munkh{-}Erdene Otgonbold and Munkhjargal Gochoo and Fady Alnajjar and Luqman Ali and Tan{-}Hsu Tan and Jun{-}Wei Hsieh and Ping{-}Yang Chen}, title = {{SHEL5K:} An Extended Dataset and Benchmarking for Safety Helmet Detection}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2315}, year = {2022}, url = {https://doi.org/10.3390/s22062315}, doi = {10.3390/S22062315}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/OtgonboldGAATHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WuSLYCHHCTCLHLJ22, author = {Cheng{-}Wen Wu and Ming{-}Der Shieh and Jenn{-}Jier James Lien and Jar{-}Ferr Yang and Wei{-}Ta Chu and Tsang{-}Hai Huang and Han{-}Chuan Hsieh and Hung{-}Ta Chiu and Kuo{-}Cheng Tu and Yen{-}Ting Chen and Shian{-}Yu Lin and Jia{-}Jun Hu and Chen{-}Huan Lin and Cheng{-}Siang Jheng}, title = {Enhancing Fan Engagement in a 5G Stadium With AI-Based Technologies and Live Streaming}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {4}, pages = {6590--6601}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2022.3169553}, doi = {10.1109/JSYST.2022.3169553}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WuSLYCHHCTCLHLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tacl/NanHMLVZKSKTMRT22, author = {Linyong Nan and Chiachun Hsieh and Ziming Mao and Xi Victoria Lin and Neha Verma and Rui Zhang and Wojciech Kryscinski and Hailey Schoelkopf and Riley Kong and Xiangru Tang and Mutethia Mutuma and Ben Rosand and Isabel Trindade and Renusree Bandaru and Jacob Cunningham and Caiming Xiong and Dragomir R. Radev}, title = {FeTaQA: Free-form Table Question Answering}, journal = {Trans. Assoc. Comput. Linguistics}, volume = {10}, pages = {35--49}, year = {2022}, url = {https://doi.org/10.1162/tacl\_a\_00446}, doi = {10.1162/TACL\_A\_00446}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tacl/NanHMLVZKSKTMRT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsiehLSJMM22, author = {Chiao Hsieh and Yangge Li and Dawei Sun and Keyur Joshi and Sasa Misailovic and Sayan Mitra}, title = {Verifying Controllers With Vision-Based Perception Using Safe Approximate Abstractions}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {4205--4216}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3197508}, doi = {10.1109/TCAD.2022.3197508}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsiehLSJMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SieLCYLLHCT22, author = {Syuan{-}Hao Sie and Jye{-}Luen Lee and Yi{-}Ren Chen and Zuo{-}Wei Yeh and Zhaofang Li and Chih{-}Cheng Lu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Kea{-}Tiong Tang}, title = {{MARS:} Multimacro Architecture {SRAM} CIM-Based Accelerator With Co-Designed Compressed Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1550--1562}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3082107}, doi = {10.1109/TCAD.2021.3082107}, timestamp = {Tue, 26 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SieLCYLLHCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiis/HsiehWMTV22, author = {Sheng{-}Jen Hsieh and Andy R. Wang and Anna Madison and Chad Tossell and Ewart de Visser}, title = {Adaptive Driving Assistant Model {(ADAM)} for Advising Drivers of Autonomous Vehicles}, journal = {{ACM} Trans. Interact. Intell. Syst.}, volume = {12}, number = {3}, pages = {21:1--21:28}, year = {2022}, url = {https://doi.org/10.1145/3545994}, doi = {10.1145/3545994}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tiis/HsiehWMTV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/DuHLYT22, author = {Yuxuan Du and Min{-}Hsiu Hsieh and Tongliang Liu and Shan You and Dacheng Tao}, title = {Quantum Differentially Private Sparse Regression Learning}, journal = {{IEEE} Trans. Inf. Theory}, volume = {68}, number = {8}, pages = {5217--5233}, year = {2022}, url = {https://doi.org/10.1109/TIT.2022.3164726}, doi = {10.1109/TIT.2022.3164726}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/DuHLYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ZhengLSGYEH22, author = {Jingyi Zheng and Mingli Liang and Sujata Sinha and Linqiang Ge and Wei Yu and Arne D. Ekstrom and Fushing Hsieh}, title = {Time-Frequency Analysis of Scalp {EEG} With Hilbert-Huang Transform and Deep Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {4}, pages = {1549--1559}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2021.3110267}, doi = {10.1109/JBHI.2021.3110267}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ZhengLSGYEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PuCTLHL22, author = {Ying{-}Hung Pu and Po{-}Sheng Chiu and Yu{-}Shiuan Tsai and Meng{-}Tsung Liu and Yi{-}Zeng Hsieh and Shih{-}Syun Lin}, title = {Aerial face recognition and absolute distance estimation using drone and deep learning}, journal = {J. Supercomput.}, volume = {78}, number = {4}, pages = {5285--5305}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-04088-6}, doi = {10.1007/S11227-021-04088-6}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PuCTLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/YuSSH22, author = {Xi Yu and David Salda{\~{n}}a and Daigo Shishika and M. Ani Hsieh}, title = {Resilient Consensus in Robot Swarms With Periodic Motion and Intermittent Communication}, journal = {{IEEE} Trans. Robotics}, volume = {38}, number = {1}, pages = {110--125}, year = {2022}, url = {https://doi.org/10.1109/TRO.2021.3088765}, doi = {10.1109/TRO.2021.3088765}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/YuSSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HsiehLLLCT22, author = {Chia{-}Yu Hsieh and Shih{-}Ting Lin and Zhaofang Li and Chih{-}Cheng Lu and Meng{-}Fan Chang and Kea{-}Tiong Tang}, title = {MARSv2: Multicore and Programmable Reconstruction Architecture {SRAM} CIM-Based Accelerator with Lightweight Network}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {383--386}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9870005}, doi = {10.1109/AICAS54282.2022.9870005}, timestamp = {Fri, 16 Sep 2022 20:28:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HsiehLLLCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ShenYH22, author = {Li Shen and Xi Yu and M. Ani Hsieh}, title = {Topology Control of a Periodic Time-varying Communication Network with Stochastic Temporal Links}, booktitle = {American Control Conference, {ACC} 2022, Atlanta, GA, USA, June 8-10, 2022}, pages = {4211--4217}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ACC53348.2022.9867517}, doi = {10.23919/ACC53348.2022.9867517}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ShenYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ShuSCSBLYCSHH22, author = {Tony Shu and Christopher Shallal and Ethan Chun and Aashini Shah and Angel Bu and Daniel S. Levine and Seong Ho Yeon and Matthew E. Carney and Hyungeun Song and Tsung{-}Han Hsieh and Hugh M. Herr}, title = {Modulation of Prosthetic Ankle Plantarflexion Through Direct Myoelectric Control of a Subject-Optimized Neuromuscular Model}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925293}, doi = {10.1109/BIOROB52689.2022.9925293}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ShuSCSBLYCSHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HsiehWKM22, author = {Chiao Hsieh and Daniel Wu and Yubin Koh and Sayan Mitra}, title = {Programming Abstractions for Simulation and Testing on Smart Manufacturing Systems}, booktitle = {18th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2022, Mexico City, Mexico, August 20-24, 2022}, pages = {2287--2292}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CASE49997.2022.9926564}, doi = {10.1109/CASE49997.2022.9926564}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/HsiehWKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coco/HsiehMX22, author = {Jun{-}Ting Hsieh and Sidhanth Mohanty and Jeff Xu}, editor = {Shachar Lovett}, title = {Certifying Solution Geometry in Random CSPs: Counts, Clusters and Balance}, booktitle = {37th Computational Complexity Conference, {CCC} 2022, July 20-23, 2022, Philadelphia, PA, {USA}}, series = {LIPIcs}, volume = {234}, pages = {11:1--11:18}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.CCC.2022.11}, doi = {10.4230/LIPICS.CCC.2022.11}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/coco/HsiehMX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuMCHY22, author = {Yong Liu and Siqi Mai and Xiangning Chen and Cho{-}Jui Hsieh and Yang You}, title = {Towards Efficient and Scalable Sharpness-Aware Minimization}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {12350--12360}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01204}, doi = {10.1109/CVPR52688.2022.01204}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuMCHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dars/EdwardsSH22, author = {Victoria M. Edwards and Thales C. Silva and M. Ani Hsieh}, editor = {Julien Bourgeois and Jamie Paik and Beno{\^{\i}}t Piranda and Justin Werfel and Sabine Hauert and Alyssa Pierson and Heiko Hamann and Tin Lun Lam and Fumitoshi Matsuno and Negar Mehr and Abdallah Makhoul}, title = {Stochastic Nonlinear Ensemble Modeling and Control for Robot Team Environmental Monitoring}, booktitle = {Distributed Autonomous Robotic Systems - 16th International Symposium, {DARS} 2022, Montb{\'{e}}liard, France, 28-30 November 2022}, series = {Springer Proceedings in Advanced Robotics}, volume = {28}, pages = {83--99}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-51497-5\_7}, doi = {10.1007/978-3-031-51497-5\_7}, timestamp = {Tue, 13 Feb 2024 17:24:09 +0100}, biburl = {https://dblp.org/rec/conf/dars/EdwardsSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dars/PanMH22, author = {Lishuo Pan and Sandeep Manjanna and M. Ani Hsieh}, editor = {Julien Bourgeois and Jamie Paik and Beno{\^{\i}}t Piranda and Justin Werfel and Sabine Hauert and Alyssa Pierson and Heiko Hamann and Tin Lun Lam and Fumitoshi Matsuno and Negar Mehr and Abdallah Makhoul}, title = {{MARLAS:} Multi Agent Reinforcement Learning for Cooperated Adaptive Sampling}, booktitle = {Distributed Autonomous Robotic Systems - 16th International Symposium, {DARS} 2022, Montb{\'{e}}liard, France, 28-30 November 2022}, series = {Springer Proceedings in Advanced Robotics}, volume = {28}, pages = {347--362}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-51497-5\_25}, doi = {10.1007/978-3-031-51497-5\_25}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dars/PanMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dars/SilvaEH22, author = {Thales C. Silva and Victoria Edwards and M. Ani Hsieh}, editor = {Julien Bourgeois and Jamie Paik and Beno{\^{\i}}t Piranda and Justin Werfel and Sabine Hauert and Alyssa Pierson and Heiko Hamann and Tin Lun Lam and Fumitoshi Matsuno and Negar Mehr and Abdallah Makhoul}, title = {Proportional Control for Stochastic Regulation on Allocation of Multi-robots}, booktitle = {Distributed Autonomous Robotic Systems - 16th International Symposium, {DARS} 2022, Montb{\'{e}}liard, France, 28-30 November 2022}, series = {Springer Proceedings in Advanced Robotics}, volume = {28}, pages = {363--377}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-51497-5\_26}, doi = {10.1007/978-3-031-51497-5\_26}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dars/SilvaEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dars/SilvaS0H22, author = {Thales C. Silva and Li Shen and Xi Yu and M. Ani Hsieh}, editor = {Julien Bourgeois and Jamie Paik and Beno{\^{\i}}t Piranda and Justin Werfel and Sabine Hauert and Alyssa Pierson and Heiko Hamann and Tin Lun Lam and Fumitoshi Matsuno and Negar Mehr and Abdallah Makhoul}, title = {Receding Horizon Control on the Broadcast of Information in Stochastic Networks}, booktitle = {Distributed Autonomous Robotic Systems - 16th International Symposium, {DARS} 2022, Montb{\'{e}}liard, France, 28-30 November 2022}, series = {Springer Proceedings in Advanced Robotics}, volume = {28}, pages = {216--230}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-51497-5\_16}, doi = {10.1007/978-3-031-51497-5\_16}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dars/SilvaS0H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/TevershamWHRTSZ22, author = {James Teversham and Steven S. Wong and Bryan Hsieh and Adrien Rapeaux and Francesca Troiani and Oscar Savolainen and Zheng Zhang and Michal Maslik and Timothy G. Constandinou}, title = {Development of an Ultra Low-Cost SSVEP-based {BCI} Device for Real-Time On-Device Decoding}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {208--213}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871064}, doi = {10.1109/EMBC48229.2022.9871064}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/TevershamWHRTSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/DengWHWGSSXH22, author = {Mingkai Deng and Jianyu Wang and Cheng{-}Ping Hsieh and Yihan Wang and Han Guo and Tianmin Shu and Meng Song and Eric P. Xing and Zhiting Hu}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {RLPrompt: Optimizing Discrete Text Prompts with Reinforcement Learning}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {3369--3391}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.222}, doi = {10.18653/V1/2022.EMNLP-MAIN.222}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/DengWHWGSSXH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/AbrahamMPOYHLSM22, author = {Michael Abraham and Aaron Mayne and Tristan Perez and {\'{I}}talo Romani de Oliveira and Huafeng Yu and Chiao Hsieh and Yangge Li and Dawei Sun and Sayan Mitra}, title = {Industry-track: Challenges in Rebooting Autonomy with Deep Learned Perception}, booktitle = {International Conference on Embedded Software, {EMSOFT} 2022, Shanghai, China, October 7-14, 2022}, pages = {17--20}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMSOFT55006.2022.00016}, doi = {10.1109/EMSOFT55006.2022.00016}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/AbrahamMPOYHLSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/IshibashiZLHY22, author = {A. Ishibashi and Z. Zhou and S. Liang and T. Hsieh and M. Yasutake}, title = {Compact Clean Unit System Platform {(CUSP)} for Quality-of-life Improvement}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {710--711}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014219}, doi = {10.1109/GCCE56475.2022.10014219}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/IshibashiZLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WeiHLT22, author = {Crystal T. Wei and Ming{-}En Hsieh and Chien{-}Liang Liu and Vincent S. Tseng}, title = {Contrastive Heartbeats: Contrastive Learning for Self-Supervised {ECG} Representation and Phenotyping}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {1126--1130}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746887}, doi = {10.1109/ICASSP43922.2022.9746887}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WeiHLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChangHH22, author = {Chuan{-}Yu Chang and Min{-}Hong Hsieh and Shao{-}Min Hsu}, title = {Localization of Fresh and Old Fracture in Spine {CT} Images Using {YOLOR}}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {253--254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869285}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869285}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChangHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChienCHYZMD22, author = {Eli Chien and Wei{-}Cheng Chang and Cho{-}Jui Hsieh and Hsiang{-}Fu Yu and Jiong Zhang and Olgica Milenkovic and Inderjit S. Dhillon}, title = {Node Feature Extraction by Self-Supervised Multi-scale Neighborhood Prediction}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=KJggliHbs8}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/ChienCHYZMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChengLCDH22, author = {Minhao Cheng and Qi Lei and Pin{-}Yu Chen and Inderjit S. Dhillon and Cho{-}Jui Hsieh}, editor = {Luc De Raedt}, title = {{CAT:} Customized Adversarial Training for Improved Robustness}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {673--679}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/95}, doi = {10.24963/IJCAI.2022/95}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChengLCDH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YuFH0R22, author = {Cheng Yu and Szu{-}Wei Fu and Tsun{-}An Hsieh and Yu Tsao and Mirco Ravanelli}, editor = {Hanseok Ko and John H. L. Hansen}, title = {{OSSEM:} one-shot speaker adaptive speech enhancement using meta learning}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {981--985}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-10283}, doi = {10.21437/INTERSPEECH.2022-10283}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YuFH0R22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MalenciaMHP022, author = {Matthew Malencia and Sandeep Manjanna and M. Ani Hsieh and George J. Pappas and Vijay Kumar}, title = {Adaptive Sampling of Latent Phenomena using Heterogeneous Robot Teams (ASLaP-HR)}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {8762--8769}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9982270}, doi = {10.1109/IROS47612.2022.9982270}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/MalenciaMHP022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuCWHLCLC22, author = {Shi{-}Tang Liu and Jia{-}Xian Chen and Yu{-}Tsung Wu and Chao{-}Ho Hsieh and Chien{-}Mo James Li and Norman Chang and Ying{-}Shiun Li and Wentze Chuang}, title = {Low-IR-Drop Test Pattern Regeneration Using {A} Fast Predictor}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {27--32}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806245}, doi = {10.1109/ISQED54688.2022.9806245}, timestamp = {Mon, 04 Jul 2022 17:06:19 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LiuCWHLCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuYTHCWCHLLCP22, author = {Yen{-}Cheng Chiu and Chia{-}Sheng Yang and Shih{-}Hsih Teng and Hsiao{-}Yu Huang and Fu{-}Chun Chang and Yuan Wu and Yu{-}An Chien and Fang{-}Ling Hsieh and Chung{-}Yuan Li and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and Chung{-}Chuan Lo and Win{-}San Khwa and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chieh{-}Pu Lo and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb {STT-MRAM} Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b {MAC} for {AI} Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {178--180}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731621}, doi = {10.1109/ISSCC42614.2022.9731621}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiuYTHCWCHLLCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungHHCWSKLLHTC22, author = {Je{-}Min Hung and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Tai{-}Hao Wen and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731715}, doi = {10.1109/ISSCC42614.2022.9731715}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HungHHCWSKLLHTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSCHRCWCLHLSCL22, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chih{-}I Wu and Meng{-}Fan Chang}, title = {A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731681}, doi = {10.1109/ISSCC42614.2022.9731681}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSCHRCWCLHLSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinCHLFH22, author = {Wei{-}Chen Lin and Chun Chen and Chao{-}Ho Hsieh and James Chien{-}Mo Li and Eric Jia{-}Wei Fang and Sung S.{-}Y. Hsueh}, title = {ML-Assisted VminBinning with Multiple Guard Bands for Low Power Consumption}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {213--218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00029}, doi = {10.1109/ITC50671.2022.00029}, timestamp = {Thu, 05 Jan 2023 13:13:27 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinCHLFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/TsengSCCKH22, author = {Yu{-}Hsiang Tseng and Cing{-}Fang Shih and Pin{-}Er Chen and Hsin{-}Yu Chou and Mao{-}Chang Ku and Shu{-}Kai Hsieh}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {CxLM: {A} Construction and Context-aware Language Model}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {6361--6369}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.683}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/TsengSCCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/PillaiHHCFM22, author = {Parvathy Sudhir Pillai and Scott S. Hsieh and David R. Holmes III and Rickey E. Carter and Joel G. Fletcher and Cynthia H. McCollough}, editor = {Claudia R. Mello{-}Thoms and Sian Taylor{-}Phillips}, title = {Individualized and generalized learner models for predicting missed hepatic metastases}, booktitle = {Medical Imaging 2022: Image Perception, Observer Performance, and Technology Assessment, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12035}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2612745}, doi = {10.1117/12.2612745}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miipop/PillaiHHCFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/0002KLLHS22, author = {Qin Ding and Yue Kang and Yi{-}Wei Liu and Thomas Chun Man Lee and Cho{-}Jui Hsieh and James Sharpnack}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Syndicated Bandits: {A} Framework for Auto Tuning Hyper-parameters in Contextual Bandit Algorithms}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/082e82cae0232f45f27fdd2612c31f8a-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/0002KLLHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiuMCCHY22, author = {Yong Liu and Siqi Mai and Minhao Cheng and Xiangning Chen and Cho{-}Jui Hsieh and Yang You}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Random Sharpness-Aware Minimization}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/9b79416c0dc4b09feaa169ed5cdd63d4-Abstract-Conference.html}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LiuMCCHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/YangHLSO22, author = {Ting{-}Hua Yang and Hsien{-}Yuan Hsieh and Tse{-}Han Lin and Wei{-}Zen Sun and Ming Ouhyoung}, editor = {Soon Ki Jung and Neil A. Dodgson}, title = {A High Frame Rate Affordable Nystagmus Detection Method with Smartphones Used in Outpatient Clinic}, booktitle = {{SIGGRAPH} Asia 2022 Posters, {SA} 2022, Daegu, Republic of Korea, December 6-9, 2022}, pages = {12:1--12:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550082.3564164}, doi = {10.1145/3550082.3564164}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/YangHLSO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssrr/KumarDSH22, author = {Arjun Kumar and Alexandra Davatzes and Thomas F. Shipley and M. Ani Hsieh}, title = {{LIDAR} SLAM-Based Dense Reconstructions of Natural Environments: Field Evaluations}, booktitle = {{IEEE} International Symposium on Safety, Security, and Rescue Robotics, {SSRR} 2022, Sevilla, Spain, November 8-10, 2022}, pages = {116--121}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SSRR56537.2022.10018616}, doi = {10.1109/SSRR56537.2022.10018616}, timestamp = {Wed, 08 Feb 2023 22:09:23 +0100}, biburl = {https://dblp.org/rec/conf/ssrr/KumarDSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongLHHWCLC22, author = {Zhong{-}Jie Hong and Demin Liu and Shu{-}Ting Hsieh and Han{-}Wen Hu and Ming{-}Wei Weng and Chih{-}I Cho and Jui{-}Han Liu and Kuan{-}Neng Chen}, title = {Room Temperature Cu-Cu Direct Bonding Using Wetting/Passivation Scheme for 3D Integration and Packaging}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {387--388}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830175}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830175}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HongLHHWCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HsiehCTLLLHSGC22, author = {E. R. Hsieh and J. K. Chang and T. Y. Tang and Y. J. Li and C. W. Liang and M. Y. Lin and S. Y. Huang and C. J. Su and J. C. Guo and Steve S. Chung}, title = {NVDimm-FE: {A} High-density 3D Architecture of 3-bit/c 2TnCFE to Break Great Memory Wall with 10 ns of PGM-pulse, 10\({}^{\mbox{10}}\) Cycles of Endurance, and Decade Lifetime at 103 {\textdegree}C}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {359--360}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830515}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830515}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HsiehCTLLLHSGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLCLHHWLSC22, author = {Shuenn{-}Yuh Lee and Hao{-}Yun Lee and Ding{-}Siang Ciou and Zhan{-}Xian Liao and Peng{-}Wei Huang and Yi{-}Ting Hsieh and Yi{-}Chieh Wei and Chia{-}Yu Lin and Meng{-}Dar Shieh and Ju{-}Yi Chen}, title = {A Wireless Urine Detection System and Platform with Power-Efficient Electrochemical Readout {ASIC} and {ABTS-CNT} Biosensor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {246--247}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830325}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830325}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLCLHHWLSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LiaoHLTLLHWCRTC22, author = {C.{-}Y. Liao and K.{-}Y. Hsiang and Z.{-}F. Lou and H.{-}C. Tseng and C.{-}Y. Lin and Z.{-}X. Li and F.{-}C. Hsieh and C. C. Wang and F.{-}S. Chang and W.{-}C. Ray and Y.{-}Y. Tseng and Shu{-}Tong Chang and T. C. Chen and Min{-}Hung Lee}, title = {Endurance {\textgreater} 10\({}^{\mbox{11}}\) Cycling of 3D {GAA} Nanosheet Ferroelectric {FET} with Stacked HfZrO2 to Homogenize Corner Field Toward Mitigate Dead Zone for High-Density eNVM}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830345}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830345}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LiaoHLTLLHWCRTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/YuanHRVCG22, author = {Shuai Yuan and Frank Hsieh and Shahzada Rasool and Eugene Visotsky and Mark Cudak and Amitava Ghosh}, title = {Interference Analysis of {HAPS} Coexistence on Terrestrial Mobile Networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2022, Austin, TX, USA, April 10-13, 2022}, pages = {2494--2499}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WCNC51071.2022.9771541}, doi = {10.1109/WCNC51071.2022.9771541}, timestamp = {Tue, 24 May 2022 15:39:22 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/YuanHRVCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cscw/2022c, editor = {Gary Hsieh and Anthony Tang and Morgan G. Ames and Sharon Ding and Susan R. Fussell and Vera Liao and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and John Tang}, title = {Companion Computer Supported Cooperative Work and Social Computing, {CSCW} 2022, Virtual Event, Taiwan, November 8-22, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3500868}, doi = {10.1145/3500868}, isbn = {978-1-4503-9190-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/2022c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-09208, author = {I{-}Hsi Kao and Ya{-}Zhu Yian and Jian{-}an Su and Yi{-}Horng Lai and Jau{-}Woei Perng and Tung{-}Li Hsieh and Yi{-}Shueh Tsai and Min{-}Shiu Hsieh}, title = {Design of Sensor Fusion Driver Assistance System for Active Pedestrian Safety}, journal = {CoRR}, volume = {abs/2201.09208}, year = {2022}, url = {https://arxiv.org/abs/2201.09208}, eprinttype = {arXiv}, eprint = {2201.09208}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-09208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-00480, author = {Alvin Chaidrata and Mariyam Imtha Shafeeu and Sze Ker Chew and Zhiyuan Chen and Jin Sheng Cham and Zi Li Yong and Uen Hsieh Yap and Dania Imanina Binti Kamarul Bahrin}, title = {Intent Matching based Customer Services Chatbot with Natural Language Understanding}, journal = {CoRR}, volume = {abs/2202.00480}, year = {2022}, url = {https://arxiv.org/abs/2202.00480}, eprinttype = {arXiv}, eprint = {2202.00480}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-00480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02714, author = {Yong Liu and Siqi Mai and Xiangning Chen and Cho{-}Jui Hsieh and Yang You}, title = {Towards Efficient and Scalable Sharpness-Aware Minimization}, journal = {CoRR}, volume = {abs/2203.02714}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02714}, doi = {10.48550/ARXIV.2203.02714}, eprinttype = {arXiv}, eprint = {2203.02714}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02714.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12548, author = {Mingkai Deng and Jianyu Wang and Cheng{-}Ping Hsieh and Yihan Wang and Han Guo and Tianmin Shu and Meng Song and Eric P. Xing and Zhiting Hu}, title = {RLPrompt: Optimizing Discrete Text Prompts With Reinforcement Learning}, journal = {CoRR}, volume = {abs/2205.12548}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12548}, doi = {10.48550/ARXIV.2205.12548}, eprinttype = {arXiv}, eprint = {2205.12548}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03066, author = {Jinkai Tian and Xiaoyu Sun and Yuxuan Du and Shanshan Zhao and Qing Liu and Kaining Zhang and Wei Yi and Wanrong Huang and Chaoyue Wang and Xingyao Wu and Min{-}Hsiu Hsieh and Tongliang Liu and Wenjing Yang and Dacheng Tao}, title = {Recent Advances for Quantum Neural Networks in Generative Learning}, journal = {CoRR}, volume = {abs/2206.03066}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03066}, doi = {10.48550/ARXIV.2206.03066}, eprinttype = {arXiv}, eprint = {2206.03066}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03066.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07751, author = {Lishuo Pan and Sandeep Manjanna and Vijay Kumar and M. Ani Hsieh}, title = {{MARLAS:} Multi Agent Reinforcement Learning for cooperated Adaptive Sampling}, journal = {CoRR}, volume = {abs/2207.07751}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07751}, doi = {10.48550/ARXIV.2207.07751}, eprinttype = {arXiv}, eprint = {2207.07751}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07751.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10850, author = {Jun{-}Ting Hsieh and Pravesh K. Kothari and Sidhanth Mohanty}, title = {A simple and sharper proof of the hypergraph Moore bound}, journal = {CoRR}, volume = {abs/2207.10850}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10850}, doi = {10.48550/ARXIV.2207.10850}, eprinttype = {arXiv}, eprint = {2207.10850}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10850.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-02232, author = {Keyur Joshi and Chiao Hsieh and Sayan Mitra and Sasa Misailovic}, title = {Estimating Uncertainty of Autonomous Vehicle Systems with Generalized Polynomial Chaos}, journal = {CoRR}, volume = {abs/2208.02232}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.02232}, doi = {10.48550/ARXIV.2208.02232}, eprinttype = {arXiv}, eprint = {2208.02232}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-02232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-06053, author = {Matthew Malencia and Sandeep Manjanna and M. Ani Hsieh and George J. Pappas and Vijay Kumar}, title = {Adaptive Sampling of Latent Phenomena using Heterogeneous Robot Teams (ASLaP-HR)}, journal = {CoRR}, volume = {abs/2208.06053}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.06053}, doi = {10.48550/ARXIV.2208.06053}, eprinttype = {arXiv}, eprint = {2208.06053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-06053.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-00982, author = {Chiao Hsieh and Yangge Li and Yubin Koh and Sayan Mitra}, title = {Assuring safety of vision-based swarm formation control}, journal = {CoRR}, volume = {abs/2210.00982}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.00982}, doi = {10.48550/ARXIV.2210.00982}, eprinttype = {arXiv}, eprint = {2210.00982}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-00982.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-13291, author = {Holger R. Roth and Yan Cheng and Yuhong Wen and Isaac Yang and Ziyue Xu and Yuan{-}Ting Hsieh and Kristopher Kersten and Ahmed Harouni and Can Zhao and Kevin Lu and Zhihong Zhang and Wenqi Li and Andriy Myronenko and Dong Yang and Sean Yang and Nicola Rieke and Abood Quraini and Chester Chen and Daguang Xu and Nic Ma and Prerna Dogra and Mona Flores and Andrew Feng}, title = {{NVIDIA} {FLARE:} Federated Learning from Simulation to Real-World}, journal = {CoRR}, volume = {abs/2210.13291}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.13291}, doi = {10.48550/ARXIV.2210.13291}, eprinttype = {arXiv}, eprint = {2210.13291}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-13291.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-00635, author = {Yihan Wang and Si Si and Daliang Li and Michal Lukasik and Felix X. Yu and Cho{-}Jui Hsieh and Inderjit S. Dhillon and Sanjiv Kumar}, title = {Preserving In-Context Learning ability in Large Language Model Fine-tuning}, journal = {CoRR}, volume = {abs/2211.00635}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.00635}, doi = {10.48550/ARXIV.2211.00635}, eprinttype = {arXiv}, eprint = {2211.00635}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-00635.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01189, author = {Tsun{-}An Hsieh and Chao{-}Han Huck Yang and Pin{-}Yu Chen and Sabato Marco Siniscalchi and Yu Tsao}, title = {Inference and Denoise: Causal Inference-based Neural Speech Enhancement}, journal = {CoRR}, volume = {abs/2211.01189}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01189}, doi = {10.48550/ARXIV.2211.01189}, eprinttype = {arXiv}, eprint = {2211.01189}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01503, author = {Tahiya Salam and Alice Kate Li and M. Ani Hsieh}, title = {Online Estimation of the Koopman Operator Using Fourier Features}, journal = {CoRR}, volume = {abs/2212.01503}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01503}, doi = {10.48550/ARXIV.2212.01503}, eprinttype = {arXiv}, eprint = {2212.01503}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09808, author = {Thales C. Silva and Li Shen and Xi Yu and M. Ani Hsieh}, title = {Receding Horizon Control on the Broadcast of Information in Stochastic Networks}, journal = {CoRR}, volume = {abs/2212.09808}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09808}, doi = {10.48550/ARXIV.2212.09808}, eprinttype = {arXiv}, eprint = {2212.09808}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09816, author = {Thales C. Silva and Victoria M. Edwards and M. Ani Hsieh}, title = {Proportional Control for Stochastic Regulation on Allocation of Multi-Robots}, journal = {CoRR}, volume = {abs/2212.09816}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09816}, doi = {10.48550/ARXIV.2212.09816}, eprinttype = {arXiv}, eprint = {2212.09816}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09816.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-11447, author = {Victoria M. Edwards and Thales C. Silva and M. Ani Hsieh}, title = {Stochastic Nonlinear Ensemble Modeling and Control for Robot Team Environmental Monitoring}, journal = {CoRR}, volume = {abs/2212.11447}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.11447}, doi = {10.48550/ARXIV.2212.11447}, eprinttype = {arXiv}, eprint = {2212.11447}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-11447.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/sbcysec/WangH21, author = {Shun{-}Yung Kevin Wang and Ming{-}Li Hsieh}, title = {Digital Robbery - {ATM} Hacking and Implications}, series = {Springer Briefs in Cybersecurity}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-70706-4}, doi = {10.1007/978-3-030-70706-4}, isbn = {978-3-030-70705-7}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sbcysec/WangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangHYJ21, author = {Sheng{-}Lyang Jang and Chia{-}Tung Hsieh and Tzu{-}Chin Yang and Miin{-}Horng Juang}, title = {Current Reused 8: 1 Injection Locked Frequency Divider Using Unbalanced Ring Oscillator Frequency Divider}, journal = {{IEEE} Access}, volume = {9}, pages = {124921--124930}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3111084}, doi = {10.1109/ACCESS.2021.3111084}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangHYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/SaldanaAHCK21, author = {David Salda{\~{n}}a and Renato M. Assun{\c{c}}{\~{a}}o and M. Ani Hsieh and Mario F. M. Campos and Vijay Kumar}, title = {Estimating boundary dynamics using robotic sensor networks with pointwise measurements}, journal = {Auton. Robots}, volume = {45}, number = {2}, pages = {193--208}, year = {2021}, url = {https://doi.org/10.1007/s10514-020-09954-5}, doi = {10.1007/S10514-020-09954-5}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arobots/SaldanaAHCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/LiuHWCCTCH21, author = {Chen{-}Chung Liu and I{-}Chen Hsieh and Cai{-}Ting Wen and Ming{-}Hua Chang and Shih{-}Hsun Fan Chiang and Meng{-}Jung Tsai and Chia{-}Jung Chang and Fu{-}Kwun Hwang}, title = {The affordances and limitations of collaborative science simulations: The analysis from multiple evidences}, journal = {Comput. Educ.}, volume = {160}, pages = {104029}, year = {2021}, url = {https://doi.org/10.1016/j.compedu.2020.104029}, doi = {10.1016/J.COMPEDU.2020.104029}, timestamp = {Wed, 16 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/LiuHWCCTCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/MancasRH21, author = {Stefan C. Mancas and Haret C. Rosu and Chun{-}Chung Hsieh}, title = {Radius evolution for bubbles with elastic shells}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {103}, pages = {106003}, year = {2021}, url = {https://doi.org/10.1016/j.cnsns.2021.106003}, doi = {10.1016/J.CNSNS.2021.106003}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/MancasRH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ixda/AndolinaHKNCSGM21, author = {Salvatore Andolina and Yi{-}Ta Hsieh and Denis Kalkofen and Antti Nurminen and Diogo Cabral and Anna Spagnolli and Luciano Gamberini and Ann Morrison and Dieter Schmalstieg and Giulio Jacucci}, title = {Designing for Mixed Reality Urban Exploration}, journal = {IxD{\&}A}, volume = {48}, pages = {33--49}, year = {2021}, url = {http://ixdea.uniroma2.it/inevent/events/idea2010/index.php?s=10\&a=10\&link=ToC\_48\_P\&link=48\_2\_abstract}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ixda/AndolinaHKNCSGM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/HwangCSH21, author = {Gwo{-}Jen Hwang and Shao{-}Chen Chang and Yanjie Song and Min{-}Chuan Hsieh}, title = {Powering up flipped learning: An online learning environment with a concept map-guided problem-posing strategy}, journal = {J. Comput. Assist. Learn.}, volume = {37}, number = {2}, pages = {429--445}, year = {2021}, url = {https://doi.org/10.1111/jcal.12499}, doi = {10.1111/JCAL.12499}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcal/HwangCSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/YiPCCCHHSYLMBM21, author = {Thomas Yi and Ian Pan and Scott Collins and Fiona Chen and Robert Cueto and Ben Hsieh and Celina Hsieh and Jessica L. Smith and Li Yang and Wei{-}hua Liao and Lisa H. Merck and Harrison X. Bai and Derek Merck}, title = {{DICOM} Image ANalysis and Archive {(DIANA):} an Open-Source System for Clinical {AI} Applications}, journal = {J. Digit. Imaging}, volume = {34}, number = {6}, pages = {1405--1413}, year = {2021}, url = {https://doi.org/10.1007/s10278-021-00488-5}, doi = {10.1007/S10278-021-00488-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/YiPCCCHHSYLMBM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/FongSCTSWH21, author = {Cher{-}Min Fong and Ming{-}Hung Shu and Chao{-}Cheng Chung and Tung{-}Lin Tsai and I{-}Sheng Sun and Hui{-}Wen Wang and Pei{-}Chun Hsieh}, title = {Monolingual Consumers' Reactions in Cyber Market to GCCP, FCCP, and {LCCP} Ad Appeals in Taiwan}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {4}, pages = {8623--8637}, year = {2021}, url = {https://doi.org/10.3233/JIFS-189681}, doi = {10.3233/JIFS-189681}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/FongSCTSWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiTHSLWLWLCCSLL21, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Yen{-}Lin Chung and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b {MAC} Operation for Edge {AI} Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2817--2831}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3073254}, doi = {10.1109/JSSC.2021.3073254}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiTHSLWLWLCCSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/HsuAHPL21, author = {Chia{-}Ming Hsu and Muhammad Zulfan Azhari and He{-}Yen Hsieh and Setya Widyawan Prakosa and Jenq{-}Shiou Leu}, title = {Robust Network Intrusion Detection Scheme Using Long-Short Term Memory Based Convolutional Neural Networks}, journal = {Mob. Networks Appl.}, volume = {26}, number = {3}, pages = {1137--1144}, year = {2021}, url = {https://doi.org/10.1007/s11036-020-01623-2}, doi = {10.1007/S11036-020-01623-2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/HsuAHPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/PavlovicSMKKVWB21, author = {Milena Pavlovic and Lonneke Scheffer and Keshav Motwani and Chakravarthi Kanduri and Radmila Kompova and Nikolay Vazov and Knut Waagan and Fabian L. M. Bernal and Alexandre Almeida Costa and Brian Corrie and Rahmad Akbar and Ghadi S. Al Hajj and Gabriel Balaban and Todd M. Brusko and Maria Chernigovskaya and Scott Christley and Lindsay G. Cowell and Robert Frank and Ivar Grytten and Sveinung Gundersen and Ingrid Hob{\ae}k Haff and Eivind Hovig and Ping{-}Han Hsieh and G{\"{u}}nter Klambauer and Marieke L. Kuijjer and Christin Lund{-}Andersen and Antonio Martini and Thomas Minotto and Johan Pensar and Knut D. Rand and Enrico Riccardi and Philippe A. Robert and Artur Rocha and Andrei Slabodkin and Igor Snapkov and Ludvig Magne Sollid and Dmytro Titov and C{\'{e}}dric R. Weber and Michael Widrich and Gur Yaari and Victor Greiff and Geir Kjetil Sandve}, title = {The immuneML ecosystem for machine learning analysis of adaptive immune receptor repertoires}, journal = {Nat. Mach. Intell.}, volume = {3}, number = {11}, pages = {936--944}, year = {2021}, url = {https://doi.org/10.1038/s42256-021-00413-z}, doi = {10.1038/S42256-021-00413-Z}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/PavlovicSMKKVWB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShihLHC21, author = {Chia{-}Ming Shih and Hsin{-}Chih Lo and Meng{-}Chi Hsieh and Jyh{-}Horng Chen}, title = {Functional quantitative susceptibility mapping (fQSM) of rat brain during flashing light stimulation}, journal = {NeuroImage}, volume = {233}, pages = {117924}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117924}, doi = {10.1016/J.NEUROIMAGE.2021.117924}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ShihLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/HoHMC21, author = {Wei{-}Hsiang Ho and Yi{-}Hsun Hsieh and Boris Murmann and Wei{-}Zen Chen}, title = {A 32 Gb/s {PAM-4} Optical Transceiver With Active Back Termination in 40 nm {CMOS} Technology}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {2}, pages = {56--64}, year = {2021}, url = {https://doi.org/10.1109/OJCAS.2020.3036531}, doi = {10.1109/OJCAS.2020.3036531}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcands/HoHMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/VenkateswaranBH21, author = {Praveen Venkateswaran and Kyle E. Benson and Chia{-}Ying Hsieh and Cheng{-}Hsin Hsu and Sharad Mehrotra and Nalini Venkatasubramanian}, title = {{REAM:} {A} Framework for Resource Efficient Adaptive Monitoring of Community Spaces}, journal = {Pervasive Mob. Comput.}, volume = {76}, pages = {101459}, year = {2021}, url = {https://doi.org/10.1016/j.pmcj.2021.101459}, doi = {10.1016/J.PMCJ.2021.101459}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/percom/VenkateswaranBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/WillsWFWJLTHPPG21, author = {Christopher Wills and Bin Wang and Shuai Fang and Yunquan Wang and Yi Jin and James A. Lutz and Jill Thompson and Kyle E. Harms and Sandeep Pulla and Bonifacio Pasion and Sara Germain and Heming Liu and Joseph Smokey and Sheng{-}Hsin Su and Nathalie Butt and Chengjin Chu and George Chuyong and Chia{-}Hao Chang{-}Yang and H. S. Dattaraja and Stuart Davies and Sisira Ediriweera and Shameema Esufali and Christine Dawn Fletcher and Nimal Gunatilleke and Savi Gunatilleke and Chang{-}Fu Hsieh and Fangliang He and Stephen Hubbell and Zhanqing Hao and Akira Itoh and David Kenfack and Buhang Li and Xiankun Li and Keping Ma and Michael Morecroft and Xiangcheng Mi and Yadvinder Malhi and Perry Ong and Lillian Jennifer Rodriguez and H. S. Suresh and I Fang Sun and Raman Sukumar and Sylvester Tan and Duncan Thomas and Mar{\'{\i}}a Uriarte and Xihua Wang and Xugao Wang and T. L. Yao and Jess Zimmermann}, title = {Interactions between all pairs of neighboring trees in 16 forests worldwide reveal details of unique ecological processes in each forest, and provide windows into their evolutionary histories}, journal = {PLoS Comput. Biol.}, volume = {17}, number = {4}, year = {2021}, url = {https://doi.org/10.1371/journal.pcbi.1008853}, doi = {10.1371/JOURNAL.PCBI.1008853}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/WillsWFWJLTHPPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangYCH21, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, title = {Segmentation of Rat Brains and Cerebral Hemispheres in Triphenyltetrazolium Chloride-Stained Images after Stroke}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7171}, year = {2021}, url = {https://doi.org/10.3390/s21217171}, doi = {10.3390/S21217171}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChangYCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TanBZGAH21, author = {Tan{-}Hsu Tan and Luubaatar Badarch and Wei{-}Xiang Zeng and Munkhjargal Gochoo and Fady S. Alnajjar and Jun{-}Wei Hsieh}, title = {Binary Sensors-Based Privacy-Preserved Activity Recognition of Elderly Living Alone Using an {RNN}}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5371}, year = {2021}, url = {https://doi.org/10.3390/s21165371}, doi = {10.3390/S21165371}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TanBZGAH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/LongTHY21, author = {Ju Long and Hung{-}Ying Tai and Shen{-}Ta Hsieh and Michael Juntao Yuan}, title = {A Lightweight Design for Serverless Function as a Service}, journal = {{IEEE} Softw.}, volume = {38}, number = {1}, pages = {75--80}, year = {2021}, url = {https://doi.org/10.1109/MS.2020.3028991}, doi = {10.1109/MS.2020.3028991}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/software/LongTHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeLCLHHWLSC21, author = {Shuenn{-}Yuh Lee and Hao{-}Yun Lee and Ding{-}Siang Ciou and Zhan{-}Xian Liao and Peng{-}Wei Huang and Yi{-}Ting Hsieh and Yi{-}Chieh Wei and Chia{-}Yu Lin and Meng{-}Dar Shieh and Ju{-}Yi Chen}, title = {A Portable Wireless Urine Detection System With Power-Efficient Electrochemical Readout {ASIC} and {ABTS-CNT} Biosensor for {UACR} Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {3}, pages = {537--548}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3087475}, doi = {10.1109/TBCAS.2021.3087475}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeLCLHHWLSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SuCCH21, author = {Mu{-}Chun Su and Chun{-}Ting Cheng and Ming{-}Ching Chang and Yi{-}Zeng Hsieh}, title = {A Video Analytic In-Class Student Concentration Monitoring System}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {67}, number = {4}, pages = {294--304}, year = {2021}, url = {https://doi.org/10.1109/TCE.2021.3126877}, doi = {10.1109/TCE.2021.3126877}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/SuCCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChengC0CHD21, author = {Minhao Cheng and Pin{-}Yu Chen and Sijia Liu and Shiyu Chang and Cho{-}Jui Hsieh and Payel Das}, title = {Self-Progressing Robust Training}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {7107--7115}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i8.16874}, doi = {10.1609/AAAI.V35I8.16874}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChengC0CHD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/HuangHCKLS21, author = {Yao{-}Hui Huang and Jun{-}Wei Hsieh and Ming{-}Ching Chang and Lipeng Ke and Siwei Lyu and Arpita Samanta Santra}, title = {Multi-Teacher Single-Student Visual Transformer with Multi-Level Attention for Face Spoofing Detection}, booktitle = {32nd British Machine Vision Conference 2021, {BMVC} 2021, Online, November 22-25, 2021}, pages = {125}, publisher = {{BMVA} Press}, year = {2021}, url = {https://www.bmvc2021-virtualconference.com/assets/papers/0113.pdf}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/HuangHCKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/WangHCCKL21, author = {Bor{-}Shiun Wang and Jun{-}Wei Hsieh and Ping{-}Yang Chen and Ming{-}Ching Chang and Lipeng Ke and Siwei Lyu}, title = {Learnable Discrete Wavelet Pooling (LDW-Pooling) for Convolutional Networks}, booktitle = {32nd British Machine Vision Conference 2021, {BMVC} 2021, Online, November 22-25, 2021}, pages = {200}, publisher = {{BMVA} Press}, year = {2021}, url = {https://www.bmvc2021-virtualconference.com/assets/papers/1204.pdf}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/WangHCCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MallariWH21, author = {Keri Mallari and Spencer Williams and Gary Hsieh}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Understanding Analytics Needs of Video Game Streamers}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {337:1--337:12}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445320}, doi = {10.1145/3411764.3445320}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/MallariWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinHHHTL21, author = {Hsuan Lin and Ming{-}Yu Hsiao and Yu{-}Chen Hsieh and Kuo{-}Liang Huang and Chia{-}Wen Tsai and Wei Lin}, editor = {Pei{-}Luen Patrick Rau}, title = {A Preliminary Study on the Effect of Somatosensory Games upon Children's Activity Space and Bodily Movements}, booktitle = {Cross-Cultural Design. Experience and Product Design Across Cultures - 13th International Conference, {CCD} 2021, Held as Part of the 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12771}, pages = {127--140}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-77074-7\_10}, doi = {10.1007/978-3-030-77074-7\_10}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinHHHTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/DingHMWW21, author = {Ing{-}Jr Ding and Meng{-}Chuan Hsieh and Xue{-}Lin Mo and Sheng{-}Qi Wang and Dai{-}Ru Wu}, title = {Performance Evaluations of Hand Number Gesture Recognition by Convolution-Based Deep Neural Networks}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602995}, doi = {10.1109/ICCE-TW52618.2021.9602995}, timestamp = {Tue, 23 Nov 2021 09:27:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/DingHMWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/HsiehHW21, author = {Meng{-}Ju Hsieh and Shih{-}Chang Hsia and Szu{-}Hong Wang}, editor = {Teen{-}Hang Meen}, title = {Chip Design of Convolution Computation for {AI} Network}, booktitle = {4th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2021, Taichung, Taiwan, July 23-25, 2021}, pages = {49--53}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICKII51822.2021.9574782}, doi = {10.1109/ICKII51822.2021.9574782}, timestamp = {Mon, 08 Nov 2021 09:04:12 +0100}, biburl = {https://dblp.org/rec/conf/ickii/HsiehHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KamSHKK21, author = {Michael Kam and Hamed Saeidi and Michael H. Hsieh and Jin U. Kang and Axel Krieger}, title = {A Confidence-Based Supervised-Autonomous Control Strategy for Robotic Vaginal Cuff Closure}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {12261--12267}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561685}, doi = {10.1109/ICRA48506.2021.9561685}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KamSHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/LiuCLTHW21, author = {Po{-}Yi Liu and Chih{-}Cheng Chen and Sze{-}Teng Liong and Ming{-}Han Tsai and Ping{-}Cheng Hsieh and Kun{-}Ching Wang}, title = {Intelligent Fault Diagnosis Based on Multi-Resolution and One-Dimension Convolutional Neural Networks}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2021, Ho Chi Minh City, Vietnam, August 26-28, 2021}, pages = {319--322}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSSE52999.2021.9538454}, doi = {10.1109/ICSSE52999.2021.9538454}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/LiuCLTHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/FuYHPRL021, author = {Szu{-}Wei Fu and Cheng Yu and Tsun{-}An Hsieh and Peter Plantinga and Mirco Ravanelli and Xugang Lu and Yu Tsao}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {MetricGAN+: An Improved Version of MetricGAN for Speech Enhancement}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {201--205}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-599}, doi = {10.21437/INTERSPEECH.2021-599}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/FuYHPRL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LiHALDGTWNTOTHT21, author = {Hsieh{-}Yu Li and Lay Siong Ho and Achala Athukorala and Wan Yun Lu and Audelia Gumarus Dharmawan and Jane Li Feng Guo and Mabel May Leng Tan and Kok Cheong Wong and Nuri Syahida Ng and Maxim Mei Xin Tan and Hong Choon Oh and Daniel Tiang and Wei Wei Hong and Franklin Chee Ping Tan and Gek Kheng Png and Ivan Khoo and Chau Yuen and Pon Poh Hsu and Lee Chen Ee and U{-}Xuan Tan}, title = {Towards a Manipulator System for Disposal of Waste from Patients Undergoing Chemotherapy}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {2949--2955}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636865}, doi = {10.1109/IROS51168.2021.9636865}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LiHALDGTWNTOTHT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MansfieldMMH21, author = {Ariella Mansfield and Sandeep Manjanna and Douglas G. Macharet and M. Ani Hsieh}, title = {Multi-robot Scheduling for Environmental Monitoring as a Team Orienteering Problem}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {6398--6404}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636854}, doi = {10.1109/IROS51168.2021.9636854}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/MansfieldMMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ShiHGZZ21, author = {Haowei Shi and Min{-}Hsiu Hsieh and Saikat Guha and Zheshen Zhang and Quntao Zhuang}, title = {Entanglement-assisted multiple-access channels: capacity regions and protocol designs}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2021, Melbourne, Australia, July 12-20, 2021}, pages = {408--413}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISIT45174.2021.9518082}, doi = {10.1109/ISIT45174.2021.9518082}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/ShiHGZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenLNMMWGHRYMJ21, author = {HsinChen Chen and Rolf Lagerquist and Ashish Nayak and Hugh Mair and Gokulakrishnan Manoharan and Ericbill Wang and Gordon Gammie and Efron Ho and Anand Rajagopalan and Lee{-}Kee Yong and Ramu Madhavaram and Madhur Jagota and Chi{-}Jui Chung and Sudhakar Maruthi and Jenny Wiedemeier and Tao Chen and Henry Hsieh and Daniel Dia and Amjad Sikiligiri and Manzur Rahman and Barry Chen and Curtis Lin and Vincent Lin and Elly Chiang and Cheng{-}Yuh Wu and Po{-}Yang Hsu and Jason Tsai and Wade Wu and Achuta Thippana and S. A. Huang}, title = {A 7nm 5G Mobile SoC Featuring a 3.0GHz Tri-Gear Application Processor Subsystem}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {54--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365774}, doi = {10.1109/ISSCC42613.2021.9365774}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChenLNMMWGHRYMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsiehSB21, author = {Ping{-}Hsuan Hsieh and Mingoo Seok and Keith A. Bowman}, title = {Session 29 Overview: Digital Circuits for Computing, Clocking and Power Management {DIGITAL} {CIRCUITS} {SUBCOMMITTEE}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {402--403}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365765}, doi = {10.1109/ISSCC42613.2021.9365765}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HsiehSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuCLLLWCHRPLCSL21, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Tianlong Pan and Sih{-}Han Li and Shih{-}Chieh Chang and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {16.3 {A} 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for {AI} Edge Chips}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {250--252}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365984}, doi = {10.1109/ISSCC42613.2021.9365984}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuCLLLWCHRPLCSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHKHHCCLJSKLL21, author = {Cheng{-}Xin Xue and Je{-}Min Hung and Hui{-}Yao Kao and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Peng Chen and Ta{-}Wei Liu and Chuan{-}Jia Jhang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {245--247}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365769}, doi = {10.1109/ISSCC42613.2021.9365769}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueHKHHCCLJSKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuoLCHLFH21, author = {Yen{-}Ting Kuo and Wei{-}Chen Lin and Chun Chen and Chao{-}Ho Hsieh and James Chien{-}Mo Li and Eric Jia{-}Wei Fang and Sung S.{-}Y. Hsueh}, title = {Minimum Operating Voltage Prediction in Production Test Using Accumulative Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {47--52}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00012}, doi = {10.1109/ITC50571.2021.00012}, timestamp = {Mon, 29 Nov 2021 13:19:22 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuoLCHLFH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/HsiehSTNM21, author = {Chiao Hsieh and Hussein Sibai and Hebron Taylor and Yifeng Ni and Sayan Mitra}, title = {SkyTrakx: {A} Toolkit for Simulation and Verification of Unmanned Air-Traffic Management Systems}, booktitle = {24th {IEEE} International Intelligent Transportation Systems Conference, {ITSC} 2021, Indianapolis, IN, USA, September 19-22, 2021}, pages = {372--379}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITSC48978.2021.9564492}, doi = {10.1109/ITSC48978.2021.9564492}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/HsiehSTNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/DevSDH21, author = {Sunipa Dev and Mehrnoosh Sameki and Jwala Dhamala and Cho{-}Jui Hsieh}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {Measures and Best Practices for Responsible {AI}}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {4118}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3469458}, doi = {10.1145/3447548.3469458}, timestamp = {Mon, 16 Aug 2021 16:18:31 +0200}, biburl = {https://dblp.org/rec/conf/kdd/DevSDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HuberMGHLYM21, author = {Nathan R. Huber and Andrew D. Missert and Hao Gong and Scott S. Hsieh and Shuai Leng and Lifeng Yu and Cynthia H. McCollough}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Random search as a neural network optimization strategy for Convolutional-Neural-Network (CNN)-based noise reduction in {CT}}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2582143}, doi = {10.1117/12.2582143}, timestamp = {Mon, 11 Mar 2024 15:57:50 +0100}, biburl = {https://dblp.org/rec/conf/miip/HuberMGHLYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/NanRZRSHTVVKLIP21, author = {Linyong Nan and Dragomir R. Radev and Rui Zhang and Amrit Rau and Abhinand Sivaprasad and Chiachun Hsieh and Xiangru Tang and Aadit Vyas and Neha Verma and Pranav Krishna and Yangxiaokang Liu and Nadia Irwanto and Jessica Pan and Faiaz Rahman and Ahmad Zaidi and Mutethia Mutuma and Yasin Tarabar and Ankit Gupta and Tao Yu and Yi Chern Tan and Xi Victoria Lin and Caiming Xiong and Richard Socher and Nazneen Fatema Rajani}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {{DART:} Open-Domain Structured Data Record to Text Generation}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {432--447}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.37}, doi = {10.18653/V1/2021.NAACL-MAIN.37}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/NanRZRSHTVVKLIP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChouMHPH21, author = {Shih{-}Wei Chou and Hui{-}Tzu Min and Ming{-}Chia Hsieh and Hui{-}Chun Pan and Chia{-}Shiang Hsu}, editor = {Doug Vogel and Kathy Ning Shen and Pan Shan Ling and M. N. Ravishankar and Jacky Xi Zhang}, title = {Understanding E-learners' Mindfulness of {IT} Use That Affects Value Creation}, booktitle = {25th Pacific Asia Conference on Information Systems, {PACIS} 2021, Virtual Event / Dubai, UAE, July 12-14, 2021}, pages = {186}, year = {2021}, url = {https://aisel.aisnet.org/pacis2021/186}, timestamp = {Tue, 20 Sep 2022 19:48:12 +0200}, biburl = {https://dblp.org/rec/conf/pacis/ChouMHPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChangLWKH21, author = {Yu{-}Lin Chang and Yongfu Liao and Po{-}Ya Angela Wang and Mao{-}Chang Ku and Shu{-}Kai Hsieh}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Keyword-centered Collocating Topic Analysis}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {310--317}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.40}, timestamp = {Tue, 26 Oct 2021 14:09:04 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ChangLWKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/SunKH21, author = {Min{-}Te Sun and Kotcharat Kitchat and Li{-}Chung Hsieh}, title = {TCN-based Futures Prediction Using Financial Indices, Bargain Chips, and Forum Messages}, booktitle = {2021 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2021, Taichung, Taiwan, November 18-20, 2021}, pages = {72--77}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/TAAI54685.2021.00022}, doi = {10.1109/TAAI54685.2021.00022}, timestamp = {Wed, 08 Jun 2022 16:30:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/SunKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rss/2021, editor = {Dylan A. Shell and Marc Toussaint and M. Ani Hsieh}, title = {Robotics: Science and Systems XVII, Virtual Event, July 12-16, 2021}, year = {2021}, url = {http://www.roboticsproceedings.org/rss17/}, isbn = {978-0-9923747-7-8}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rss/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-07069, author = {Seong{-}Eun Moon and Chun{-}Jui Chen and Cho{-}Jui Hsieh and Jane{-}Ling Wang and Jong{-}Seok Lee}, title = {Emotional {EEG} Classification using Connectivity Features and Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2101.07069}, year = {2021}, url = {https://arxiv.org/abs/2101.07069}, eprinttype = {arXiv}, eprint = {2101.07069}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-07069.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-12173, author = {Haowei Shi and Min{-}Hsiu Hsieh and Saikat Guha and Zheshen Zhang and Quntao Zhuang}, title = {Entanglement-assisted multiple-access channels: capacity regions and protocol designs}, journal = {CoRR}, volume = {abs/2101.12173}, year = {2021}, url = {https://arxiv.org/abs/2101.12173}, eprinttype = {arXiv}, eprint = {2101.12173}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-12173.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-10383, author = {Tahiya Salam and M. Ani Hsieh}, title = {Heterogeneous robot teams for modeling and prediction of multiscale environmental processes}, journal = {CoRR}, volume = {abs/2103.10383}, year = {2021}, url = {https://arxiv.org/abs/2103.10383}, eprinttype = {arXiv}, eprint = {2103.10383}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-10383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00369, author = {Linyong Nan and Chiachun Hsieh and Ziming Mao and Xi Victoria Lin and Neha Verma and Rui Zhang and Wojciech Kryscinski and Nick Schoelkopf and Riley Kong and Xiangru Tang and Murori Mutuma and Ben Rosand and Isabel Trindade and Renusree Bandaru and Jacob Cunningham and Caiming Xiong and Dragomir R. Radev}, title = {FeTaQA: Free-form Table Question Answering}, journal = {CoRR}, volume = {abs/2104.00369}, year = {2021}, url = {https://arxiv.org/abs/2104.00369}, eprinttype = {arXiv}, eprint = {2104.00369}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-03538, author = {Szu{-}Wei Fu and Cheng Yu and Tsun{-}An Hsieh and Peter Plantinga and Mirco Ravanelli and Xugang Lu and Yu Tsao}, title = {MetricGAN+: An Improved Version of MetricGAN for Speech Enhancement}, journal = {CoRR}, volume = {abs/2104.03538}, year = {2021}, url = {https://arxiv.org/abs/2104.03538}, eprinttype = {arXiv}, eprint = {2104.03538}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-03538.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-12727, author = {Yu{-}Chuan Su and Soravit Changpinyo and Xiangning Chen and Sathish Thoppay and Cho{-}Jui Hsieh and Lior Shapira and Radu Soricut and Hartwig Adam and Matthew Brown and Ming{-}Hsuan Yang and Boqing Gong}, title = {2.5D Visual Relationship Detection}, journal = {CoRR}, volume = {abs/2104.12727}, year = {2021}, url = {https://arxiv.org/abs/2104.12727}, eprinttype = {arXiv}, eprint = {2104.12727}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-12727.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-10018, author = {Sandeep Manjanna and Ani Hsieh and Gregory Dudek}, title = {Scalable Multi-Robot System for Non-myopic Spatial Sampling}, journal = {CoRR}, volume = {abs/2105.10018}, year = {2021}, url = {https://arxiv.org/abs/2105.10018}, eprinttype = {arXiv}, eprint = {2105.10018}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-10018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-12710, author = {Jun{-}Ting Hsieh and Sidhanth Mohanty and Jeff Xu}, title = {Certifying solution geometry in random CSPs: counts, clusters and balance}, journal = {CoRR}, volume = {abs/2106.12710}, year = {2021}, url = {https://arxiv.org/abs/2106.12710}, eprinttype = {arXiv}, eprint = {2106.12710}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-12710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00734, author = {Daniel C. Hackett and Chung{-}Chun Hsieh and Michael S. Albergo and Denis Boyda and Jiunn{-}Wei Chen and Kai{-}Feng Chen and Kyle Cranmer and Gurtej Kanwar and Phiala E. Shanahan}, title = {Flow-based sampling for multimodal distributions in lattice field theory}, journal = {CoRR}, volume = {abs/2107.00734}, year = {2021}, url = {https://arxiv.org/abs/2107.00734}, eprinttype = {arXiv}, eprint = {2107.00734}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00734.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01288, author = {Hamed Saeidi and Justin D. Opfermann and Michael Kam and Shuwen Wei and Simon L{\'{e}}onard and Michael H. Hsieh and Jin U. Kang and Axel Krieger}, title = {Breaking Barriers in Robotic Soft Tissue Surgery: Conditional Autonomous Intestinal Anastomosis}, journal = {CoRR}, volume = {abs/2107.01288}, year = {2021}, url = {https://arxiv.org/abs/2107.01288}, eprinttype = {arXiv}, eprint = {2107.01288}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-09996, author = {Jun{-}Wei Hsieh and Ming{-}Ching Chang and Ping{-}Yang Chen and Santanu Santra and Cheng{-}Han Chou and Chih{-}Sheng Huang}, title = {{MS-DARTS:} Mean-Shift Based Differentiable Architecture Search}, journal = {CoRR}, volume = {abs/2108.09996}, year = {2021}, url = {https://arxiv.org/abs/2108.09996}, eprinttype = {arXiv}, eprint = {2108.09996}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-09996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06107, author = {Tahiya Salam and Victoria M. Edwards and M. Ani Hsieh}, title = {Learning and Leveraging Environmental Features to Improve Robot Awareness}, journal = {CoRR}, volume = {abs/2109.06107}, year = {2021}, url = {https://arxiv.org/abs/2109.06107}, eprinttype = {arXiv}, eprint = {2109.06107}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06107.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06638, author = {Jun{-}Wei Hsieh and Ming{-}Ching Chang and Bor{-}Shiun Wang and Ping{-}Yang Chen and Lipeng Ke and Siwei Lyu}, title = {Learnable Discrete Wavelet Pooling (LDW-Pooling) For Convolutional Networks}, journal = {CoRR}, volume = {abs/2109.06638}, year = {2021}, url = {https://arxiv.org/abs/2109.06638}, eprinttype = {arXiv}, eprint = {2109.06638}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06638.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-14676, author = {Cheng{-}Yu Hsieh and Wei{-}I Lin and Miao Xu and Gang Niu and Hsuan{-}Tien Lin and Masashi Sugiyama}, title = {Active Refinement for Multi-Label Learning: {A} Pseudo-Label Approach}, journal = {CoRR}, volume = {abs/2109.14676}, year = {2021}, url = {https://arxiv.org/abs/2109.14676}, eprinttype = {arXiv}, eprint = {2109.14676}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-14676.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-00064, author = {Eli Chien and Wei{-}Cheng Chang and Cho{-}Jui Hsieh and Hsiang{-}Fu Yu and Jiong Zhang and Olgica Milenkovic and Inderjit S. Dhillon}, title = {Node Feature Extraction by Self-Supervised Multi-scale Neighborhood Prediction}, journal = {CoRR}, volume = {abs/2111.00064}, year = {2021}, url = {https://arxiv.org/abs/2111.00064}, eprinttype = {arXiv}, eprint = {2111.00064}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-00064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-05534, author = {Chiao Hsieh and Keyur Joshi and Sasa Misailovic and Sayan Mitra}, title = {Verifying Controllers with Convolutional Neural Network-based Perception: {A} Case for Intelligible, Safe, and Precise Abstractions}, journal = {CoRR}, volume = {abs/2111.05534}, year = {2021}, url = {https://arxiv.org/abs/2111.05534}, eprinttype = {arXiv}, eprint = {2111.05534}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-05534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-05703, author = {Cheng Yu and Szu{-}Wei Fu and Tsun{-}An Hsieh and Yu Tsao and Mirco Ravanelli}, title = {{OSSEM:} one-shot speaker adaptive speech enhancement using meta learning}, journal = {CoRR}, volume = {abs/2111.05703}, year = {2021}, url = {https://arxiv.org/abs/2111.05703}, eprinttype = {arXiv}, eprint = {2111.05703}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-05703.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-11139, author = {Tom Gur and Min{-}Hsiu Hsieh and Sathyawageeswar Subramanian}, title = {Sublinear quantum algorithms for estimating von Neumann entropy}, journal = {CoRR}, volume = {abs/2111.11139}, year = {2021}, url = {https://arxiv.org/abs/2111.11139}, eprinttype = {arXiv}, eprint = {2111.11139}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-11139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-09177, author = {Kang Zheng and Yirui Wang and Chen{-}I Hsieh and Le Lu and Jing Xiao and Chang{-}Fu Kuo and Shun Miao}, title = {Coherence Learning using Keypoint-based Pooling Network for Accurately Assessing Radiographic Knee Osteoarthritis}, journal = {CoRR}, volume = {abs/2112.09177}, year = {2021}, url = {https://arxiv.org/abs/2112.09177}, eprinttype = {arXiv}, eprint = {2112.09177}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-09177.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eccc/GurHS21, author = {Tom Gur and Min{-}Hsiu Hsieh and Sathyawageeswar Subramanian}, title = {Sublinear quantum algorithms for estimating von Neumann entropy}, journal = {Electron. Colloquium Comput. Complex.}, volume = {{TR21-174}}, year = {2021}, url = {https://eccc.weizmann.ac.il/report/2021/174}, eprinttype = {ECCC}, eprint = {TR21-174}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eccc/GurHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChangWHTHYGOC20, author = {Yu{-}Chuan Chang and June{-}Tai Wu and Ming{-}Yi Hong and Yi{-}An Tung and Ping{-}Han Hsieh and Sook Wah Yee and Kathleen M. Giacomini and Yen{-}Jen Oyang and Chien{-}Yu Chen}, title = {GenEpi: gene-based epistasis discovery using machine learning}, journal = {{BMC} Bioinform.}, volume = {21}, number = {1}, pages = {68}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-3368-2}, doi = {10.1186/S12859-020-3368-2}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChangWHTHYGOC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/MekonnenYHLY20, author = {Bitewulign Kassa Mekonnen and Webb Yang and Tung{-}Han Hsieh and Shien{-}Kuei Liaw and Fu{-}Liang Yang}, title = {Accurate prediction of glucose concentration and identification of major contributing features from hardly distinguishable near-infrared spectroscopy}, journal = {Biomed. Signal Process. Control.}, volume = {59}, pages = {101923}, year = {2020}, url = {https://doi.org/10.1016/j.bspc.2020.101923}, doi = {10.1016/J.BSPC.2020.101923}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/MekonnenYHLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/ZhuYZWZH20, author = {Hai Yang Zhu and Xiaobo Yin and Shunxiang Zhang and Zhongliang Wei and Guangli Zhu and Meng{-}Yen Hsieh}, title = {A Discovery Method for New Words From Mobile Product Comments}, journal = {Comput. Syst. Sci. Eng.}, volume = {35}, number = {6}, pages = {399--410}, year = {2020}, url = {https://doi.org/10.32604/csse.2020.35.399}, doi = {10.32604/CSSE.2020.35.399}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/ZhuYZWZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLHSHW20, author = {Meng{-}Hui Wang and Shiue{-}Der Lu and Mei{-}Ling Huang and Hong{-}Wei Sian and Cheng{-}Che Hsieh and Shao{-}En Wei}, title = {Hybrid methodology based on extension theory for partial discharge fault diagnosis of power capacitors}, journal = {{IEICE} Electron. Express}, volume = {17}, number = {18}, pages = {20200250}, year = {2020}, url = {https://doi.org/10.1587/elex.17.20200250}, doi = {10.1587/ELEX.17.20200250}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLHSHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/HsiehFM20, author = {Tsung{-}Yu Hsieh and Ying{-}Fen Fu and Shih{-}Ya Ma}, title = {Impacts of day trading on the intraday pattern of market quality}, journal = {Int. J. Serv. Technol. Manag.}, volume = {26}, number = {1}, pages = {20--37}, year = {2020}, url = {https://doi.org/10.1504/IJSTM.2020.105396}, doi = {10.1504/IJSTM.2020.105396}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/HsiehFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/LuLCH20, author = {Su{-}Ju Lu and Ying{-}Chieh Liu and Po{-}Ju Chen and Mu{-}Rong Hsieh}, title = {Evaluation of {AR} embedded physical puzzle game on students' learning achievement and motivation on elementary natural science}, journal = {Interact. Learn. Environ.}, volume = {28}, number = {4}, pages = {451--463}, year = {2020}, url = {https://doi.org/10.1080/10494820.2018.1541908}, doi = {10.1080/10494820.2018.1541908}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/LuLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/LiuRHHNLCR20, author = {Xiaonan L. Liu and Charan Ranganath and Liang{-}Tien Hsieh and Mitzi Hurtado and Tara A. Niendam and Tyler A. Lesh and Cameron S. Carter and John Daniel Ragland}, title = {Task-specific Disruptions in Theta Oscillations during Working Memory for Temporal Order in People with Schizophrenia}, journal = {J. Cogn. Neurosci.}, volume = {32}, number = {11}, pages = {2117--2130}, year = {2020}, url = {https://doi.org/10.1162/jocn\_a\_01598}, doi = {10.1162/JOCN\_A\_01598}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/LiuRHHNLCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuZCSLTSHWWHS20, author = {Yen{-}Cheng Chiu and Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Ruhui Liu and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Je{-}Min Hung and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Chih{-}I Wu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2790--2801}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005754}, doi = {10.1109/JSSC.2020.3005754}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuZCSLTSHWWHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinHTTHCHHCGFRL20, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Ching{-}Fang Chen and Wen{-}Hung Huang and Chi{-}Wei Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7-nm 4-GHz Arm{\({^1}\)}-Core-Based CoWoS{\({^1}\)} Chiplet Design for High-Performance Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {956--966}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960207}, doi = {10.1109/JSSC.2019.2960207}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinHTTHCHHCGFRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiLYLHTLCCTHWCW20, author = {Xin Si and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun}, title = {A Twin-8T {SRAM} Computation-in-Memory Unit-Macro for Multibit CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {189--202}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2952773}, doi = {10.1109/JSSC.2019.2952773}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiLYLHTLCCTHWCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ChenCSH20, author = {Mu{-}Yen Chen and Hsiu{-}Sen Chiang and Arun Kumar Sangaiah and Tsung{-}Che Hsieh}, title = {Recurrent neural network with attention mechanism for language model}, journal = {Neural Comput. Appl.}, volume = {32}, number = {12}, pages = {7915--7923}, year = {2020}, url = {https://doi.org/10.1007/s00521-019-04301-x}, doi = {10.1007/S00521-019-04301-X}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ChenCSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/MoonCHWL20, author = {Seong{-}Eun Moon and Chun{-}Jui Chen and Cho{-}Jui Hsieh and Jane{-}Ling Wang and Jong{-}Seok Lee}, title = {Emotional {EEG} classification using connectivity features and convolutional neural networks}, journal = {Neural Networks}, volume = {132}, pages = {96--107}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.08.009}, doi = {10.1016/J.NEUNET.2020.08.009}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/MoonCHWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/SuhWFFBH20, author = {Jina Suh and Spencer Williams and Jesse R. Fann and James Fogarty and Amy M. Bauer and Gary Hsieh}, title = {Parallel Journeys of Patients with Cancer and Depression: Challenges and Opportunities for Technology-Enabled Collaborative Care}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {4}, number = {{CSCW}}, pages = {038:1--038:36}, year = {2020}, url = {https://doi.org/10.1145/3392843}, doi = {10.1145/3392843}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/SuhWFFBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/GhoshHMM20, author = {Ritwika Ghosh and Chiao Hsieh and Sasa Misailovic and Sayan Mitra}, title = {Koord: a language for programming and verifying distributed robotics application}, journal = {Proc. {ACM} Program. Lang.}, volume = {4}, number = {{OOPSLA}}, pages = {232:1--232:30}, year = {2020}, url = {https://doi.org/10.1145/3428300}, doi = {10.1145/3428300}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/GhoshHMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuUHYLL20, author = {Mu{-}Chun Su and Tat{-}Meng U and Yi{-}Zeng Hsieh and Zhe{-}Fu Yeh and Shu{-}Fang Lee and Shih{-}Syun Lin}, title = {An Eye-Tracking System based on Inner Corner-Pupil Center Vector and Deep Neural Network}, journal = {Sensors}, volume = {20}, number = {1}, pages = {25}, year = {2020}, url = {https://doi.org/10.3390/s20010025}, doi = {10.3390/S20010025}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SuUHYLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HuangSHWC20, author = {Mu{-}Jung Huang and Hsiu{-}Shu Sung and Tsu{-}Jen Hsieh and Ming{-}Cheng Wu and Shao{-}Hsi Chung}, title = {Applying data-mining techniques for discovering association rules}, journal = {Soft Comput.}, volume = {24}, number = {11}, pages = {8069--8075}, year = {2020}, url = {https://doi.org/10.1007/s00500-019-04163-4}, doi = {10.1007/S00500-019-04163-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/HuangSHWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsiehHCCKYS20, author = {Yun{-}Shan Hsieh and Po{-}Chun Huang and Ping{-}Xiang Chen and Yuan{-}Hao Chang and Wang Kang and Ming{-}Chang Yang and Wei{-}Kuan Shih}, title = {Shift-Limited Sort: Optimizing Sorting Performance on Skyrmion Memory-Based Systems}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {4115--4128}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3012880}, doi = {10.1109/TCAD.2020.3012880}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsiehHCCKYS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/WangMHW20, author = {Zhao Wang and Yaping Mao and Sun{-}Yuan Hsieh and Jichang Wu}, title = {On the \emph{g}-good-neighbor connectivity of graphs}, journal = {Theor. Comput. Sci.}, volume = {804}, pages = {139--148}, year = {2020}, url = {https://doi.org/10.1016/j.tcs.2019.11.021}, doi = {10.1016/J.TCS.2019.11.021}, timestamp = {Thu, 04 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/WangMHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/SalekAHJF20, author = {Farzin Salek and Anurag Anshu and Min{-}Hsiu Hsieh and Rahul Jain and Javier Rodr{\'{\i}}guez Fonollosa}, title = {One-Shot Capacity Bounds on the Simultaneous Transmission of Classical and Quantum Information}, journal = {{IEEE} Trans. Inf. Theory}, volume = {66}, number = {4}, pages = {2141--2164}, year = {2020}, url = {https://doi.org/10.1109/TIT.2019.2945800}, doi = {10.1109/TIT.2019.2945800}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/SalekAHJF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/SalekHF20, author = {Farzin Salek and Min{-}Hsiu Hsieh and Javier Rodr{\'{\i}}guez Fonollosa}, title = {Single-Serving Quantum Broadcast Channel With Common, Individualized, and Confidential Messages}, journal = {{IEEE} Trans. Inf. Theory}, volume = {66}, number = {12}, pages = {7752--7771}, year = {2020}, url = {https://doi.org/10.1109/TIT.2020.3013098}, doi = {10.1109/TIT.2020.3013098}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/SalekHF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/0003WH0HL20, author = {Kai Sun and Jingmiao Wu and Wei Huang and Haijun Zhang and Hung{-}Yun Hsieh and Victor C. M. Leung}, title = {Uplink Performance Improvement for Downlink-Uplink Decoupled HetNets With Non-Uniform User Distribution}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {7}, pages = {7518--7530}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2993729}, doi = {10.1109/TVT.2020.2993729}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/0003WH0HL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/0001SSH20, author = {Xi Yu and Daigo Shishika and David Salda{\~{n}}a and M. Ani Hsieh}, title = {Modular Robot Formation and Routing for Resilient Consensus}, booktitle = {2020 American Control Conference, {ACC} 2020, Denver, CO, USA, July 1-3, 2020}, pages = {2464--2471}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ACC45564.2020.9147563}, doi = {10.23919/ACC45564.2020.9147563}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/0001SSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/MitchellBMWKCMK20, author = {Keith Mitchell and Jaqueline J. Brito and Igor Mandric and Qiaozhen Wu and Sergey Knyazev and Sei Chang and Lana S. Martin and Aaron Karlsberg and Ekaterina Gerasimov and Russell Littman and Brian L. Hill and Nicholas C. Wu and Harry (Taegyun) Yang and Kevin Hsieh and Linus Chen and Eli Littman and Taylor Shabani and German Enik and Douglas Yao and Ren Sun and Jan Schroeder and Eleazar Eskin and Alex Zelikovsky and Pavel Skums and Mihai Pop and Serghei Mangul}, title = {Benchmarking of computational error-correction methods for next-generation sequencing data}, booktitle = {{BCB} '20: 11th {ACM} International Conference on Bioinformatics, Computational Biology and Health Informatics, Virtual Event, USA, September 21-24, 2020}, pages = {63:1}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3388440.3414209}, doi = {10.1145/3388440.3414209}, timestamp = {Fri, 13 Nov 2020 11:27:35 +0100}, biburl = {https://dblp.org/rec/conf/bcb/MitchellBMWKCMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/YeonSRSHFH20, author = {Seong Ho Yeon and Tony Shu and Emily A. Rogers and Hyungeun Song and Tsung{-}Han Hsieh and Lisa E. Freed and Hugh M. Herr}, title = {Flexible Dry Electrodes for {EMG} Acquisition within Lower Extremity Prosthetic Sockets}, booktitle = {8th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2020, New York City, NY, USA, November 29 - December 1, 2020}, pages = {1088--1095}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BioRob49111.2020.9224338}, doi = {10.1109/BIOROB49111.2020.9224338}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/YeonSRSHFH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/ChangYCH20, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, title = {Automated Brain Extraction and Separation in Triphenyltetrazolium Chloride-Stained Rat Images}, booktitle = {28th European Signal Processing Conference, {EUSIPCO} 2020, Amsterdam, Netherlands, January 18-21, 2021}, pages = {1362--1366}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/Eusipco47968.2020.9287866}, doi = {10.23919/EUSIPCO47968.2020.9287866}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/ChangYCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/GochooTAHC20, author = {Munkhjargal Gochoo and Tan{-}Hsu Tan and Fady Alnajjar and Jun{-}Wei Hsieh and Ping{-}Yang Chen}, title = {Lownet: Privacy Preserved Ultra-Low Resolution Posture Image Classification}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {663--667}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9190922}, doi = {10.1109/ICIP40778.2020.9190922}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/GochooTAHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/LiuMHP20, author = {CheWei Liu and Sunil Mithas and JJ Po{-}An Hsieh and Yang Pan}, editor = {Joey F. George and Souren Paul and Rahul De' and Elena Karahanna and Suprateek Sarker and Gal Oestreicher{-}Singer}, title = {Do Financial Advisors Matter? Online Channels and Investors' Gambling Preferences}, booktitle = {Proceedings of the 41st International Conference on Information Systems, {ICIS} 2020, Making Digital Inclusive: Blending the Locak and the Global, Hyderabad, India, December 13-16, 2020}, publisher = {Association for Information Systems}, year = {2020}, url = {https://aisel.aisnet.org/icis2020/digital\_commerce/digital\_commerce/15}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icis/LiuMHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChengSCC0H20, author = {Minhao Cheng and Simranjit Singh and Patrick H. Chen and Pin{-}Yu Chen and Sijia Liu and Cho{-}Jui Hsieh}, title = {Sign-OPT: {A} Query-Efficient Hard-label Adversarial Attack}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=SklTQCNtvS}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/ChengSCC0H20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ShiZCHH20, author = {Zhouxing Shi and Huan Zhang and Kai{-}Wei Chang and Minlie Huang and Cho{-}Jui Hsieh}, title = {Robustness Verification for Transformers}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=BJxwPJHFwS}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ShiZCHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/GhoshJHGJTDMD20, author = {Ritwika Ghosh and Joao P. Jansch{-}Porto and Chiao Hsieh and Amelia Gosse and Minghao Jiang and Hebron Taylor and Peter Du and Sayan Mitra and Geir E. Dullerud}, title = {CyPhyHouse: {A} programming, simulation, and deployment toolchain for heterogeneous distributed coordination}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {6654--6660}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196513}, doi = {10.1109/ICRA40945.2020.9196513}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/GhoshJHGJTDMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MansfieldKSH20, author = {Ariella Mansfield and Dhanushka Kularatne and Edward B. Steager and M. Ani Hsieh}, title = {A Topological Approach to Path Planning for a Magnetic Millirobot}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {7493--7500}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9341740}, doi = {10.1109/IROS45743.2020.9341740}, timestamp = {Thu, 10 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/MansfieldKSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/RovinaSKH20, author = {Hannes Rovina and Tahiya Salam and Yiannis Kantaros and M. Ani Hsieh}, title = {Asynchronous Adaptive Sampling and Reduced-Order Modeling of Dynamic Processes by Robot Teams via Intermittently Connected Networks}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {4798--4805}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9341636}, doi = {10.1109/IROS45743.2020.9341636}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/RovinaSKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenLLCCSTYHCLC20, author = {Kuan{-}Ting Chen and C. Lo and Y.{-}Y. Lin and C.{-}Y. Chueh and C. Chang and G.{-}Y. Siang and Y.{-}J. Tseng and Y.{-}J. Yang and F.{-}C. Hsieh and S.{-}H. Chang and H. Liang and S.{-}H. Chiang and J.{-}H. Liu and Y.{-}D. Lin and P.{-}C. Yeh and C.{-}Y. Wang and H.{-}Y. Yang and P.{-}J. Tzeng and M.{-}H. Liao and Shu{-}Tong Chang and Y.{-}Y. Tseng and Min{-}Hung Lee}, title = {Double Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129088}, doi = {10.1109/IRPS45951.2020.9129088}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChenLLCCSTYHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HsiehCCLL20, author = {M. H. Hsieh and W. S. Chiang and Harry H. Chen and M. Z. Lin and M. J. Lin}, title = {Comprehensive Quality and Reliability Management for Automotive Product}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128795}, doi = {10.1109/IRPS45951.2020.9128795}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HsiehCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoHMC20, author = {Wei{-}Hsiang Ho and Yi{-}Hsun Hsieh and Boris Murmann and Wei{-}Zen Chen}, title = {A 32 Gb/s {PAM-4} Optical Transceiver with Active Back Termination in 40 nm {CMOS} Technology}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180483}, doi = {10.1109/ISCAS45731.2020.9180483}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HoHMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuCWTWYSCLLTCH20, author = {Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Jun{-}Shen Wu and Wen{-}Chien Ting and Cheng{-}Te Wang and Chen{-}Fu Yeh and Syuan{-}Hao Sie and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {5.9 {A} 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong {PWM} Pixel}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {110--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062926}, doi = {10.1109/ISSCC19947.2020.9062926}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsuCWTWYSCLLTCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiTHSLWLWLCZSWL20, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Zhixiao Zhang and Syuan{-}Hao Sie and Wei{-}Chen Wei and Yun{-}Chen Lo and Tai{-}Hsing Wen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {15.5 {A} 28nm 64Kb 6T {SRAM} Computing-in-Memory Macro with 8b {MAC} Operation for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {246--248}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062995}, doi = {10.1109/ISSCC19947.2020.9062995}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiTHSLWLWLCZSWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuSCCHTLLLWZJHL20, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Zhixiao Zhang and Hongwu Jiang and Shanshi Huang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Heng{-}Yuan Lee and Shih{-}Chieh Chang and Shimeng Yu and Meng{-}Fan Chang}, title = {15.2 {A} 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T {SRAM} Compute-in-Memory Macro for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {240--242}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062949}, doi = {10.1109/ISSCC19947.2020.9062949}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuSCCHTLLLWZJHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHLCKWLWHWCHC20, author = {Cheng{-}Xin Xue and Tsung{-}Yuan Huang and Je{-}Syu Liu and Ting{-}Wei Chang and Hui{-}Yao Kao and Jing{-}Hong Wang and Ta{-}Wei Liu and Shih{-}Ying Wei and Sheng{-}Po Huang and Wei{-}Chen Wei and Yi{-}Ren Chen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Yun{-}Chen Lo and Tai{-}Hsing Wen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {15.4 {A} 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit {MAC} Computing for Tiny {AI} Edge Devices}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {244--246}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063078}, doi = {10.1109/ISSCC19947.2020.9063078}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XueHLCKWLWHWCHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/LeeWLHL20, author = {Ming{-}Ting Lee and Chen{-}Hung Wu and Shi{-}Tang Liu and Cheng{-}Yun Hsieh and James Chien{-}Mo Li}, title = {High Efficiency and Low Overkill Testing for Probabilistic Circuits}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei, Taiwan, September 23-25, 2020}, pages = {83--87}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC-Asia51099.2020.00026}, doi = {10.1109/ITC-ASIA51099.2020.00026}, timestamp = {Thu, 22 Oct 2020 12:38:36 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/LeeWLHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/LaiH0HLPCC20, author = {Sih{-}Pin Lai and Cheng{-}An Hsieh and Yu{-}Hsin Lin and Teepob Harutaipree and Shih{-}Chin Lin and Yi{-}Hao Peng and Lung{-}Pan Cheng and Mike Y. Chen}, editor = {Susanne Boll and Simon T. Perrault}, title = {StrengthGaming: Enabling Dynamic Repetition Tempo in Strength Training-based Exergame Design}, booktitle = {MobileHCI '20: 22nd International Conference on Human-Computer Interaction with Mobile Devices and Services, Oldenburg, Germany, October 5-9, 2020}, pages = {7:1--7:8}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379503.3403529}, doi = {10.1145/3379503.3403529}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/LaiH0HLPCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/LaiH0HLPCC20a, author = {Sih{-}Pin Lai and Cheng{-}An Hsieh and Yu{-}Hsin Lin and Teepob Harutaipree and Shih{-}Chin Lin and Yi{-}Hao Peng and Lung{-}Pan Cheng and Mike Y. Chen}, editor = {Susanne Boll and Simon T. Perrault}, title = {StrengthGaming: Enabling Dynamic Repetition Tempo in Strength Training-based Exergame Design}, booktitle = {MobileHCI '20: 22nd International Conference on Human-Computer Interaction with Mobile Devices and Services: Expanding the Horizon of Mobile Interaction, Extented Abstracts, Oldenburg, Germany, October 5-9, 2020}, pages = {30:1--30:3}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406324.3410541}, doi = {10.1145/3406324.3410541}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/LaiH0HLPCC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/ChangYCH20, author = {Herng{-}Hua Chang and Shin{-}Joe Yeh and Ming{-}Chang Chiang and Sung{-}Tsang Hsieh}, editor = {Andrzej Kr{\'{o}}l and Barjor S. Gimi}, title = {Infarct region segmentation in rat brain {T2} {MR} images after stroke based on fully convolutional networks}, booktitle = {Medical Imaging 2020: Biomedical Applications in Molecular, Structural, and Functional Imaging, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11317}, pages = {113172G}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548561}, doi = {10.1117/12.2548561}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mibam/ChangYCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/AcciavattiCMGPH20, author = {Raymond Joseph Acciavatti and Eric A. Cohen and Omid Haji Maghsoudi and Aimilia Gastounioti and Lauren Pantalone and Meng{-}Kang Hsieh and Emily F. Conant and Christopher G. Scott and Stacey J. Winham and Karla Kerlikowske and Celine Vachon and Andrew D. A. Maidment and Despina Kontos}, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Robust radiomic feature selection in digital mammography: understanding the effect of imaging acquisition physics using phantom and clinical data analysis}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549163}, doi = {10.1117/12.2549163}, timestamp = {Tue, 05 Mar 2024 15:24:16 +0100}, biburl = {https://dblp.org/rec/conf/micad/AcciavattiCMGPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SanthanamLSESHC20, author = {Anand P. Santhanam and Michael Lauria and Brad Stiehl and Daniel Elliott and Saty Seshan and Scott Hsieh and Minsong Cao and Daniel Low}, editor = {Ivana Isgum and Bennett A. Landman}, title = {An adversarial machine-learning-based approach and biomechanically guided validation for improving deformable image registration accuracy between a planning {CT} and cone-beam {CT} for adaptive prostate radiotherapy applications}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {113130P}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2550493}, doi = {10.1117/12.2550493}, timestamp = {Tue, 21 Jul 2020 15:32:21 +0200}, biburl = {https://dblp.org/rec/conf/miip/SanthanamLSESHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ShaoHZKW20, author = {Fangchi Shao and Kuangwen Hsieh and Pengfei Zhang and Aniruddha M. Kaushik and Tza{-}Huei Wang}, title = {A Programmable Nanodroplet Device with Direct Sample-to-Droplet Interface toward High-Throughput Screening}, booktitle = {15th {IEEE} International Conference on Nano/Micro Engineered and Molecular System, {NEMS} 2020, San Diego, CA, USA, September 27-30, 2020}, pages = {255--260}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NEMS50311.2020.9265519}, doi = {10.1109/NEMS50311.2020.9265519}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ShaoHZKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/0001CX0LBH20, author = {Huan Zhang and Hongge Chen and Chaowei Xiao and Bo Li and Mingyan Liu and Duane S. Boning and Cho{-}Jui Hsieh}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Robust Deep Reinforcement Learning against Adversarial Perturbations on State Observations}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/f0eb6568ea114ba6e293f903c34d7488-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/0001CX0LBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/XuS0WCHKLH20, author = {Kaidi Xu and Zhouxing Shi and Huan Zhang and Yihan Wang and Kai{-}Wei Chang and Minlie Huang and Bhavya Kailkhura and Xue Lin and Cho{-}Jui Hsieh}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Automatic Perturbation Analysis for Scalable Certified Robustness and Beyond}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/0cbc5671ae26f67871cb914d81ef8fc1-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/XuS0WCHKLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/LiCHDHSYLWLC20, author = {Ze Li and Qian Cheng and Ken Hsieh and Yingnong Dang and Peng Huang and Pankaj Singh and Xinsheng Yang and Qingwei Lin and Youjiang Wu and Sebastien Levy and Murali Chintalapati}, editor = {Ranjita Bhagwan and George Porter}, title = {Gandalf: An Intelligent, End-To-End Analytics Service for Safe Deployment in Large-Scale Cloud Infrastructure}, booktitle = {17th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2020, Santa Clara, CA, USA, February 25-27, 2020}, pages = {389--402}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/nsdi20/presentation/li}, timestamp = {Tue, 02 Feb 2021 08:04:59 +0100}, biburl = {https://dblp.org/rec/conf/nsdi/LiCHDHSYLWLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/HsiehTCLLKS20, author = {Shu{-}Kai Hsieh and Yu{-}Hsiang Tseng and Chiung{-}Yu Chiang and Richard Lian and Yong{-}fu Liao and Mao{-}Chang Ku and Ching{-}Fang Shih}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {From Sense to Action: {A} Word-Action Disambiguation Task in {NLP}}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {107--112}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.13/}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/paclic/HsiehTCLLKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ShihKH20, author = {Cing{-}Fang Shih and Mao{-}Chang Ku and Shu{-}Kai Hsieh}, editor = {Jenq{-}Haur Wang and Ying{-}Hui Lai}, title = {Lectal Variation of the Two Chinese Causative Auxiliaries}, booktitle = {Proceedings of the 32nd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2020, Taipei, Taiwan, September 24-26, 2020}, pages = {163--177}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2020}, url = {https://aclanthology.org/2020.rocling-1.18}, timestamp = {Thu, 27 Oct 2022 16:33:44 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ShihKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MallisseryWHB20, author = {Sanoop Mallissery and Yu{-}Sung Wu and Chih{-}Hao Hsieh and Chun{-}An Bau}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {Identification of data propagation paths for efficient dynamic information flow tracking}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {92--99}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3373876}, doi = {10.1145/3341105.3373876}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/MallisseryWHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/FuFCKLHHLHY20, author = {Hao{-}Lun Fu and Po{-}Hsiang Fang and Chan{-}Yu Chi and Chung{-}ting Kuo and Meng{-}Hsuan Liu and Howard Muchen Hsu and Cheng{-}Hsun Hsieh and Sheng{-}Fu Liang and Shulan Hsieh and Cheng{-}Ta Yang}, title = {Application of Brain-Computer Interface and Virtual Reality in Advancing Cultural Experience}, booktitle = {2020 {IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2020, Macau, China, December 1-4, 2020}, pages = {351--354}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VCIP49819.2020.9301801}, doi = {10.1109/VCIP49819.2020.9301801}, timestamp = {Wed, 27 Jan 2021 14:35:05 +0100}, biburl = {https://dblp.org/rec/conf/vcip/FuFCKLHHLHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChouCTLLKSCHL020, author = {Mao{-}Hsuan Chou and Ya{-}Tin Chang and Tsung{-}Hsien Tsai and Tsung{-}Che Lu and Chia{-}Chun Liao and Hung{-}Yi Kuo and Ruey{-}Bin Sheen and Chih{-}Hsien Chang and Kenny C.{-}H. Hsieh and Alvin Leng Sun Loke and Mark Chen}, title = {Embedded {PLL} Phase Noise Measurement Based on a {PFD/CP} {MASH} 1-1-1 {\(\Delta\)}{\(\Sigma\)} Time-to-Digital Converter in 7nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162789}, doi = {10.1109/VLSICIRCUITS18222.2020.9162789}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChouCTLLKSCHL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/WuCHTC20, author = {Shengzhi Wu and Siyu Chen and Mong{-}Yah Hsieh and Conor Triplett and Calla Carter}, title = {The other way: immersive {VR} storytelling through biking}, booktitle = {2020 {IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops, Atlanta, GA, USA, March 22-26, 2020}, pages = {853}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VRW50115.2020.00277}, doi = {10.1109/VRW50115.2020.00277}, timestamp = {Tue, 19 May 2020 13:38:21 +0200}, biburl = {https://dblp.org/rec/conf/vr/WuCHTC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-06622, author = {Zhouxing Shi and Huan Zhang and Kai{-}Wei Chang and Minlie Huang and Cho{-}Jui Hsieh}, title = {Robustness Verification for Transformers}, journal = {CoRR}, volume = {abs/2002.06622}, year = {2020}, url = {https://arxiv.org/abs/2002.06622}, eprinttype = {arXiv}, eprint = {2002.06622}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-06622.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-06789, author = {Minhao Cheng and Qi Lei and Pin{-}Yu Chen and Inderjit S. Dhillon and Cho{-}Jui Hsieh}, title = {{CAT:} Customized Adversarial Training for Improved Robustness}, journal = {CoRR}, volume = {abs/2002.06789}, year = {2020}, url = {https://arxiv.org/abs/2002.06789}, eprinttype = {arXiv}, eprint = {2002.06789}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-06789.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-12920, author = {Kaidi Xu and Zhouxing Shi and Huan Zhang and Minlie Huang and Kai{-}Wei Chang and Bhavya Kailkhura and Xue Lin and Cho{-}Jui Hsieh}, title = {Automatic Perturbation Analysis on General Computational Graphs}, journal = {CoRR}, volume = {abs/2002.12920}, year = {2020}, url = {https://arxiv.org/abs/2002.12920}, eprinttype = {arXiv}, eprint = {2002.12920}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-12920.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02871, author = {Dragomir R. Radev and Rui Zhang and Amrit Rau and Abhinand Sivaprasad and Chiachun Hsieh and Nazneen Fatema Rajani and Xiangru Tang and Aadit Vyas and Neha Verma and Pranav Krishna and Yangxiaokang Liu and Nadia Irwanto and Jessica Pan and Faiaz Rahman and Ahmad Zaidi and Murori Mutuma and Yasin Tarabar and Ankit Gupta and Tao Yu and Yi Chern Tan and Xi Victoria Lin and Caiming Xiong and Richard Socher}, title = {{DART:} Open-Domain Structured Data Record to Text Generation}, journal = {CoRR}, volume = {abs/2007.02871}, year = {2020}, url = {https://arxiv.org/abs/2007.02871}, eprinttype = {arXiv}, eprint = {2007.02871}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-11921, author = {Yuxuan Du and Min{-}Hsiu Hsieh and Tongliang Liu and Shan You and Dacheng Tao}, title = {Quantum differentially private sparse regression learning}, journal = {CoRR}, volume = {abs/2007.11921}, year = {2020}, url = {https://arxiv.org/abs/2007.11921}, eprinttype = {arXiv}, eprint = {2007.11921}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-11921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-04655, author = {Chiao Hsieh and Hussein Sibai and Hebron Taylor and Sayan Mitra}, title = {Unmanned air-traffic management {(UTM):} Formalization, a prototype implementation, and performance evaluation}, journal = {CoRR}, volume = {abs/2009.04655}, year = {2020}, url = {https://arxiv.org/abs/2009.04655}, eprinttype = {arXiv}, eprint = {2009.04655}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-04655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06201, author = {Heliang Huang and Yuxuan Du and Ming Gong and Youwei Zhao and Yulin Wu and Chaoyue Wang and Shaowei Li and Futian Liang and Jin Lin and Yu Xu and Rui Yang and Tongliang Liu and Min{-}Hsiu Hsieh and Hui Deng and Hao Rong and Cheng{-}Zhi Peng and Chao{-}Yang Lu and Yu{-}Ao Chen and Dacheng Tao and Xiaobo Zhu and Jian{-}Wei Pan}, title = {Experimental Quantum Generative Adversarial Networks for Image Generation}, journal = {CoRR}, volume = {abs/2010.06201}, year = {2020}, url = {https://arxiv.org/abs/2010.06201}, eprinttype = {arXiv}, eprint = {2010.06201}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-07115, author = {Ju Long and Hung{-}Ying Tai and Shen{-}Ta Hsieh and Michael Juntao Yuan}, title = {A lightweight design for serverless Function-as-a-Service}, journal = {CoRR}, volume = {abs/2010.07115}, year = {2020}, url = {https://arxiv.org/abs/2010.07115}, eprinttype = {arXiv}, eprint = {2010.07115}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-07115.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10217, author = {Yuxuan Du and Tao Huang and Shan You and Min{-}Hsiu Hsieh and Dacheng Tao}, title = {Quantum circuit architecture search: error mitigation and trainability enhancement for variational quantum solvers}, journal = {CoRR}, volume = {abs/2010.10217}, year = {2020}, url = {https://arxiv.org/abs/2010.10217}, eprinttype = {arXiv}, eprint = {2010.10217}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12861, author = {Syuan{-}Hao Sie and Jye{-}Luen Lee and Yi{-}Ren Chen and Chih{-}Cheng Lu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Kea{-}Tiong Tang}, title = {{MARS:} Multi-macro Architecture {SRAM} CIM-Based Accelerator with Co-designed Compressed Neural Networks}, journal = {CoRR}, volume = {abs/2010.12861}, year = {2020}, url = {https://arxiv.org/abs/2010.12861}, eprinttype = {arXiv}, eprint = {2010.12861}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14031, author = {Devvrit and Minhao Cheng and Cho{-}Jui Hsieh and Inderjit S. Dhillon}, title = {Voting based ensemble improves robustness of defensive models}, journal = {CoRR}, volume = {abs/2011.14031}, year = {2020}, url = {https://arxiv.org/abs/2011.14031}, eprinttype = {arXiv}, eprint = {2011.14031}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-11769, author = {Minhao Cheng and Pin{-}Yu Chen and Sijia Liu and Shiyu Chang and Cho{-}Jui Hsieh and Payel Das}, title = {Self-Progressing Robust Training}, journal = {CoRR}, volume = {abs/2012.11769}, year = {2020}, url = {https://arxiv.org/abs/2012.11769}, eprinttype = {arXiv}, eprint = {2012.11769}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-11769.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/DiganiHSS19, author = {Valerio Digani and M. Ani Hsieh and Lorenzo Sabattini and Cristian Secchi}, title = {Coordination of multiple AGVs: a quadratic optimization method}, journal = {Auton. Robots}, volume = {43}, number = {3}, pages = {539--555}, year = {2019}, url = {https://doi.org/10.1007/s10514-018-9730-9}, doi = {10.1007/S10514-018-9730-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/DiganiHSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH19, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Shabbir Syed{-}Abdul and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Yu{-}Chuan (Jack) Li}, title = {Corrigendum to "Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial" Comput Methods Programs Biomed 2016;132: 105-113}, journal = {Comput. Methods Programs Biomed.}, volume = {176}, pages = {237--238}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.04.031}, doi = {10.1016/J.CMPB.2019.04.031}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KuoHLSH19, author = {Po{-}Yu Kuo and Chia{-}Hsin Hsieh and Jin{-}Fa Lin and Ming{-}Hwa Sheu and Yi{-}Ting Hung}, title = {Low Complexity and Low Power Sense-Amplifier Based Flip-Flop Design}, journal = {{IEICE} Trans. Electron.}, volume = {102-C}, number = {11}, pages = {833--838}, year = {2019}, url = {https://doi.org/10.1587/transele.2018ECP5059}, doi = {10.1587/TRANSELE.2018ECP5059}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KuoHLSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/WengWHJSL19, author = {Tien{-}Hsiung Weng and Teng{-}Xian Wang and Meng{-}Yen Hsieh and Hai Jiang and Jun Shen and Kuan{-}Ching Li}, title = {Parallel fast Fourier transform in {SPMD} style of Cilk}, journal = {Int. J. Embed. Syst.}, volume = {11}, number = {6}, pages = {778--787}, year = {2019}, url = {https://doi.org/10.1504/IJES.2019.103998}, doi = {10.1504/IJES.2019.103998}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/WengWHJSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/LinH19, author = {Sunny S. J. Lin and Ming{-}Yi Hsieh}, title = {Differences between {EFL} Beginners and Intermediate Level Readers When Reading Onscreen Narrative Text with Pictures: {A} Study of Eye Movements as a Guide to Personalization}, journal = {Int. J. Hum. Comput. Interact.}, volume = {35}, number = {4-5}, pages = {299--312}, year = {2019}, url = {https://doi.org/10.1080/10447318.2018.1543089}, doi = {10.1080/10447318.2018.1543089}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/LinH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/ChiouPCH19, author = {Shu{-}Fen Chiou and Hsieh{-}Tsen Pan and Eko Fajar Cahyadi and Min{-}Shiang Hwang}, title = {Cryptanalysis of the Mutual Authentication and Key Agreement Protocol with Smart Cards for Wireless Communications}, journal = {Int. J. Netw. Secur.}, volume = {21}, number = {1}, pages = {100--104}, year = {2019}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v21-n1/ijns-2019-v21-n1-p100-104.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/ChiouPCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/PanCCH19, author = {Hsieh{-}Tsen Pan and Eko Fajar Cahyadi and Shu{-}Fen Chiou and Min{-}Shiang Hwang}, title = {Research on Batch Verification Schemes for Identifying Illegal Signatures}, journal = {Int. J. Netw. Secur.}, volume = {21}, number = {6}, pages = {1062--1070}, year = {2019}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v21-n6/ijns-2019-v21-n6-p1062-1070.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/PanCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/GochooTHBHAC19, author = {Munkhjargal Gochoo and Tan{-}Hsu Tan and Shih{-}Chia Huang and Tsedevdorj Batjargal and Jun{-}Wei Hsieh and Fady S. Alnajjar and Yung{-}Fu Chen}, title = {Novel IoT-Based Privacy-Preserving Yoga Posture Recognition System Using Low-Resolution Infrared Sensors and Deep Learning}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {4}, pages = {7192--7200}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2915095}, doi = {10.1109/JIOT.2019.2915095}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/GochooTHBHAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/SuhH19, author = {Minhyang (Mia) Suh and Gary Hsieh}, title = {The "Had Mores": Exploring korean immigrants' information behavior and {ICT} usage when settling in the United States}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {70}, number = {1}, pages = {38--48}, year = {2019}, url = {https://doi.org/10.1002/asi.24078}, doi = {10.1002/ASI.24078}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/SuhH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisys/HsiehWA19, author = {Tien{-}Shih Hsieh and Zhihong Wang and Mohammad Abdolmohammadi}, title = {Factors Associated with Companies' Choices of {XBRL} Implementation Strategies: Evidence from the {U.S.} Market}, journal = {J. Inf. Syst.}, volume = {33}, number = {3}, pages = {75--91}, year = {2019}, url = {https://doi.org/10.2308/isys-52185}, doi = {10.2308/ISYS-52185}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisys/HsiehWA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhouFSZHL19, author = {Qingguo Zhou and Fang Feng and Zebang Shen and Rui Zhou and Meng{-}Yen Hsieh and Kuan{-}Ching Li}, title = {A novel approach for mobile malware classification and detection in Android systems}, journal = {Multim. Tools Appl.}, volume = {78}, number = {3}, pages = {3529--3552}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6498-z}, doi = {10.1007/S11042-018-6498-Z}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ZhouFSZHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/SalamH19, author = {Tahiya Salam and M. Ani Hsieh}, title = {Adaptive Sampling and Reduced-Order Modeling of Dynamic Processes by Robot Teams}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {2}, pages = {477--484}, year = {2019}, url = {https://doi.org/10.1109/LRA.2019.2891475}, doi = {10.1109/LRA.2019.2891475}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/SalamH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsiehLPSCH19, author = {Yi{-}Zeng Hsieh and Yu{-}Cin Luo and Chen Pan and Mu{-}Chun Su and Chi{-}Jen Chen and Kevin Li{-}Chun Hsieh}, title = {Cerebral Small Vessel Disease Biomarkers Detection on MRI-Sensor-Based Image and Deep Learning}, journal = {Sensors}, volume = {19}, number = {11}, pages = {2573}, year = {2019}, url = {https://doi.org/10.3390/s19112573}, doi = {10.3390/S19112573}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HsiehLPSCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/HsiaoSHTTL19, author = {Shan{-}Wen Hsiao and Hung{-}Ching Sun and Ming{-}Chuan Hsieh and Ming{-}Hsueh Tsai and Yu Tsao and Chi{-}Chun Lee}, title = {Toward Automating Oral Presentation Scoring During Principal Certification Program Using Audio-Video Low-Level Behavior Profiles}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {10}, number = {4}, pages = {552--567}, year = {2019}, url = {https://doi.org/10.1109/TAFFC.2017.2749569}, doi = {10.1109/TAFFC.2017.2749569}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/HsiaoSHTTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WeiWHPK19, author = {Dong Wei and Susan Weinstein and Meng{-}Kang Hsieh and Lauren Pantalone and Despina Kontos}, title = {Three-Dimensional Whole Breast Segmentation in Sagittal and Axial Breast {MRI} With Dense Depth Field Modeling and Localized Self-Adaptation for Chest-Wall Line Detection}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {6}, pages = {1567--1579}, year = {2019}, url = {https://doi.org/10.1109/TBME.2018.2875955}, doi = {10.1109/TBME.2018.2875955}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/WeiWHPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsiehWSHPCL19, author = {Shih{-}An Hsieh and Ying{-}Hsu Wang and Ting{-}Yu Shen and Kuan{-}Yen Huang and Chia{-}Cheng Pai and Tsai{-}Chieh Chen and James Chien{-}Mo Li}, title = {DR-Scan: Dual-Rail Asynchronous Scan DfT and {ATPG}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {1}, pages = {136--148}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2801226}, doi = {10.1109/TCAD.2018.2801226}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsiehWSHPCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/YimMHB19, author = {Keun Soo Yim and Iliyan Malchev and Andrew Hsieh and Dave Burke}, title = {Treble: Fast Software Updates by Creating an Equilibrium in an Active Software Ecosystem of Globally Distributed Stakeholders}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {104:1--104:23}, year = {2019}, url = {https://doi.org/10.1145/3358237}, doi = {10.1145/3358237}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/YimMHB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ZhuZHS19, author = {Elton Yechao Zhu and Quntao Zhuang and Min{-}Hsiu Hsieh and Peter W. Shor}, title = {Superadditivity in Trade-Off Capacities of Quantum Channels}, journal = {{IEEE} Trans. Inf. Theory}, volume = {65}, number = {6}, pages = {3973--3989}, year = {2019}, url = {https://doi.org/10.1109/TIT.2018.2889082}, doi = {10.1109/TIT.2018.2889082}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/ZhuZHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/MirandaDLWHS19, author = {Fabio Miranda and Harish Doraiswamy and Marcos Lage and Luc Wilson and Mondrian Hsieh and Cl{\'{a}}udio T. Silva}, title = {Shadow Accrual Maps: Efficient Accumulation of City-Scale Shadows Over Time}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {25}, number = {3}, pages = {1559--1574}, year = {2019}, url = {https://doi.org/10.1109/TVCG.2018.2802945}, doi = {10.1109/TVCG.2018.2802945}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/MirandaDLWHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsiehLYCLN19, author = {Meng{-}Ling Hsieh and Wei{-}Tsen Lin and Suhan Yu and Yi{-}Chi Chen and Jung{-}Shan Lin and Lin{-}Hui Nung}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {The Case Study of Software Build-in Design Based on Quality Factors and {FMEA}}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {451--458}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_42}, doi = {10.1007/978-3-030-15035-8\_42}, timestamp = {Fri, 29 Mar 2019 10:44:54 +0100}, biburl = {https://dblp.org/rec/conf/aina/HsiehLYCLN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ZhangCSTSHWWCHS19, author = {Zhixiao Zhang and Jia{-}Jing Chen and Xin Si and Yung{-}Ning Tu and Jian{-}Wei Su and Wei{-}Hsing Huang and Jing{-}Hong Wang and Wei{-}Chen Wei and Yen{-}Cheng Chiu and Je{-}Min Hong and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 55nm 1-to-8 bit Configurable 6T {SRAM} based Computing-in-Memory Unit-Macro for CNN-based {AI} Edge Processors}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {217--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056933}, doi = {10.1109/A-SSCC47793.2019.9056933}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ZhangCSTSHWWCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bea/YoonHZMWM19, author = {Su{-}Youn Yoon and Ching{-}Ni Hsieh and Klaus Zechner and Matthew Mulholland and Yuan Wang and Nitin Madnani}, editor = {Helen Yannakoudakis and Ekaterina Kochmar and Claudia Leacock and Nitin Madnani and Ildik{\'{o}} Pil{\'{a}}n and Torsten Zesch}, title = {Toward Automated Content Feedback Generation for Non-native Spontaneous Speech}, booktitle = {Proceedings of the Fourteenth Workshop on Innovative Use of {NLP} for Building Educational Applications, BEA@ACL 2019, Florence, Italy, August 2, 2019}, pages = {306--315}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/w19-4432}, doi = {10.18653/V1/W19-4432}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bea/YoonHZMWM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ShishikaPDH019, author = {Daigo Shishika and James Paulos and Michael R. Dorothy and M. Ani Hsieh and Vijay Kumar}, title = {Team Composition for Perimeter Defense with Patrollers and Defenders}, booktitle = {58th {IEEE} Conference on Decision and Control, {CDC} 2019, Nice, France, December 11-13, 2019}, pages = {7325--7332}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CDC40024.2019.9030082}, doi = {10.1109/CDC40024.2019.9030082}, timestamp = {Fri, 04 Mar 2022 13:30:46 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ShishikaPDH019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ColussoJMH19, author = {Lucas Colusso and Ridley Jones and Sean A. Munson and Gary Hsieh}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {A Translational Science Model for {HCI}}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {1}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300231}, doi = {10.1145/3290605.3300231}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ColussoJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/NilssonRSLCMMK19, author = {Tommy Nilsson and Tessa Roper and Emily Shaw and Glyn Lawson and Sue Valerie Gray Cobb and Hsieh Meng{-}Ko and Daniel Miller and James Khan}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {Multisensory Virtual Environment for Fire Evacuation Training}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3313283}, doi = {10.1145/3290607.3313283}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/NilssonRSLCMMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chiplay/LaiHHLPCC19, author = {Sih{-}Pin Lai and Cheng{-}An Hsieh and Teepob Harutaipree and Shih{-}Chin Lin and Yi{-}Hao Peng and Lung{-}Pan Cheng and Mike Y. Chen}, editor = {Joan Arnedo and Lennart E. Nacke and Vero Vanden Abeele and Phoebe O. Toups Dugas}, title = {FitBird: Improving Free-weight Training Experience using Wearable Sensors for Game Control}, booktitle = {Extended Abstracts of the Annual Symposium on Computer-Human Interaction in Play Companion Extended Abstracts, Barcelona, Spain, October 22-25, 2019}, pages = {475--481}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341215.3356258}, doi = {10.1145/3341215.3356258}, timestamp = {Tue, 06 Sep 2022 13:45:09 +0200}, biburl = {https://dblp.org/rec/conf/chiplay/LaiHHLPCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/criwg/HsiehLTWCCC19, author = {I{-}Chen Hsieh and Chen{-}Chung Liu and Meng{-}Jung Tsai and Cai{-}Ting Wen and Ming{-}Hua Chang and Shih{-}Hsun Fan Chiang and Chia{-}Jung Chang}, editor = {Hideyuki Nakanishi and Hironori Egi and Irene{-}Angelica Chounta and Hideyuki Takada and Satoshi Ichimura and Ulrich Hoppe}, title = {The Analysis of Collaborative Science Learning with Simulations Through Dual Eye-Tracking Techniques}, booktitle = {Collaboration Technologies and Social Computing - 25th International Conference, CRIWG/CollabTech 2019, Kyoto, Japan, September 4-6, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11677}, pages = {36--44}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-28011-6\_3}, doi = {10.1007/978-3-030-28011-6\_3}, timestamp = {Fri, 30 Aug 2019 09:05:12 +0200}, biburl = {https://dblp.org/rec/conf/criwg/HsiehLTWCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/AlzantotSCZHS19, author = {Moustafa Alzantot and Yash Sharma and Supriyo Chakraborty and Huan Zhang and Cho{-}Jui Hsieh and Mani B. Srivastava}, editor = {Anne Auger and Thomas St{\"{u}}tzle}, title = {GenAttack: practical black-box attacks with gradient-free optimization}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, pages = {1111--1119}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3321707.3321749}, doi = {10.1145/3321707.3321749}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/AlzantotSCZHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/HuynhCNWAGHHMWO19, author = {Toan Huynh and David M. Cate and Kevin P. Nichols and Bernhard H. Weigl and Caitlin E. Anderson and David J. Gasperino and Stephen P. Harston and Helen V. Hsieh and Rosemichelle Marzan and John R. Williford and Ciela I. Oncina and Veronika A. Glukhova}, title = {Integrated Robotic System for the Development Lateral Flow Assays}, booktitle = {{IEEE} Global Humanitarian Technology Conference, {GHTC} 2019, Seattle, WA, USA, October 17-20, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GHTC46095.2019.9033066}, doi = {10.1109/GHTC46095.2019.9033066}, timestamp = {Mon, 23 Mar 2020 14:36:57 +0100}, biburl = {https://dblp.org/rec/conf/ghtc/HuynhCNWAGHHMWO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsce/HsiehMHLC19, author = {Yao{-}Ching Hsieh and Chin{-}Sien Moo and You{-}Chun Huang and Shun{-}Yi Liu and Yong{-}Nong Chang}, title = {Distributive Maximum Power Point Tracking for Serial Photovoltaic Panels with Partial Power Regulation}, booktitle = {9th {IEEE} International Conference on Control System, Computing and Engineering, {ICCSCE} 2019, Penang, Malaysia, November 29 - Dec. 1, 2019}, pages = {110--114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCSCE47578.2019.9068557}, doi = {10.1109/ICCSCE47578.2019.9068557}, timestamp = {Thu, 07 May 2020 14:36:34 +0200}, biburl = {https://dblp.org/rec/conf/iccsce/HsiehMHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/LinLHHCM19, author = {Shian{-}Nan Lin and Tung{-}Yen Lee and Zheng{-}Yan He and Yao Ching Hsieh and Yong{-}Nong Chang and Chin{-}Sien Moo}, title = {An {LED} Driver with Wide Operation Range for Automotive Lighting}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIT.2019.8755135}, doi = {10.1109/ICIT.2019.8755135}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/LinLHHCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HsiehZEME19, author = {Jun{-}Ting Hsieh and Shengjia Zhao and Stephan Eismann and Lucia Mirabella and Stefano Ermon}, title = {Learning Neural {PDE} Solvers with Convergence Guarantees}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=rklaWn0qK7}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HsiehZEME19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/HsiehNS19, author = {Yu{-}Guan Hsieh and Gang Niu and Masashi Sugiyama}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Classification from Positive, Unlabeled and Biased Negative Data}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {2820--2829}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/hsieh19c.html}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/HsiehNS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SaeidiLOLKHKK19, author = {Hamed Saeidi and Hanh N. D. Le and Justin D. Opfermann and Simon L{\'{e}}onard and A. Kim and Michael H. Hsieh and Jin U. Kang and Axel Krieger}, title = {Autonomous Laparoscopic Robotic Suturing with a Novel Actuated Suturing Tool and 3D Endoscope}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {1541--1547}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8794306}, doi = {10.1109/ICRA.2019.8794306}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/SaeidiLOLKHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/HsiehM19, author = {Chiao Hsieh and Sayan Mitra}, editor = {Wolfgang Ahrendt and Silvia Lizeth Tapia Tarifa}, title = {Dione: {A} Protocol Verification System Built with Dafny for {I/O} Automata}, booktitle = {Integrated Formal Methods - 15th International Conference, {IFM} 2019, Bergen, Norway, December 2-6, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11918}, pages = {227--245}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34968-4\_13}, doi = {10.1007/978-3-030-34968-4\_13}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifm/HsiehM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipmi/HsiehACMY19, author = {Dai{-}Ni Hsieh and Sylvain Arguill{\`{e}}re and Nicolas Charon and Michael I. Miller and Laurent Younes}, editor = {Albert C. S. Chung and James C. Gee and Paul A. Yushkevich and Siqi Bao}, title = {A Model for Elastic Evolution on Foliated Shapes}, booktitle = {Information Processing in Medical Imaging - 26th International Conference, {IPMI} 2019, Hong Kong, China, June 2-7, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11492}, pages = {644--655}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20351-1\_50}, doi = {10.1007/978-3-030-20351-1\_50}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipmi/HsiehACMY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LiuHCJLFL19, author = {S. E. Liu and M. H. Hsieh and Y. R. Chen and J. Y. Jao and M. Z. Lin and Y. H. Fang and M. J. Lin}, title = {High Voltage Tolerant Design with Advanced Process for {TV} Application}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720421}, doi = {10.1109/IRPS.2019.8720421}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/LiuHCJLFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BoroumandGPHLAH19, author = {Amirali Boroumand and Saugata Ghose and Minesh Patel and Hasan Hassan and Brandon Lucia and Rachata Ausavarungnirun and Kevin Hsieh and Nastaran Hajinazar and Krishna T. Malladi and Hongzhong Zheng and Onur Mutlu}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {CoNDA: efficient cache coherence support for near-data accelerators}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {629--642}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322266}, doi = {10.1145/3307650.3322266}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/BoroumandGPHLAH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangWH19, author = {Yung{-}Te Chang and Min{-}Rui Wu and Chih{-}Cheng Hsieh}, title = {A 40MS/s 12-bit Zero-Crossing Based SAR-Assisted Two-Stage Pipelined {ADC} with Adaptive Level Shifting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702449}, doi = {10.1109/ISCAS.2019.8702449}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehTHLC19, author = {Ping{-}Hsuan Hsieh and Ming{-}Li Tang and Sheng{-}Yen Hsu and Meng{-}Hung Lin and Yi{-}Hsiu Chen}, title = {Design and Implementation of a Memristor-Based Oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702394}, doi = {10.1109/ISCAS.2019.8702394}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HsiehTHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/SalekHF19, author = {Farzin Salek and Min{-}Hsiu Hsieh and Javier R. Fonollosa}, title = {Publicness, Privacy and Confidentiality in the Single-Serving Quantum Broadcast Channel}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2019, Paris, France, July 7-12, 2019}, pages = {1712--1716}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIT.2019.8849633}, doi = {10.1109/ISIT.2019.8849633}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/SalekHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/JhuoHWCYY19, author = {Sing{-}Ling Jhuo and Mi{-}Tren Hsieh and Ting{-}Chien Weng and Mei{-}Juan Chen and Chieh{-}Ming Yang and Chia{-}Hung Yeh}, title = {Trend Prediction of Influenza and the Associated Pneumonia in Taiwan Using Machine Learning}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986244}, doi = {10.1109/ISPACS48206.2019.8986244}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/JhuoHWCYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungLPWHLHZJLLH19, author = {Chih{-}Ming Hung and Alex T. C. Lin and B. C. Peng and Hua Wang and Jui{-}Lin Hsu and Yen{-}Ju Lu and Wei{-}Show Hsu and Jing{-}Hong Conan Zhan and Brian Juan and Chi{-}Hang Lok and Sam Lee and P. C. Hsiao and Qiang Zhou and Mark Wei and Hsiang{-}Yun Chu and Yu{-}Lun Chen and Chao{-}Ching Hung and Kevin Fong and Po{-}Chun Huang and Pierce Chen and Sheng{-}Yuan Su and Yan{-}Jiun Chen and Kehou Chen and Chun{-}Chao Tung and Yi{-}Jhan Hsieh and Tzung{-}Chuen Tsai and Yi{-}Fu Chen and Wei{-}Kuo Hsin and Liang Guo and Hanfei Liu and Dapeng Jin}, title = {Toward Automotive Surround-View Radars}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {162--164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662489}, doi = {10.1109/ISSCC.2019.8662489}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HungLPWHLHZJLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiCTHWCWWSLYLHT19, author = {Xin Si and Jia{-}Jing Chen and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jing{-}Hong Wang and Yen{-}Cheng Chiu and Wei{-}Chen Wei and Ssu{-}Yen Wu and Xiaoyu Sun and Rui Liu and Shimeng Yu and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Qiang Li and Meng{-}Fan Chang}, title = {A Twin-8T {SRAM} Computation-In-Memory Macro for Multiple-Bit CNN-Based Machine Learning}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {396--398}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662392}, doi = {10.1109/ISSCC.2019.8662392}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiCTHWCWWSLYLHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangLSLSWCCBCHR19, author = {Chih{-}Chun Tang and Yi{-}Bin Lee and Chih{-}hao Eric Sun and Cheng{-}Chieh Lin and Jin{-}Siang Syu and Min{-}Hua Wu and YangChuan Chen and Tzu{-}Chan Chueh and Carl Bryant and Manel Collados and Mohammed Hassan and Joao Ramos and Yu{-}Lin Hsieh and Hsinhung Chen and Xiaochuan Guo and Hsinhua Chen and Changhua Cao and Daniel Li and Jon Strange and Caiyi Wang and Guang{-}Kaai Dehng}, title = {An {LTE-A} Multimode Multiband {RF} Transceiver with 4RX/2TX Inter-Band Carrier Aggregation, 2-Carrier 4{\texttimes}4 {MIMO} with 256QAM and {HPUE} Capability in 28nm {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {350--352}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662362}, doi = {10.1109/ISSCC.2019.8662362}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TangLSLSWCCBCHR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueCLLLLWWCCHKW19, author = {Cheng{-}Xin Xue and Wei{-}Hao Chen and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Ting{-}Wei Chang and Tung{-}Cheng Chang and Tsung{-}Yuan Huang and Hui{-}Yao Kao and Shih{-}Ying Wei and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Chung{-}Chuan Lo and Ya{-}Chin King and Chorng{-}Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel {MAC} Computing Time for {CNN} Based {AI} Edge Processors}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {388--390}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662395}, doi = {10.1109/ISSCC.2019.8662395}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueCLLLLWWCCHKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/NavaratnaGHPSCK19, author = {Ruvini Navaratna and Aimilia Gastounioti and Meng{-}Kang Hsieh and Lauren Pantalone and Marie Shelanski and Emily F. Conant and Despina Kontos}, editor = {Kensaku Mori and Horst K. Hahn}, title = {Associations between mammographic phenotypes and histopathologic features in ductal carcinoma in situ}, booktitle = {Medical Imaging 2019: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10950}, pages = {109502K}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512464}, doi = {10.1117/12.2512464}, timestamp = {Wed, 17 Apr 2019 09:20:02 +0200}, biburl = {https://dblp.org/rec/conf/micad/NavaratnaGHPSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KamSWOLHKK19, author = {Michael Kam and Hamed Saeidi and Shuwen Wei and Justin D. Opfermann and Simon L{\'{e}}onard and Michael H. Hsieh and Jin U. Kang and Axel Krieger}, editor = {Dinggang Shen and Tianming Liu and Terry M. Peters and Lawrence H. Staib and Caroline Essert and Sean Zhou and Pew{-}Thian Yap and Ali R. Khan}, title = {Semi-autonomous Robotic Anastomoses of Vaginal Cuffs Using Marker Enhanced 3D Imaging and Path Planning}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11768}, pages = {65--73}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32254-0\_8}, doi = {10.1007/978-3-030-32254-0\_8}, timestamp = {Mon, 19 Feb 2024 14:24:13 +0100}, biburl = {https://dblp.org/rec/conf/miccai/KamSWOLHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ner/SongHS19, author = {Christian Y. Song and Han{-}Lin Hsieh and Maryam M. Shanechi}, title = {Decoder for Switching State-Space Models with Spike-Field Observations}, booktitle = {2019 9th International {IEEE/EMBS} Conference on Neural Engineering (NER), San Francisco, CA, USA, March 20-23, 2019}, pages = {199--202}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NER.2019.8716970}, doi = {10.1109/NER.2019.8716970}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ner/SongHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/photoptics/SuTHYH19, author = {Fang{-}Ci Su and Hsin{-}Yi Tsai and Yu{-}Chen Hsieh and Chih{-}Chung Yang and Min{-}Wei Hung}, editor = {Maria Raposo and Paulo A. Ribeiro and David Andrews}, title = {Study on Aging Effect of Optical Film under High Intensity of {UV} Exposure}, booktitle = {Proceedings of the 7th International Conference on Photonics, Optics and Laser Technology, {PHOTOPTICS} 2019, Prague, Czech Republic, February 25-27, 2019}, pages = {174--180}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007377201740180}, doi = {10.5220/0007377201740180}, timestamp = {Thu, 05 Dec 2019 18:13:27 +0100}, biburl = {https://dblp.org/rec/conf/photoptics/SuTHYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GochooHLCS19, author = {Munkhjargal Gochoo and Jun{-}Wei Hsieh and Chien{-}Hung Lee and Yun{-}Chih Chen and Yu{-}Chi Shih}, title = {Chronic Kidney Disease Stage Classification Using Renal Artery Doppler-Derived Parameters}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2502--2505}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8913899}, doi = {10.1109/SMC.2019.8913899}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/GochooHLCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/JhangGWH19, author = {Wun{-}Syun Jhang and Shao{-}En Gao and Chuin{-}Mu Wang and Ming{-}Chu Hsieh}, editor = {Masahide Nakamura and Hiroaki Hirata and Takayuki Ito and Takanobu Otsuka and Shun Okuhara}, title = {Share Price Trend Prediction Using Attention with {LSTM} Structure}, booktitle = {20th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2019, Toyama, Japan, July 8-11, 2019}, pages = {208--211}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SNPD.2019.8935806}, doi = {10.1109/SNPD.2019.8935806}, timestamp = {Tue, 07 Sep 2021 18:19:39 +0200}, biburl = {https://dblp.org/rec/conf/snpd/JhangGWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spml/ChangHFC19, author = {Ming{-}Jen Chang and Jih{-}Tang Hsieh and Chiung{-}Yao Fang and Sei{-}Wang Chen}, title = {A Vision-based Human Action Recognition System for Moving Cameras Through Deep Learning}, booktitle = {2nd International Conference on Signal Processing and Machine Learning, {SPML} 2019, Hangzhou, China, November, 27-29, 2019}, pages = {85--91}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3372806.3372815}, doi = {10.1145/3372806.3372815}, timestamp = {Thu, 23 Jan 2020 16:32:27 +0100}, biburl = {https://dblp.org/rec/conf/spml/ChangHFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LeeTWSHHSY19, author = {Chang{-}Shing Lee and Yi{-}Lin Tsai and Mei{-}Hui Wang and Haruka Sekino and Tzong{-}Xiang Huang and Wei{-}Fen Hsieh and Eri Sato{-}Shimokawara and Toru Yamaguchi}, title = {FML-based Machine Learning Tool for Human Emotional Agent with {BCI} on Music Application}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959849}, doi = {10.1109/TAAI48200.2019.8959849}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/LeeTWSHHSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LiuHHZDBTKM19, author = {Michael Xieyang Liu and Jane Hsieh and Nathan Hahn and Angelina Zhou and Emily Deng and Shaun Burley and Cynthia Bagier Taylor and Aniket Kittur and Brad A. Myers}, editor = {Fran{\c{c}}ois Guimbreti{\`{e}}re and Michael S. Bernstein and Katharina Reinecke}, title = {Unakite: Scaffolding Developers' Decision-Making Using the Web}, booktitle = {Proceedings of the 32nd Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2019, New Orleans, LA, USA, October 20-23, 2019}, pages = {67--80}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3332165.3347908}, doi = {10.1145/3332165.3347908}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/LiuHHZDBTKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ZhangLXQ0QDYCCW19, author = {Xu Zhang and Qingwei Lin and Yong Xu and Si Qin and Hongyu Zhang and Bo Qiao and Yingnong Dang and Xinsheng Yang and Qian Cheng and Murali Chintalapati and Youjiang Wu and Ken Hsieh and Kaixin Sui and Xin Meng and Yaohai Xu and Wenchi Zhang and Furao Shen and Dongmei Zhang}, editor = {Dahlia Malkhi and Dan Tsafrir}, title = {Cross-dataset Time Series Anomaly Detection for Cloud Systems}, booktitle = {Proceedings of the 2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, pages = {1063--1076}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/atc19/presentation/zhang-xu}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ZhangLXQ0QDYCCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KoKSCH019, author = {Chen{-}Ting Ko and Ting{-}Kuei Kuan and Ruei{-}Pin Shen and Chih{-}Hsien Chang and Kenny Hsieh and Mark Chen}, title = {A 387.6fs Integrated Jitter and -80dBc Reference Spurs Ring based {PLL} with Track- and-Hold Charge Pump and Automatic Loop Gain Control in 7nm FinFET {CMOS}}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {164}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8777946}, doi = {10.23919/VLSIC.2019.8777946}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KoKSCH019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LinHTTHCHHCGFRL19, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Tom Chen and Wen{-}Hung Huang and Jack Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7nm 4GHz Arm\({}^{\mbox{{\textregistered}}}\)-core-based CoWoS\({}^{\mbox{{\textregistered}}}\) Chiplet Design for High Performance Computing}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778161}, doi = {10.23919/VLSIC.2019.8778161}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LinHTTHCHHCGFRL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PfaffMGCWPARAHL19, author = {Dirk Pfaff and Shahaboddin Moazzeni and Leisheng Gao and Mei{-}Chen Chuang and Xin{-}Jie Wang and Chai Palusa and Robert Abbott and Rolando Ramirez and Maher Amer and Ming{-}Chieh Huang and Chih{-}Chang Lin and Fred Kuo and Wei{-}Li Chen and Tae Young Goh and Kenny Hsieh}, title = {A 56Gb/s Long Reach Fully Adaptive Wireline {PAM-4} Transceiver in 7nm FinFET}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {270}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778051}, doi = {10.23919/VLSIC.2019.8778051}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/PfaffMGCWPARAHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-04463, author = {Farzin Salek and Min{-}Hsiu Hsieh and Javier R. Fonollosa}, title = {Publicness, Privacy and Confidentiality in the Single-Serving Quantum Broadcast Channel}, journal = {CoRR}, volume = {abs/1903.04463}, year = {2019}, url = {http://arxiv.org/abs/1903.04463}, eprinttype = {arXiv}, eprint = {1903.04463}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-04463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-01200, author = {Jun{-}Ting Hsieh and Shengjia Zhao and Stephan Eismann and Lucia Mirabella and Stefano Ermon}, title = {Learning Neural {PDE} Solvers with Convergence Guarantees}, journal = {CoRR}, volume = {abs/1906.01200}, year = {2019}, url = {http://arxiv.org/abs/1906.01200}, eprinttype = {arXiv}, eprint = {1906.01200}, timestamp = {Thu, 13 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-01200.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04435, author = {Fabio Miranda and Harish Doraiswamy and Marcos Lage and Luc Wilson and Mondrian Hsieh and Cl{\'{a}}udio T. Silva}, title = {Shadow Accrual Maps: Efficient Accumulation of City-Scale Shadows Over Time}, journal = {CoRR}, volume = {abs/1907.04435}, year = {2019}, url = {http://arxiv.org/abs/1907.04435}, eprinttype = {arXiv}, eprint = {1907.04435}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-10773, author = {Minhao Cheng and Simranjit Singh and Patrick H. Chen and Pin{-}Yu Chen and Sijia Liu and Cho{-}Jui Hsieh}, title = {Sign-OPT: {A} Query-Efficient Hard-label Adversarial Attack}, journal = {CoRR}, volume = {abs/1909.10773}, year = {2019}, url = {http://arxiv.org/abs/1909.10773}, eprinttype = {arXiv}, eprint = {1909.10773}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-10773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-01557, author = {Ritwika Ghosh and Joao P. Jansch{-}Porto and Chiao Hsieh and Amelia Gosse and Minghao Jiang and Hebron Taylor and Peter Du and Sayan Mitra and Geir E. Dullerud}, title = {CyPhyHouse: {A} Programming, Simulation, and Deployment Toolchain for Heterogeneous Distributed Coordination}, journal = {CoRR}, volume = {abs/1910.01557}, year = {2019}, url = {http://arxiv.org/abs/1910.01557}, eprinttype = {arXiv}, eprint = {1910.01557}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-01557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-14540, author = {Yi{-}Wei Huang and Tzu{-}Kuan Chuang and Ni{-}Ching Lin and Yu{-}Chieh Hsiao and Pin{-}Wei Chen and Ching{-}Tang Hung and Shih{-}Hsing Liu and Hsiao{-}Sheng Chen and Ya{-}Hsiu Hsieh and Yen{-}Hsiang Huang and Yu{-}Xuan Chen and Kuan{-}Lin Chen and Ya{-}Jou Lan and Chao{-}Chun Hsu and Chun{-}Yi Lin and Jhih{-}Ying Li and Jui{-}Te Huang and Yu{-}Jen Menn and Sin{-}Kiat Lim and Kim{-}Boon Lua and Chia{-}Hung Dylan Tsai and Chi{-}Fang Chen and Hsueh{-}Cheng Wang}, title = {Team {NCTU:} Toward AI-Driving for Autonomous Surface Vehicles - From Duckietown to RobotX}, journal = {CoRR}, volume = {abs/1910.14540}, year = {2019}, url = {http://arxiv.org/abs/1910.14540}, eprinttype = {arXiv}, eprint = {1910.14540}, timestamp = {Wed, 06 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-14540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HsiehSCBS18, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Jieh{-}Haur Chen and Bevan Annuerine Badjie and Yu{-}Min Su}, title = {Developing a PSO-Based Projection Algorithm for a Porosity Detection System Using X-Ray {CT} Images of Permeable Concrete}, journal = {{IEEE} Access}, volume = {6}, pages = {64406--64415}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2877157}, doi = {10.1109/ACCESS.2018.2877157}, timestamp = {Wed, 26 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HsiehSCBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/KularatneBH18, author = {Dhanushka Kularatne and Subhrajit Bhattacharya and M. Ani Hsieh}, title = {Going with the flow: a graph based approach to optimal path planning in general flows}, journal = {Auton. Robots}, volume = {42}, number = {7}, pages = {1369--1387}, year = {2018}, url = {https://doi.org/10.1007/s10514-018-9741-6}, doi = {10.1007/S10514-018-9741-6}, timestamp = {Sat, 11 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/KularatneBH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChangHL18, author = {Shan{-}Mei Chang and Grace M. Y. Hsieh and Sunny S. J. Lin}, title = {The mediation effects of gaming motives between game involvement and problematic Internet use: Escapism, advancement and socializing}, journal = {Comput. Educ.}, volume = {122}, pages = {43--53}, year = {2018}, url = {https://doi.org/10.1016/j.compedu.2018.03.007}, doi = {10.1016/J.COMPEDU.2018.03.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/ChangHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KekadeHIAKLA18, author = {Shwetambara Kekade and Chung{-}Ho Hsieh and Md. Mohaimenul Islam and Suleman Atique and Abdulwahed Mohammed Khalfan and Yu{-}Chuan Li and Syed Abdul Shabbir}, title = {The usefulness and actual use of wearable devices among the elderly population}, journal = {Comput. Methods Programs Biomed.}, volume = {153}, pages = {137--159}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2017.10.008}, doi = {10.1016/J.CMPB.2017.10.008}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KekadeHIAKLA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/MajidiHY18, author = {Mohammad Ali Majidi and Chien{-}Shu Hsieh and Hadi Sadoghi Yazdi}, title = {Kalman Filter Reinforced by Least Mean Square for Systems with Unknown Inputs}, journal = {Circuits Syst. Signal Process.}, volume = {37}, number = {11}, pages = {4955--4972}, year = {2018}, url = {https://doi.org/10.1007/s00034-018-0792-x}, doi = {10.1007/S00034-018-0792-X}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/MajidiHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HsiehLHS18, author = {Jui{-}Hung Hsieh and Rong{-}Choi Lee and King{-}Chu Hung and Meng{-}Ju Shih}, title = {Rapid and coding-efficient {SPIHT} algorithm for wavelet-based {ECG} data compression}, journal = {Integr.}, volume = {60}, pages = {248--256}, year = {2018}, url = {https://doi.org/10.1016/j.vlsi.2017.10.006}, doi = {10.1016/J.VLSI.2017.10.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HsiehLHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenHHHLM18, author = {Ling{-}Jyh Chen and Yao{-}Hua Ho and Hsin{-}Hung Hsieh and Shih{-}Ting Huang and Hu{-}Cheng Lee and Sachit Mahajan}, title = {{ADF:} An Anomaly Detection Framework for Large-Scale {PM2.5} Sensing Systems}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {2}, pages = {559--570}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2017.2766085}, doi = {10.1109/JIOT.2017.2766085}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChenHHHLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/HsiehHW18, author = {Chaur{-}Heh Hsieh and Mao{-}Hsiung Hung and Shiuh{-}Ku Weng}, title = {Visual Object Tracking Based on Color and Implicit Shape Features}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {9}, number = {1}, pages = {198--210}, year = {2018}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2018/vol9/JIH-MSP-2018-01-020.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/HsiehHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/GruberHSEFAR18, author = {Matthias J. Gruber and Liang{-}Tien Hsieh and Bernhard P. Staresina and Christian Erich Elger and J{\"{u}}rgen Fell and Nikolai Axmacher and Charan Ranganath}, title = {Theta Phase Synchronization between the Human Hippocampus and Prefrontal Cortex Increases during Encoding of Unexpected Information: {A} Case Study}, journal = {J. Cogn. Neurosci.}, volume = {30}, number = {11}, year = {2018}, url = {https://doi.org/10.1162/jocn\_a\_01302}, doi = {10.1162/JOCN\_A\_01302}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/GruberHSEFAR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/PerngHMC18, author = {Jau{-}Woei Perng and Shan{-}Chang Hsieh and Li{-}Shan Ma and Guan{-}Yan Chen}, title = {Design of robust {PI} control systems based on sensitivity analysis and genetic algorithms}, journal = {Neural Comput. Appl.}, volume = {29}, number = {4}, pages = {913--923}, year = {2018}, url = {https://doi.org/10.1007/s00521-016-2506-2}, doi = {10.1007/S00521-016-2506-2}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/PerngHMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/HsiehS18, author = {Han{-}Lin Hsieh and Maryam M. Shanechi}, title = {Optimizing the learning rate for adaptive estimation of neural encoding models}, journal = {PLoS Comput. Biol.}, volume = {14}, number = {5}, year = {2018}, url = {https://doi.org/10.1371/journal.pcbi.1006168}, doi = {10.1371/JOURNAL.PCBI.1006168}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/HsiehS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KularatneBH18, author = {Dhanushka Kularatne and Subhrajit Bhattacharya and M. Ani Hsieh}, title = {Optimal Path Planning in Time-Varying Flows Using Adaptive Discretization}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {1}, pages = {458--465}, year = {2018}, url = {https://doi.org/10.1109/LRA.2017.2761939}, doi = {10.1109/LRA.2017.2761939}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KularatneBH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HsiehSH18, author = {Jui{-}Hung Hsieh and Meng{-}Ju Shih and Xin{-}Hao Huang}, title = {Algorithm and {VLSI} Architecture Design of Low-Power {SPIHT} Decoder for mHealth Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {6}, pages = {1450--1457}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2871184}, doi = {10.1109/TBCAS.2018.2871184}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HsiehSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChouCJCWYCCHCT18, author = {Ting{-}I Chou and Kwuang{-}Han Chang and Jia{-}Yin Jhang and Shih{-}Wen Chiu and Guoxing Wang and Chia{-}Hsiang Yang and Herming Chiueh and Hsin Chen and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Kea{-}Tiong Tang}, title = {A 1-V 2.6-mW Environmental Compensated Fully Integrated Nose-on-a-Chip}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {10}, pages = {1365--1369}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2854588}, doi = {10.1109/TCSII.2018.2854588}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChouCJCWYCCHCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/LinLHSC18, author = {Shih{-}Chun Lin and Chien{-}Chi Liu and Min{-}Yen Hsieh and Shih{-}Tang Su and Wei{-}Ho Chung}, title = {Coded Quickest Classification With Applications in Bandwidth-Efficient Smart Grid Monitoring}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {13}, number = {12}, pages = {3122--3136}, year = {2018}, url = {https://doi.org/10.1109/TIFS.2018.2837658}, doi = {10.1109/TIFS.2018.2837658}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/LinLHSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HsiehHLS18, author = {Jui{-}Hung Hsieh and King{-}Chu Hung and Yu{-}Ling Lin and Meng{-}Ju Shih}, title = {A Speed- and Power-Efficient {SPIHT} Design for Wearable Quality-On-Demand {ECG} Applications}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {5}, pages = {1456--1465}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2773097}, doi = {10.1109/JBHI.2017.2773097}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/HsiehHLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/HsiehOACCSGJ18, author = {Yi{-}Ta Hsieh and Valeria Orso and Salvatore Andolina and Manuela Canaveras and Diogo Cabral and Anna Spagnolli and Luciano Gamberini and Giulio Jacucci}, editor = {Ilpo Koskinen and Youn{-}Kyung Lim and Teresa Cerratto Pargman and Kenny K. N. Chow and William Odom}, title = {Interweaving Visual and Audio-Haptic Augmented Reality for Urban Exploration}, booktitle = {Proceedings of the 2018 on Designing Interactive Systems Conference 2018, {DIS} 2018, Hong Kong, China, June 09-13, 2018}, pages = {215--226}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196709.3196733}, doi = {10.1145/3196709.3196733}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/HsiehOACCSGJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/BallingerHSSWTM18, author = {Brandon Ballinger and Johnson Hsieh and Avesh Singh and Nimit Sohoni and Jack Wang and Geoffrey H. Tison and Gregory M. Marcus and Jose M. Sanchez and Carol Maguire and Jeffrey E. Olgin and Mark J. Pletcher}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {DeepHeart: Semi-Supervised Sequence Learning for Cardiovascular Risk Prediction}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {2079--2086}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11891}, doi = {10.1609/AAAI.V32I1.11891}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/BallingerHSSWTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/HsiehOC18, author = {Min{-}Wei Hsieh and Hayato Ohwada and Sheng{-}I Chen}, editor = {Amarda Shehu and Cathy H. Wu and Christina Boucher and Jing Li and Hongfang Liu and Mihai Pop}, title = {Practical Feature Selection for Lung Cancer Gene Detection}, booktitle = {Proceedings of the 2018 {ACM} International Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} 2018, Washington, DC, USA, August 29 - September 01, 2018}, pages = {522}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3233547.3233631}, doi = {10.1145/3233547.3233631}, timestamp = {Mon, 10 Jun 2024 20:41:10 +0200}, biburl = {https://dblp.org/rec/conf/bcb/HsiehOC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/AgapieCPOWHM18, author = {Elena Agapie and Bonnie Chinh and Laura R. Pina and Diana Oviedo and Molly C. Welsh and Gary Hsieh and Sean Munson}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Crowdsourcing Exercise Plans Aligned with Expert Guidelines and Everyday Constraints}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {324}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173898}, doi = {10.1145/3173574.3173898}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/AgapieCPOWHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaisc/SinagaHBY18, author = {Kristina P. Sinaga and June{-}Nan Hsieh and Josephine Bernadette M. Benjamin and Miin{-}Shen Yang}, editor = {Leszek Rutkowski and Rafal Scherer and Marcin Korytkowski and Witold Pedrycz and Ryszard Tadeusiewicz and Jacek M. Zurada}, title = {Modified Relational Mountain Clustering Method}, booktitle = {Artificial Intelligence and Soft Computing - 17th International Conference, {ICAISC} 2018, Zakopane, Poland, June 3-7, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10841}, pages = {690--701}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91253-0\_64}, doi = {10.1007/978-3-319-91253-0\_64}, timestamp = {Thu, 23 Jun 2022 19:57:15 +0200}, biburl = {https://dblp.org/rec/conf/icaisc/SinagaHBY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HsiehCSC18, author = {Yu{-}Heng Hsieh and Chun{-}Chieh Chen and Hong{-}Han Shuai and Ming{-}Syan Chen}, title = {Highly Parallel Sequential Pattern Mining on a Heterogeneous Platform}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2018, Singapore, November 17-20, 2018}, pages = {1037--1042}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDM.2018.00131}, doi = {10.1109/ICDM.2018.00131}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdm/HsiehCSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenLHW18, author = {Sih{-}Huei Chen and Yuan{-}Shan Lee and Min{-}Che Hsieh and Jia{-}Ching Wang}, title = {Playing Technique Classification Based on Deep Collaborative Learning of Variational Auto-Encoder and Gaussian Process}, booktitle = {2018 {IEEE} International Conference on Multimedia and Expo, {ICME} 2018, San Diego, CA, USA, July 23-27, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICME.2018.8486467}, doi = {10.1109/ICME.2018.8486467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenLHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KangarshahiHSC18, author = {Ehsan Asadi Kangarshahi and Ya{-}Ping Hsieh and Mehmet Fatih Sahin and Volkan Cevher}, editor = {Jennifer G. Dy and Andreas Krause}, title = {Let's be Honest: An Optimal No-Regret Framework for Zero-Sum Games}, booktitle = {Proceedings of the 35th International Conference on Machine Learning, {ICML} 2018, Stockholmsm{\"{a}}ssan, Stockholm, Sweden, July 10-15, 2018}, series = {Proceedings of Machine Learning Research}, volume = {80}, pages = {2493--2501}, publisher = {{PMLR}}, year = {2018}, url = {http://proceedings.mlr.press/v80/kangarshahi18a.html}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KangarshahiHSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/TsaiSHC18, author = {Chun{-}Ming Tsai and Tawei David Shou and Jun{-}Wei Hsieh and Mu{-}Tsai Chang}, title = {Binarization of Call Number Images For Helping Elderly Retired Volunteer to Manage Books in Library}, booktitle = {2018 International Conference on Machine Learning and Cybernetics, {ICMLC} 2018, Chengdu, China, July 15-18, 2018}, pages = {456--461}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICMLC.2018.8527062}, doi = {10.1109/ICMLC.2018.8527062}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/TsaiSHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChangCCHHHHLLSS18, author = {Andy R. K. Chang and Yu{-}Ling Chen and Po{-}Yu Chou and Yen{-}Zhou Huang and Hung{-}Chang Hsiao and Tsung{-}Ting Hsieh and Michael Hsu and Chia{-}Chee Lee and Hsin{-}Yin Lee and Yun{-}Chi Shih and Wei{-}An Shih and Chien{-}Hsiang Tang and Chia{-}Ping Tsai and Kuan{-}Po Tseng}, title = {The Case of Big Data Platform Services for Semiconductor Wafer Fabrication Foundries}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {41--45}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539541}, doi = {10.1109/ICTC.2018.8539541}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChangCCHHHHLLSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YaoZYHKAMSFB18, author = {Jianhua Yao and Robert Zhu and Pomi Yun and Nathan Hsieh and William Kovacs and Andrew E. Arai and Ami Mankodi and Ronald M. Summers and A. Reghan Foley and Carsten G. B{\"{o}}nnemann}, title = {Tracking diaphragm and chest wall movement on cine-MRI}, booktitle = {15th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2018, Washington, DC, USA, April 4-7, 2018}, pages = {1301--1304}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISBI.2018.8363810}, doi = {10.1109/ISBI.2018.8363810}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YaoZYHKAMSFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/SalekAH0F18, author = {Farzin Salek and Anurag Anshu and Min{-}Hsiu Hsieh and Rahul Jain and Javier R. Fonollosa}, title = {One-shot Capacity Bounds on the Simultaneous Transmission of Public and Private Information Over Quantum Channels}, booktitle = {2018 {IEEE} International Symposium on Information Theory, {ISIT} 2018, Vail, CO, USA, June 17-22, 2018}, pages = {296--300}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIT.2018.8437856}, doi = {10.1109/ISIT.2018.8437856}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/SalekAH0F18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ZhuZHS18, author = {Elton Yechao Zhu and Quntao Zhuang and Min{-}Hsiu Hsieh and Peter W. Shor}, title = {Superadditivity in Trade-Off Capacities of Quantum Channels}, booktitle = {2018 {IEEE} International Symposium on Information Theory, {ISIT} 2018, Vail, CO, USA, June 17-22, 2018}, pages = {251--255}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISIT.2018.8437934}, doi = {10.1109/ISIT.2018.8437934}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/ZhuZHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TamMHIRSQCWHVW18, author = {Simon M. Tam and Harry Muljono and Min Huang and Sitaraman Iyer and Kalapi Royneogi and Nagmohan Satti and Rizwan Qureshi and Wei Chen and Tom Wang and Hubert Hsieh and Sujal Vora and Eddie Wang}, title = {SkyLake-SP: {A} 14nm 28-Core xeon{\textregistered} processor}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {34--36}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310170}, doi = {10.1109/ISSCC.2018.8310170}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TamMHIRSQCWHVW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JahaniCHWPDK18, author = {Nariman Jahani and Eric A. Cohen and Meng{-}Kang Hsieh and Susan P. Weinstein and Lauren Pantalone and Christos Davatzikos and Despina Kontos}, editor = {Nicholas A. Petrick and Kensaku Mori}, title = {Deformable image registration as a tool to improve survival prediction after neoadjuvant chemotherapy for breast cancer: results from the {ACRIN} 6657/I-SPY-1 trial}, booktitle = {Medical Imaging 2018: Computer-Aided Diagnosis, Houston, Texas, USA, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10575}, pages = {105752S}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293720}, doi = {10.1117/12.2293720}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/JahaniCHWPDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/WuPHDLZSMP18, author = {Jimmy Wu and Diondra Peck and Scott Hsieh and Vandana Dialani and Constance D. Lehman and Bolei Zhou and Vasilis Syrgkanis and Lester W. Mackey and Genevieve Patterson}, editor = {Nicholas A. Petrick and Kensaku Mori}, title = {Expert identification of visual primitives used by CNNs during mammogram classification}, booktitle = {Medical Imaging 2018: Computer-Aided Diagnosis, Houston, Texas, USA, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10575}, pages = {105752T}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293890}, doi = {10.1117/12.2293890}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/WuPHDLZSMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/HsiehHTWZUVH18, author = {Yu{-}Chen Hsieh and Hua{-}Jun Hong and Pei{-}Hsuan Tsai and Yu{-}Rong Wang and Qiuxi Zhu and Md. Yusuf Sarwar Uddin and Nalini Venkatasubramanian and Cheng{-}Hsin Hsu}, title = {Managed edge computing on Internet-of-Things devices for smart city applications}, booktitle = {2018 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2018, Taipei, Taiwan, April 23-27, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NOMS.2018.8406133}, doi = {10.1109/NOMS.2018.8406133}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/noms/HsiehHTWZUVH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/HsiehABVBPGM18, author = {Kevin Hsieh and Ganesh Ananthanarayanan and Peter Bod{\'{\i}}k and Shivaram Venkataraman and Paramvir Bahl and Matthai Philipose and Phillip B. Gibbons and Onur Mutlu}, editor = {Andrea C. Arpaci{-}Dusseau and Geoff Voelker}, title = {Focus: Querying Large Video Datasets with Low Latency and Low Cost}, booktitle = {13th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2018, Carlsbad, CA, USA, October 8-10, 2018}, pages = {269--286}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/osdi18/presentation/hsieh}, timestamp = {Tue, 02 Feb 2021 08:06:02 +0100}, biburl = {https://dblp.org/rec/conf/osdi/HsiehABVBPGM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qrs/ChangMHW18, author = {Shu{-}Hao Chang and Sanoop Mallissery and Chih{-}Hao Hsieh and Yu{-}Sung Wu}, title = {Hypervisor-Based Sensitive Data Leakage Detector}, booktitle = {2018 {IEEE} International Conference on Software Quality, Reliability and Security, {QRS} 2018, Lisbon, Portugal, July 16-20, 2018}, pages = {155--162}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/QRS.2018.00029}, doi = {10.1109/QRS.2018.00029}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/qrs/ChangMHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/LinHDZSXLLWYCZ18, author = {Qingwei Lin and Ken Hsieh and Yingnong Dang and Hongyu Zhang and Kaixin Sui and Yong Xu and Jian{-}Guang Lou and Chenggang Li and Youjiang Wu and Randolph Yao and Murali Chintalapati and Dongmei Zhang}, editor = {Gary T. Leavens and Alessandro Garcia and Corina S. Pasareanu}, title = {Predicting Node failure in cloud service systems}, booktitle = {Proceedings of the 2018 {ACM} Joint Meeting on European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/SIGSOFT} {FSE} 2018, Lake Buena Vista, FL, USA, November 04-09, 2018}, pages = {480--490}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3236024.3236060}, doi = {10.1145/3236024.3236060}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/LinHDZSXLLWYCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/MatsufujiHHSYC18, author = {Akihiro Matsufuji and Wei{-}Fen Hsieh and Hao{-}Ming Hung and Eri Shimokawara and Toru Yamaguchi and Lieu{-}Hen Chen}, title = {A Method of Action Recognition in Ego-Centric Videos by Using Object-Hand Relations}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2018, Taichung, Taiwan, November 30 - December 2, 2018}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/TAAI.2018.00021}, doi = {10.1109/TAAI.2018.00021}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/MatsufujiHHSYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KuanWSCHC18, author = {Ting{-}Kuei Kuan and Chin{-}Yang Wu and Ruei{-}Pin Shen and Chih{-}Hsien Chang and Kenny Hsieh and Mark Chen}, title = {A Digital Bang-Bang Phase-Locked Loop with Background Injection Timing Calibration and Automatic Loop Gain Control in 7NM FinFET {CMOS}}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {179--180}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502365}, doi = {10.1109/VLSIC.2018.8502365}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KuanWSCHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vric/HsiehHMASS18, author = {Rex Hsieh and Marika Higashida and Yuya Mochizuki and Takaya Asano and Akihiko Shirai and Hisashi Sato}, editor = {Simon Richir}, title = {MasQueRade: Onsite {QR} Code based {VR} Experience Evaluation System using Sanitary Mask}, booktitle = {Proceedings of the Virtual Reality International Conference - Laval Virtual, {VRIC} 2018, Laval, France, April 04-06, 2018}, pages = {25:1--25:3}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234253.3234315}, doi = {10.1145/3234253.3234315}, timestamp = {Thu, 29 Nov 2018 08:40:08 +0100}, biburl = {https://dblp.org/rec/conf/vric/HsiehHMASS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wicon/HsuHPAL18, author = {Chia{-}Ming Hsu and He{-}Yen Hsieh and Setya Widyawan Prakosa and Muhammad Zulfan Azhari and Jenq{-}Shiou Leu}, editor = {Jiann{-}Liang Chen and Ai{-}Chun Pang and Der{-}Jiunn Deng and Chun{-}Cheng Lin}, title = {Using Long-Short-Term Memory Based Convolutional Neural Networks for Network Intrusion Detection}, booktitle = {Wireless Internet - 11th {EAI} International Conference, WiCON 2018, Taipei, Taiwan, October 15-16, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {264}, pages = {86--94}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-06158-6\_9}, doi = {10.1007/978-3-030-06158-6\_9}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wicon/HsuHPAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ChenHSCHY18, author = {Mu{-}Yen Chen and Tien{-}Chi Huang and Vera Yu Shu and Chia{-}Chen Chen and Tsung{-}Che Hsieh and Neil Y. Yen}, editor = {Pierre{-}Antoine Champin and Fabien Gandon and Mounia Lalmas and Panagiotis G. Ipeirotis}, title = {Learning the Chinese Sentence Representation with {LSTM} Autoencoder}, booktitle = {Companion of the The Web Conference 2018 on The Web Conference 2018, {WWW} 2018, Lyon , France, April 23-27, 2018}, pages = {403--408}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3184558.3186355}, doi = {10.1145/3184558.3186355}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ChenHSCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-00320, author = {Saugata Ghose and Kevin Hsieh and Amirali Boroumand and Rachata Ausavarungnirun and Onur Mutlu}, title = {Enabling the Adoption of Processing-in-Memory: Challenges, Mechanisms, Future Research Directions}, journal = {CoRR}, volume = {abs/1802.00320}, year = {2018}, url = {http://arxiv.org/abs/1802.00320}, eprinttype = {arXiv}, eprint = {1802.00320}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-00320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-02511, author = {Brandon Ballinger and Johnson Hsieh and Avesh Singh and Nimit Sohoni and Jack Wang and Geoffrey H. Tison and Gregory M. Marcus and Jose M. Sanchez and Carol Maguire and Jeffrey E. Olgin and Mark J. Pletcher}, title = {DeepHeart: Semi-Supervised Sequence Learning for Cardiovascular Risk Prediction}, journal = {CoRR}, volume = {abs/1802.02511}, year = {2018}, url = {http://arxiv.org/abs/1802.02511}, eprinttype = {arXiv}, eprint = {1802.02511}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-02511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-02573, author = {Nandita Vijaykumar and Kevin Hsieh and Gennady Pekhimenko and Samira Manabi Khan and Ashish Shrestha and Saugata Ghose and Phillip B. Gibbons and Onur Mutlu}, title = {Zorua: Enhancing Programming Ease, Portability, and Performance in GPUs by Decoupling Programming Models from Resource Management}, journal = {CoRR}, volume = {abs/1802.02573}, year = {2018}, url = {http://arxiv.org/abs/1802.02573}, eprinttype = {arXiv}, eprint = {1802.02573}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-02573.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04221, author = {Ehsan Asadi Kangarshahi and Ya{-}Ping Hsieh and Mehmet Fatih Sahin and Volkan Cevher}, title = {Let's be honest: An optimal no-regret framework for zero-sum games}, journal = {CoRR}, volume = {abs/1802.04221}, year = {2018}, url = {http://arxiv.org/abs/1802.04221}, eprinttype = {arXiv}, eprint = {1802.04221}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04221.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-04858, author = {Jimmy Wu and Diondra Peck and Scott Hsieh and Vandana Dialani and Constance D. Lehman and Bolei Zhou and Vasilis Syrgkanis and Lester W. Mackey and Genevieve Patterson}, title = {Expert identification of visual primitives used by CNNs during mammogram classification}, journal = {CoRR}, volume = {abs/1803.04858}, year = {2018}, url = {http://arxiv.org/abs/1803.04858}, eprinttype = {arXiv}, eprint = {1803.04858}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-04858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-02498, author = {Nandita Vijaykumar and Kevin Hsieh and Gennady Pekhimenko and Samira Manabi Khan and Ashish Shrestha and Saugata Ghose and Adwait Jog and Phillip B. Gibbons and Onur Mutlu}, title = {Decoupling {GPU} Programming Models from Resource Management for Enhanced Programming Ease, Portability, and Performance}, journal = {CoRR}, volume = {abs/1805.02498}, year = {2018}, url = {http://arxiv.org/abs/1805.02498}, eprinttype = {arXiv}, eprint = {1805.02498}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-02498.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-03154, author = {Kevin K. Chang and Abhijith Kashyap and Hasan Hassan and Saugata Ghose and Kevin Hsieh and Donghyuk Lee and Tianshi Li and Gennady Pekhimenko and Samira Manabi Khan and Onur Mutlu}, title = {Flexible-Latency {DRAM:} Understanding and Exploiting Latency Variation in Modern {DRAM} Chips}, journal = {CoRR}, volume = {abs/1805.03154}, year = {2018}, url = {http://arxiv.org/abs/1805.03154}, eprinttype = {arXiv}, eprint = {1805.03154}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-03154.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11867, author = {Chao{-}Chun Hsu and Szu{-}Min Chen and Ming{-}Hsun Hsieh and Lun{-}Wei Ku}, title = {Using Inter-Sentence Diverse Beam Search to Reduce Redundancy in Visual Storytelling}, journal = {CoRR}, volume = {abs/1805.11867}, year = {2018}, url = {http://arxiv.org/abs/1805.11867}, eprinttype = {arXiv}, eprint = {1805.11867}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-12323, author = {Jimmy Wu and Bolei Zhou and Diondra Peck and Scott Hsieh and Vandana Dialani and Lester W. Mackey and Genevieve Patterson}, title = {DeepMiner: Discovering Interpretable Representations for Mammogram Classification and Explanation}, journal = {CoRR}, volume = {abs/1805.12323}, year = {2018}, url = {http://arxiv.org/abs/1805.12323}, eprinttype = {arXiv}, eprint = {1805.12323}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-12323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-04465, author = {Miguel Campo and Cheng{-}Kang Hsieh and Matt Nickens and J. J. Espinoza and Abhinav Taliyan and Julie Rieger and Jean Ho and Bettina Sherick}, title = {Competitive Analysis System for Theatrical Movie Releases Based on Movie Trailer Deep Video Representation}, journal = {CoRR}, volume = {abs/1807.04465}, year = {2018}, url = {http://arxiv.org/abs/1807.04465}, eprinttype = {arXiv}, eprint = {1807.04465}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-04465.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-07104, author = {Farzin Salek and Anurag Anshu and Min{-}Hsiu Hsieh and Rahul Jain and Javier R. Fonollosa}, title = {One-shot Capacity bounds on the Simultaneous Transmission of Classical and Quantum Information}, journal = {CoRR}, volume = {abs/1809.07104}, year = {2018}, url = {http://arxiv.org/abs/1809.07104}, eprinttype = {arXiv}, eprint = {1809.07104}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-07104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-00846, author = {Yu{-}Guan Hsieh and Gang Niu and Masashi Sugiyama}, title = {Classification from Positive, Unlabeled and Biased Negative Data}, journal = {CoRR}, volume = {abs/1810.00846}, year = {2018}, url = {http://arxiv.org/abs/1810.00846}, eprinttype = {arXiv}, eprint = {1810.00846}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-00846.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-00169, author = {David Xue and Anin Sayana and Evan Darke and Kelly Shen and Jun{-}Ting Hsieh and Zelun Luo and Li{-}Jia Li and N. Lance Downing and Arnold Milstein and Li Fei{-}Fei}, title = {Vision-Based Gait Analysis for Senior Care}, journal = {CoRR}, volume = {abs/1812.00169}, year = {2018}, url = {http://arxiv.org/abs/1812.00169}, eprinttype = {arXiv}, eprint = {1812.00169}, timestamp = {Mon, 22 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-00169.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SuHWW17, author = {Mu{-}Chun Su and Yi{-}Zeng Hsieh and Chen{-}Hsu Wang and Pa{-}Chun Wang}, title = {A Jacobian Matrix-Based Learning Machine and Its Applications in Medical Diagnosis}, journal = {{IEEE} Access}, volume = {5}, pages = {20036--20045}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2677458}, doi = {10.1109/ACCESS.2017.2677458}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SuHWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/BoroumandGPHLHM17, author = {Amirali Boroumand and Saugata Ghose and Minesh Patel and Hasan Hassan and Brandon Lucia and Kevin Hsieh and Krishna T. Malladi and Hongzhong Zheng and Onur Mutlu}, title = {LazyPIM: An Efficient Cache Coherence Mechanism for Processing-in-Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {1}, pages = {46--50}, year = {2017}, url = {https://doi.org/10.1109/LCA.2016.2577557}, doi = {10.1109/LCA.2016.2577557}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/BoroumandGPHLHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/AtiqueHHINILHCS17, author = {Suleman Atique and Chung{-}Ho Hsieh and Ruei{-}Ting Hsiao and Usman Iqbal and Phung{-}Anh (Alex) Nguyen and Md. Mohaimenul Islam and Yu{-}Chuan (Jack) Li and Chien{-}Yeh Hsu and Ting{-}Wu Chuang and Syed Abdul Shabbir}, title = {Viral warts (Human Papilloma Virus) as a potential risk for breast cancer among younger females}, journal = {Comput. Methods Programs Biomed.}, volume = {144}, pages = {203--207}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2017.03.024}, doi = {10.1016/J.CMPB.2017.03.024}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/AtiqueHHINILHCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/ChinHSLCW17, author = {Yu{-}Hao Chin and Yi{-}Zeng Hsieh and Mu{-}Chun Su and Shu{-}Fang Lee and Miao{-}Wen Chen and Jia{-}Ching Wang}, title = {Music emotion recognition using PSO-based fuzzy hyper-rectangular composite neural networks}, journal = {{IET} Signal Process.}, volume = {11}, number = {7}, pages = {884--891}, year = {2017}, url = {https://doi.org/10.1049/iet-spr.2016.0021}, doi = {10.1049/IET-SPR.2016.0021}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/ChinHSLCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijais/AbdolmohammadiD17, author = {Mohammad Abdolmohammadi and Steven M. DeSimone and Tien{-}Shih Hsieh and Zhihong Wang}, title = {Factors associated with internal audit function involvement with {XBRL} implementation in public companies: An international study}, journal = {Int. J. Account. Inf. Syst.}, volume = {25}, pages = {45--56}, year = {2017}, url = {https://doi.org/10.1016/j.accinf.2017.03.002}, doi = {10.1016/J.ACCINF.2017.03.002}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijais/AbdolmohammadiD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijvr/HsiehMAHS17, author = {Rex Hsieh and Yuya Mochizuki and Takaya Asano and Marika Higashida and Akihiko Shirai}, title = {"Real Baby - Real Family" Multi-Sensory Feedback Tangible Baby {VR}}, journal = {Int. J. Virtual Real.}, volume = {17}, number = {2}, pages = {72--78}, year = {2017}, url = {https://doi.org/10.20870/IJVR.2017.17.2.2893}, doi = {10.20870/IJVR.2017.17.2.2893}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijvr/HsiehMAHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YanYKMSWSKOZMT17, author = {Shing Tak Yan and Lu Ye and Raghavendra Kulkarni and Edward Myers and Hsieh{-}Chih Shih and Hongbing Wu and Shadi Saberi and Darshan Kadia and Dizle Ozis and Lei Zhou and Eric Middleton and Joo Leong Tham}, title = {An 802.11a/b/g/n/ac {WLAN} Transceiver for 2{\texttimes}2 {MIMO} and Simultaneous Dual-Band Operation With +29 dBm P\({}_{\mbox{sat}}\) Integrated Power Amplifiers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {7}, pages = {1798--1813}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2704595}, doi = {10.1109/JSSC.2017.2704595}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YanYKMSWSKOZMT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HungMRNTVDTAGH17, author = {Shao{-}Min Hung and Dan Milea and Annadata Venkata Rukmini and Raymond P. Najjar and Joo Huang Tan and Fran{\c{c}}oise Vi{\'{e}}not and Marie Dubail and Sharon Lee Choon Tow and Tin Aung and Joshua J. Gooley and Po{-}Jang Hsieh}, title = {Cerebral neural correlates of differential melanopic photic stimulation in humans}, journal = {NeuroImage}, volume = {146}, pages = {763--769}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2016.09.061}, doi = {10.1016/J.NEUROIMAGE.2016.09.061}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HungMRNTVDTAGH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SadrawiLLHKCHAS17, author = {Muammar Sadrawi and Chien{-}Hung Lin and Yin{-}Tsong Lin and Yi{-}Ta Hsieh and Chia{-}Chun Kuo and Jen{-}Chien Chien and Koichi Haraikawa and Maysam F. Abbod and Jiann{-}Shing Shieh}, title = {Arrhythmia Evaluation in Wearable {ECG} Devices}, journal = {Sensors}, volume = {17}, number = {11}, pages = {2445}, year = {2017}, url = {https://doi.org/10.3390/s17112445}, doi = {10.3390/S17112445}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SadrawiLLHKCHAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/HsiehS17, author = {M. Ani Hsieh and Yu Sun}, title = {Guest Editorial Special Section on the Thirteenth {IEEE} International Symposium on Safety, Security, and Rescue Robotics}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {14}, number = {1}, pages = {3--4}, year = {2017}, url = {https://doi.org/10.1109/TASE.2016.2630238}, doi = {10.1109/TASE.2016.2630238}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/HsiehS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/MirandaDLZGWHS17, author = {Fabio Miranda and Harish Doraiswamy and Marcos Lage and Kai Zhao and Bruno Gon{\c{c}}alves and Luc Wilson and Mondrian Hsieh and Cl{\'{a}}udio T. Silva}, title = {Urban Pulse: Capturing the Rhythm of Cities}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {23}, number = {1}, pages = {791--800}, year = {2017}, url = {https://doi.org/10.1109/TVCG.2016.2598585}, doi = {10.1109/TVCG.2016.2598585}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/MirandaDLZGWHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/ColussoBHM17, author = {Lucas Colusso and Cynthia L. Bennett and Gary Hsieh and Sean A. Munson}, editor = {Oli H. Mival and Michael Smyth and Peter Dalsgaard}, title = {Translational Resources: Reducing the Gap Between Academic Research and {HCI} Practice}, booktitle = {Proceedings of the 2017 Conference on Designing Interactive Systems, {DIS} '17, Edinburgh, United Kingdom, June 10-14, 2017}, pages = {957--968}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3064663.3064667}, doi = {10.1145/3064663.3064667}, timestamp = {Sat, 19 Mar 2022 22:55:57 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/ColussoBHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/AbbaspourazadHS17, author = {Hamidreza Abbaspourazad and Han{-}Lin Hsieh and Maryam M. Shanechi}, editor = {Michael B. Matthews}, title = {Multiscale modeling of dependencies between spikes and fields}, booktitle = {51st Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2017, Pacific Grove, CA, USA, October 29 - November 1, 2017}, pages = {719--723}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ACSSC.2017.8335438}, doi = {10.1109/ACSSC.2017.8335438}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/AbbaspourazadHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeiSGPMACH17, author = {Lily Lei and Vishwas Shetty and Karan Gupta and Janine Polifka and Glen Markham and Sarah Albee and Carol Collins and Gary Hsieh}, title = {Exploring the Design and Role of Mobile Apps for Healthcare Providers to Find Teratogenic Information}, booktitle = {{AMIA} 2017, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 4-8, 2017}, publisher = {{AMIA}}, year = {2017}, url = {https://knowledge.amia.org/65881-amiab-1.4254737/t003-1.4258387/f003-1.4258388/2732286-1.4258653/2719470-1.4258650}, timestamp = {Wed, 17 Apr 2024 11:47:24 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeiSGPMACH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/FarhoodiSHHHJ17, author = {Roshanak Farhoodi and Max Shelbourne and Rebecca Hsieh and Nurit Haspel and Brian Hutchinson and Filip Jagodzinski}, editor = {Nurit Haspel and Lenore J. Cowen and Amarda Shehu and Tamer Kahveci and Giuseppe Pozzi}, title = {Predicting the Effect of Point Mutations on Protein Structural Stability}, booktitle = {Proceedings of the 8th {ACM} International Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} 2017, Boston, MA, USA, August 20-23, 2017}, pages = {247--252}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3107411.3107492}, doi = {10.1145/3107411.3107492}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcb/FarhoodiSHHHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HongSLHC17, author = {Hsiang{-}Ting Hong and Tzu{-}Yu Su and Po{-}Hsun Lee and Ping{-}Chun Hsieh and Mian{-}Jhong Chiu}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {VisualLink: Strengthening the Connection between Hearing-impaired Elderly and their Family}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {67--73}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3049269}, doi = {10.1145/3027063.3049269}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HongSLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WuSCHC17, author = {Chin{-}Yang Wu and Ruei{-}Pin Shen and Chih{-}Hsien Chang and Kenny Hsieh and Mark Chen}, title = {A 0.031mm\({}^{\mbox{2}}\), 910fs, 0.5-4GHz injection type {SOC} {PLL} with 90dB built-in supply noise rejection in 10nm FinFET {CMOS}}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993676}, doi = {10.1109/CICC.2017.7993676}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WuSCHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HsiehWPS17, author = {Han{-}Lin Hsieh and Yan Tat Wong and Bijan Pesaran and Maryam Modir Shanechi}, title = {Multiscale decoding for reliable brain-machine interface performance over time}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {197--200}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8036796}, doi = {10.1109/EMBC.2017.8036796}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HsiehWPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SiZKMDH17, author = {Si Si and Huan Zhang and S. Sathiya Keerthi and Dhruv Mahajan and Inderjit S. Dhillon and Cho{-}Jui Hsieh}, editor = {Doina Precup and Yee Whye Teh}, title = {Gradient Boosted Decision Trees for High Dimensional Sparse Output}, booktitle = {Proceedings of the 34th International Conference on Machine Learning, {ICML} 2017, Sydney, NSW, Australia, 6-11 August 2017}, series = {Proceedings of Machine Learning Research}, volume = {70}, pages = {3182--3190}, publisher = {{PMLR}}, year = {2017}, url = {http://proceedings.mlr.press/v70/si17a.html}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SiZKMDH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/HsiehSJ17, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Yu{-}Lin Jeng}, editor = {Tien{-}Chi Huang and Rynson W. H. Lau and Yueh{-}Min Huang and Marc Spaniol and Chun{-}Hung Yuen}, title = {The Jacobian Matrix-Based Learning Machine in Student}, booktitle = {Emerging Technologies for Education - Second International Symposium, {SETE} 2017, Held in Conjunction with {ICWL} 2017, Cape Town, South Africa, September 20-22, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {469--474}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71084-6\_55}, doi = {10.1007/978-3-319-71084-6\_55}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/HsiehSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SyuYCLHCHY17, author = {Fu{-}Ciao Syu and Shang{-}Che Yeh and Yu{-}Chen Chang and Jing{-}Yuan Lin and Yao{-}Ching Hsieh and Huang{-}Jen Chiu and Masahide Hojo and Kenji Yamanaka}, title = {Design and implementation of 1 MHz active-clamped resonant flyback converter}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {4438--4442}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8216764}, doi = {10.1109/IECON.2017.8216764}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/SyuYCLHCHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/GhoshKBHNBFMLK17, author = {Chayanjit Ghosh and Shakir{-}Ul Khan and Samuel John Broadbent and Hao{-}Chieh Hsieh and Seungbeom Noh and Aishwaryadev Banerjee and Navid Farhoudi and Carlos H. Mastrangelo and Ryan Looper and Hanseup Kim}, title = {Nano-gap vapor sensor}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8234278}, doi = {10.1109/ICSENS.2017.8234278}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/GhoshKBHNBFMLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/PeineltLH17, author = {Nicole Peinelt and Maria Liakata and Shu{-}Kai Hsieh}, editor = {Seong{-}Bae Park and Thepchai Supnithi}, title = {ClassifierGuesser: {A} Context-based Classifier Prediction System for Chinese Language Learners}, booktitle = {Proceedings of the {IJCNLP} 2017, Tapei, Taiwan, November 27 - December 1, 2017, System Demonstrations}, pages = {41--44}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://aclanthology.org/I17-3011/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/PeineltLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ClintonCLLWYHWY17, author = {Michael Clinton and Hank Cheng and Hung{-}Jen Liao and Robin Lee and Ching{-}Wei Wu and Johnny Yang and Hau{-}Tai Hsieh and Frank Wu and Jung{-}Ping Yang and Atul Katoch and Arun Achyuthan and Donald Mikan and Bryan Sheffield and Jonathan Chang}, title = {12.3 {A} low-power and high-performance 10nm {SRAM} architecture for mobile applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {210--211}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870335}, doi = {10.1109/ISSCC.2017.7870335}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ClintonCLLWYHWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiYCLLHCS17, author = {Chao{-}Chieh Li and Min{-}Shueh Yuan and Chih{-}Hsien Chang and Yu{-}Tso Lin and Chia{-}Chun Liao and Kenny Hsieh and Mark Chen and Robert Bogdan Staszewski}, title = {19.6 {A} 0.2V trifilar-coil {DCO} with {DC-DC} converter in 16nm FinFET {CMOS} with 188dB FOM, 1.3kHz resolution, and frequency pushing of 38MHz/V for energy harvesting applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {332--333}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870396}, doi = {10.1109/ISSCC.2017.7870396}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiYCLLHCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MairWWKTGLSGLTL17, author = {Hugh Mair and Ericbill Wang and Alice Wang and Ping Kao and Yuwen Tsai and Sumanth Gururajarao and Rolf Lagerquist and Jin Son and Gordon Gammie and Gordon Lin and Achuta Thippana and Kent Li and Manzur Rahman and Wuan Kuo and David Yen and Yi{-}Chang Zhuang and Ue Fu and Hung{-}Wei Wang and Mark Peng and Cheng{-}Yuh Wu and Taner Dosluoglu and Anatoly Gelman and Daniel Dia and Girishankar Gurumurthy and Tony Hsieh and W. X. Lin and Ray Tzeng and Jengding Wu and C. H. Wang and Uming Ko}, title = {3.4 {A} 10nm FinFET 2.8GHz tri-gear deca-core {CPU} complex with optimized power-delivery network for mobile SoC performance}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {56--57}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870258}, doi = {10.1109/ISSCC.2017.7870258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MairWWKTGLSGLTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TingWWWWLTYHLHH17, author = {Tah{-}Kang Joseph Ting and Gyh{-}Bin Wang and Ming{-}Hung Wang and Chun{-}Peng Wu and Chun{-}Kai Wang and Chun{-}Wei Lo and Li{-}Chin Tien and Der{-}Min Yuan and Yung{-}Ching Hsieh and Jenn{-}Shiang Lai and Wen{-}Pin Hsu and Chien{-}Chih Huang and Chi{-}Kang Chen and Yung{-}Fa Chou and Ding{-}Ming Kwai and Zhe Wang and Wei Wu and Shigeki Tomishima and Patrick Stolt and Shih{-}Lien Lu}, title = {23.9 An 8-channel 4.5Gb 180GB/s 18ns-row-latency {RAM} for the last level cache}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {404--405}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870432}, doi = {10.1109/ISSCC.2017.7870432}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TingWWWWLTYHLHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/HsiehLLY17, author = {Min{-}Shiu Hsieh and Siang{-}You Luo and Po{-}Hsiang Liao and De{-}Qiang Ye}, title = {Implementation of dynamic boundary on multiple Kalman trackings using radar}, booktitle = {20th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2017, Yokohama, Japan, October 16-19, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITSC.2017.8317677}, doi = {10.1109/ITSC.2017.8317677}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/HsiehLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsda/HsiehTLS17, author = {Jui{-}Hsien Hsieh and Ming{-}Fu Tang and Mao{-}Chao Lin and Borching Su}, title = {The effect of carrier frequency offsets on an {IDMA-UFMC} system}, booktitle = {Eighth International Workshop on Signal Design and Its Applications in Communications, {IWSDA} 2017, Sapporo, Japan, September 24-28, 2017}, pages = {89--93}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWSDA.2017.8097062}, doi = {10.1109/IWSDA.2017.8097062}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/iwsda/HsiehTLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YaoKHLS17, author = {Jianhua Yao and William Kovacs and Nathan Hsieh and Chia{-}Ying Liu and Ronald M. Summers}, editor = {Maxime Descoteaux and Lena Maier{-}Hein and Alfred M. Franz and Pierre Jannin and D. Louis Collins and Simon Duchesne}, title = {Holistic Segmentation of Intermuscular Adipose Tissues on Thigh {MRI}}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2017 - 20th International Conference, Quebec City, QC, Canada, September 11-13, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10433}, pages = {737--745}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66182-7\_84}, doi = {10.1007/978-3-319-66182-7\_84}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/YaoKHLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WeiWHPSK17, author = {Dong Wei and Susan Weinstein and Meng{-}Kang Hsieh and Lauren Pantalone and Mitchell D. Schnall and Despina Kontos}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Three-dimensional whole breast segmentation in sagittal {MR} images with dense depth field modeling and localized self-adaptation}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {1013314}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2248626}, doi = {10.1117/12.2248626}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WeiWHPSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/Al-AnwarFHTMHS17, author = {Amr Al{-}Anwar and Henrique Ferraz and Kevin Hsieh and Rohit Thazhath and Paul Martin and Jo{\~{a}}o Pedro Hespanha and Mani B. Srivastava}, editor = {Sharayu Moharir and Aditya Gopalan}, title = {{D-SLATS:} Distributed Simultaneous Localization and Time Synchronization}, booktitle = {Proceedings of the 18th {ACM} International Symposium on Mobile Ad Hoc Networking and Computing, Chennai, India, July 10-14, 2017}, pages = {14:1--14:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3084041.3084049}, doi = {10.1145/3084041.3084049}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/Al-AnwarFHTMHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mrs/SaldanaAHC017, author = {David Saldana and Renato M. Assun{\c{c}}{\~{a}}o and M. Ani Hsieh and Mario F. M. Campos and Vijay Kumar}, title = {Cooperative prediction of time-varying boundaries with a team of robots}, booktitle = {2017 International Symposium on Multi-Robot and Multi-Agent Systems (MRS), Los Angeles, CA, USA, December 4-5, 2017}, pages = {9--16}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MRS.2017.8250925}, doi = {10.1109/MRS.2017.8250925}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mrs/SaldanaAHC017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BavejaLWHZMWLLW17, author = {Prashant P. Baveja and Mingshan Li and Ding Wang and Chiuhui Hsieh and Huanlin Zhang and Ning Ma and Yi Wang and Justin Lii and Yongxuan Liang and Chong Wang and I{-}Lung Ho and Jun Zheng}, title = {56 Gb/s {PAM-4} directly modulated laser for 200G/400G data-center optical links}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937125}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BavejaLWHZMWLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HsiehMAHS17, author = {Rex Hsieh and Yuya Mochizuki and Takaya Asano and Marika Higashida and Akihiko Shirai}, title = {"Real baby - real family": {VR} entertainment baby interaction system}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} 2017, Los Angeles, CA, USA, July 30 - August 3, 2017, Emerging Technologies}, pages = {20:1--20:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3084822.3084830}, doi = {10.1145/3084822.3084830}, timestamp = {Tue, 06 Apr 2021 12:32:08 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/HsiehMAHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/MatsufujiSHSYC17, author = {Akihiro Matsufuji and Tatsuya Shiozawa and Wei{-}Fen Hsieh and Eri Sato{-}Shimokawara and Toru Yamaguchi and Lieu{-}Hen Chen}, title = {The Analysis of Nonverbal Behavior for Detecting Awkward Situation in Communication}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2017, Taipei, Taiwan, December 1-3, 2017}, pages = {118--123}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/TAAI.2017.12}, doi = {10.1109/TAAI.2017.12}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/MatsufujiSHSYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vric/MochizukiHAAHNS17, author = {Yuya Mochizuki and Rex Hsieh and Daiki Agatsuma and Takaya Asano and Marika Higashida and Tatsuya Nishikizawa and Akihiko Shirai}, title = {Real Baby - Real Family: Holdable tangible baby {VR}}, booktitle = {Proceedings of the Virtual Reality International Conference - Laval Virtual 2017, {VRIC} 2017, Laval, France, March 22-24, 2017}, pages = {4:1--4:4}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3110292.3110297}, doi = {10.1145/3110292.3110297}, timestamp = {Fri, 28 Jan 2022 16:17:04 +0100}, biburl = {https://dblp.org/rec/conf/vric/MochizukiHAAHNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BoroumandGPHLHH17, author = {Amirali Boroumand and Saugata Ghose and Minesh Patel and Hasan Hassan and Brandon Lucia and Nastaran Hajinazar and Kevin Hsieh and Krishna T. Malladi and Hongzhong Zheng and Onur Mutlu}, title = {LazyPIM: Efficient Support for Cache Coherence in Processing-in-Memory Architectures}, journal = {CoRR}, volume = {abs/1706.03162}, year = {2017}, url = {http://arxiv.org/abs/1706.03162}, eprinttype = {arXiv}, eprint = {1706.03162}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BoroumandGPHLHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KappelLHHM17, author = {David Kappel and Robert Legenstein and Stefan Habenschuss and Michael Hsieh and Wolfgang Maass}, title = {Reward-based stochastic self-configuration of neural circuits}, journal = {CoRR}, volume = {abs/1704.04238}, year = {2017}, url = {http://arxiv.org/abs/1704.04238}, eprinttype = {arXiv}, eprint = {1704.04238}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KappelLHHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-04314, author = {Elton Yechao Zhu and Quntao Zhuang and Min{-}Hsiu Hsieh and Peter W. Shor}, title = {Superadditivity in trade-off capacities of quantum channels}, journal = {CoRR}, volume = {abs/1708.04314}, year = {2017}, url = {http://arxiv.org/abs/1708.04314}, eprinttype = {arXiv}, eprint = {1708.04314}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-04314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-03906, author = {Amr Al{-}Anwar and Henrique Ferraz and Kevin Hsieh and Rohit Thazhath and Paul Martin and Jo{\~{a}}o Pedro Hespanha and Mani B. Srivastava}, title = {{D-SLATS:} Distributed Simultaneous Localization and Time Synchronization}, journal = {CoRR}, volume = {abs/1711.03906}, year = {2017}, url = {http://arxiv.org/abs/1711.03906}, eprinttype = {arXiv}, eprint = {1711.03906}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-03906.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ManWKOHP16, author = {Ruben De Man and Ge Wang and Mannudeep K. Kalra and Alexi Otrakji and Scott S. Hsieh and Norbert J. Pelc}, title = {Upper-Bound on Dose Reduction in {CT} Reconstruction for Nodule Detection}, journal = {{IEEE} Access}, volume = {4}, pages = {4247--4253}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2592941}, doi = {10.1109/ACCESS.2016.2592941}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ManWKOHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH16, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Syed Abdul Shabbir and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Wen{-}Shan Jian and Yu{-}Chuan (Jack) Li}, title = {Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial}, journal = {Comput. Methods Programs Biomed.}, volume = {132}, pages = {105--113}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.04.003}, doi = {10.1016/J.CMPB.2016.04.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/FriedmanLOHKM16, author = {Eric J. Friedman and Adam Scott Landsberg and Julia P. Owen and Wenson Hsieh and Leo Kam and Pratik Mukherjee}, title = {Edge correlations in spatial networks}, journal = {J. Complex Networks}, volume = {4}, number = {1}, pages = {1--14}, year = {2016}, url = {https://doi.org/10.1093/comnet/cnv015}, doi = {10.1093/COMNET/CNV015}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compnet/FriedmanLOHKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/HeinzCKMWFHB16, author = {Melinda Heinz and Jinmyoung Cho and Norene Kelly and Peter Martin and Johnny Wong and Warren Franke and Wen{-}Hua Hsieh and Joan Blaser}, title = {The Potential of Three Computer-Based Communication Activities for Supporting Older Adult Independent Living}, journal = {Inf.}, volume = {7}, number = {2}, pages = {26}, year = {2016}, url = {https://doi.org/10.3390/info7020026}, doi = {10.3390/INFO7020026}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/HeinzCKMWFHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ShiangHLWYMT16, author = {Tzyy{-}Yuang Shiang and Tsung{-}Yu Hsieh and Yin{-}Shin Lee and Chen{-}Chi Wu and Meng{-}Chieh Yu and Chung{-}Huan Mei and I{-}Han Tai}, title = {Determine the Foot Strike Pattern Using Inertial Sensors}, journal = {J. Sensors}, volume = {2016}, pages = {4759626:1--4759626:6}, year = {2016}, url = {https://doi.org/10.1155/2016/4759626}, doi = {10.1155/2016/4759626}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ShiangHLWYMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsiehCLC16, author = {Min{-}Han Hsieh and Liang{-}Hsin Chen and Shen{-}Iuan Liu and Charlie Chung{-}Ping Chen}, title = {A 6.7 MHz to 1.24 GHz 0.0318 mm \({}^{\mbox{2}}\) Fast-Locking All-Digital {DLL} Using Phase-Tracing Delay Unit in 90 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {2}, pages = {412--427}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2494603}, doi = {10.1109/JSSC.2015.2494603}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HsiehCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuCCWSPHCHTPYUW16, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Kun Tan and Aravind Padyana and Vincent Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Bryan Juo{-}Jung Hung and Massimo Brandolini and Maco Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young J. Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hanson Hung{-}Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Ray Gomez}, title = {A 2.7 mW/Channel 48-1000 MHz Direct Sampling Full-Band Cable Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {845--859}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2511164}, doi = {10.1109/JSSC.2015.2511164}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuCCWSPHCHTPYUW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/AungAHRYPEC16, author = {Min S. Hane Aung and Faisal Alquaddoomi and Cheng{-}Kang Hsieh and Mashfiqui Rabbi and Longqi Yang and John P. Pollak and Deborah Estrin and Tanzeem Choudhury}, title = {Leveraging Multi-Modal Sensing for Mobile Health: {A} Case Review in Chronic Pain}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {10}, number = {5}, pages = {962--974}, year = {2016}, url = {https://doi.org/10.1109/JSTSP.2016.2565381}, doi = {10.1109/JSTSP.2016.2565381}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/AungAHRYPEC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangSKHJCHL16, author = {Kai{-}Yao Huang and Min{-}Gang Su and Hui{-}Ju Kao and Yun{-}Chung Hsieh and Jhih{-}Hua Jhong and Kuang{-}Hao Cheng and Hsien{-}Da Huang and Tzong{-}Yi Lee}, title = {dbPTM 2016: 10-year anniversary of a resource for post-translational modification of proteins}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {435--446}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1240}, doi = {10.1093/NAR/GKV1240}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangSKHJCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/HsiehS16, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su}, title = {A Q-learning-based swarm optimization algorithm for economic dispatch problem}, journal = {Neural Comput. Appl.}, volume = {27}, number = {8}, pages = {2333--2350}, year = {2016}, url = {https://doi.org/10.1007/s00521-015-2070-1}, doi = {10.1007/S00521-015-2070-1}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/HsiehS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/EavaniHAEBRD16, author = {Harini Eavani and Meng{-}Kang Hsieh and Yang An and G{\"{u}}ray Erus and Lori L. Beason{-}Held and Susan M. Resnick and Christos Davatzikos}, title = {Capturing heterogeneous group differences using mixture-of-experts: Application to a study of aging}, journal = {NeuroImage}, volume = {125}, pages = {498--514}, year = {2016}, url = {https://doi.org/10.1016/j.neuroimage.2015.10.045}, doi = {10.1016/J.NEUROIMAGE.2015.10.045}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/EavaniHAEBRD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/HsiehW16, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Channel Simulation and Coded Source Compression}, journal = {{IEEE} Trans. Inf. Theory}, volume = {62}, number = {11}, pages = {6609--6619}, year = {2016}, url = {https://doi.org/10.1109/TIT.2016.2597853}, doi = {10.1109/TIT.2016.2597853}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/HsiehW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/XuLZH16, author = {Li Xu and Limei Lin and Shuming Zhou and Sun{-}Yuan Hsieh}, title = {The Extra Connectivity, Extra Conditional Diagnosability, and t/m-Diagnosability of Arrangement Graphs}, journal = {{IEEE} Trans. Reliab.}, volume = {65}, number = {3}, pages = {1248--1262}, year = {2016}, url = {https://doi.org/10.1109/TR.2016.2570559}, doi = {10.1109/TR.2016.2570559}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/XuLZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ChouCCCTSHCYCT16, author = {Ting{-}I Chou and Shih{-}Wen Chiu and Kwuang{-}Han Chang and Yi{-}Ju Chen and Chen{-}Ting Tang and Chung{-}Hung Shih and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Chia{-}Hsiang Yang and Herming Chiueh and Kea{-}Tiong Tang}, title = {Design of a 0.5 {V} 1.68mW nose-on-a-chip for rapid screen of chronic obstructive pulmonary disease}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {592--595}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833864}, doi = {10.1109/BIOCAS.2016.7833864}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/ChouCCCTSHCYCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ColussoHM16, author = {Lucas Colusso and Gary Hsieh and Sean A. Munson}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Designing Closeness to Increase Gamers' Performance}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {3020--3024}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858206}, doi = {10.1145/2858036.2858206}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ColussoHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/EpsteinCFHM16, author = {Daniel A. Epstein and Felicia Cordeiro and James Fogarty and Gary Hsieh and Sean A. Munson}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Crumbs: Lightweight Daily Food Challenges to Promote Engagement and Mindfulness}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {5632--5644}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858044}, doi = {10.1145/2858036.2858044}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/EpsteinCFHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SuhH16, author = {Minhyang (Mia) Suh and Gary Hsieh}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Designing for Future Behaviors: Understanding the Effect of Temporal Distance on Planned Behaviors}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {1084--1096}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858591}, doi = {10.1145/2858036.2858591}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/SuhH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/PanPTH16, author = {Hsieh{-}Tsen Pan and Chiu{-}Shu Pan and Shyh{-}Chang Tsaur and Min{-}Shiang Hwang}, title = {Cryptanalysis of Efficient Dynamic {ID} Based Remote User Authentication Scheme in Multi-Server Environment Using Smart Card}, booktitle = {12th International Conference on Computational Intelligence and Security, {CIS} 2016, Wuxi, China, December 16-19, 2016}, pages = {590--593}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CIS.2016.0143}, doi = {10.1109/CIS.2016.0143}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/PanPTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/ShihH16, author = {Meng{-}Hsien Shih and Shu{-}Kai Hsieh}, editor = {Minghui Dong and Jingxia Lin and Xuri Tang}, title = {Yet Another Resource to Sketch Word Behavior in Chinese Variation}, booktitle = {Chinese Lexical Semantics - 17th Workshop, {CLSW} 2016, Singapore, Singapore, May 20-22, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10085}, pages = {325--332}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49508-8\_31}, doi = {10.1007/978-3-319-49508-8\_31}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/clsw/ShihH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/AgapieCMH16, author = {Elena Agapie and Lucas Colusso and Sean A. Munson and Gary Hsieh}, editor = {Darren Gergle and Meredith Ringel Morris and Pernille Bj{\o}rn and Joseph A. Konstan}, title = {PlanSourcing: Generating Behavior Change Plans with Friends and Crowds}, booktitle = {Proceedings of the 19th {ACM} Conference on Computer-Supported Cooperative Work {\&} Social Computing, {CSCW} 2016, San Francisco, CA, USA, February 27 - March 2, 2016}, pages = {119--133}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2818048.2819943}, doi = {10.1145/2818048.2819943}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/AgapieCMH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/BurnsHMCSLCAF16, author = {John R. Burns and Yee{-}Hsee Hsieh and Andrew Mueller and Juliette Chevallier and Tirunelveli S. Sriram and Stephen J. Lewis and Daniel Chew and Anil Achyuta and Jason Fiering}, title = {High density penetrating electrode arrays for autonomic nerves}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {2802--2805}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591312}, doi = {10.1109/EMBC.2016.7591312}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/BurnsHMCSLCAF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HsiehS16, author = {Han{-}Lin Hsieh and Maryam Modir Shanechi}, title = {Multiscale brain-machine interface decoders}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {6361--6364}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7592183}, doi = {10.1109/EMBC.2016.7592183}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HsiehS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YanYWKMSSKOZMT16, author = {Shing Tak Yan and Lu Ye and Hongbing Wu and Raghavendra Kulkarni and Edward Myers and Hsieh{-}Chih Shih and Shadi Saberi and Darshan Kadia and Dizle Ozis and Lei Zhou and Eric Middleton and Joo Leong Tham}, title = {An 802.11a/b/g/n/ac {WLAN} Transceiver for 2{\texttimes}2 {MIMO} and simultaneous dual-band operation with +29 dBm Psat integrated power amplifiers}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {121--124}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598257}, doi = {10.1109/ESSCIRC.2016.7598257}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YanYWKMSSKOZMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/MarinissenZKHHC16, author = {Erik Jan Marinissen and Yervant Zorian and Mario Konijnenburg and Chih{-}Tsun Huang and Ping{-}Hsuan Hsieh and Peter Cockburn and Jeroen Delvaux and Vladimir Rozic and Bohan Yang and Dave Singel{\'{e}}e and Ingrid Verbauwhede and Cedric Mayor and Robert Van Rijsinge and Cocoy Reyes}, title = {IoT: Source of test challenges}, booktitle = {21th {IEEE} European Test Symposium, {ETS} 2016, Amsterdam, Netherlands, May 23-27, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ETS.2016.7519331}, doi = {10.1109/ETS.2016.7519331}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/MarinissenZKHHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinHSG16, author = {Rungtai Lin and Hui Yueh Hsieh and Ming{-}Xean Sun and Ya{-}Juan Gao}, editor = {Pei{-}Luen Patrick Rau}, title = {From Ideality to Reality- a Case Study of Mondrian Style}, booktitle = {Cross-Cultural Design - 8th International Conference, {CCD} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9741}, pages = {365--376}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40093-8\_37}, doi = {10.1007/978-3-319-40093-8\_37}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinHSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936211}, doi = {10.1109/HOTCHIPS.2016.7936211}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LinTHHCYFZCLCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HsiehKVCBGM16, author = {Kevin Hsieh and Samira Manabi Khan and Nandita Vijaykumar and Kevin K. Chang and Amirali Boroumand and Saugata Ghose and Onur Mutlu}, title = {Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753257}, doi = {10.1109/ICCD.2016.7753257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HsiehKVCBGM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/HsiehYK16, author = {Shu{-}Ming Hsieh and Mao{-}Hsu Yen and Li{-}Jen Kao}, title = {Semantic-based graph data anonymization for big data analysis}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2016, Jeju Island, South Korea, July 10-13, 2016}, pages = {600--605}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICMLC.2016.7872955}, doi = {10.1109/ICMLC.2016.7872955}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/HsiehYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LaskeySHMPDG16, author = {Michael Laskey and Sam Staszak and Wesley Yu{-}Shu Hsieh and Jeffrey Mahler and Florian T. Pokorny and Anca D. Dragan and Ken Goldberg}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {{SHIV:} Reducing supervisor burden in DAgger using support vectors for efficient learning from demonstrations in high dimensional state spaces}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {462--469}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487167}, doi = {10.1109/ICRA.2016.7487167}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LaskeySHMPDG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SartorettiSH16, author = {Guillaume Sartoretti and Samuel Shaw and M. Ani Hsieh}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Distributed planar manipulation in fluidic environments}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {5322--5327}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487743}, doi = {10.1109/ICRA.2016.7487743}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/SartorettiSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangHSHTL16, author = {Wen{-}Yu Huang and Shan{-}Wen Hsiao and Hung{-}Ching Sun and Ming{-}Chuan Hsieh and Ming{-}Hsueh Tsai and Chi{-}Chun Lee}, editor = {Nelson Morgan}, title = {Enhancement of Automatic Oral Presentation Assessment System Using Latent N-Grams Word Representation and Part-of-Speech Information}, booktitle = {17th Annual Conference of the International Speech Communication Association, Interspeech 2016, San Francisco, CA, USA, September 8-12, 2016}, pages = {1432--1436}, publisher = {{ISCA}}, year = {2016}, url = {https://doi.org/10.21437/Interspeech.2016-400}, doi = {10.21437/INTERSPEECH.2016-400}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangHSHTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HsiehEKCOVMK16, author = {Kevin Hsieh and Eiman Ebrahimi and Gwangsun Kim and Niladrish Chatterjee and Mike O'Connor and Nandita Vijaykumar and Onur Mutlu and Stephen W. Keckler}, title = {Transparent Offloading and Mapping {(TOM):} Enabling Programmer-Transparent Near-Data Processing in {GPU} Systems}, booktitle = {43rd {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2016, Seoul, South Korea, June 18-22, 2016}, pages = {204--216}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCA.2016.27}, doi = {10.1109/ISCA.2016.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HsiehEKCOVMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/VijaykumarHPKSG16, author = {Nandita Vijaykumar and Kevin Hsieh and Gennady Pekhimenko and Samira Manabi Khan and Ashish Shrestha and Saugata Ghose and Adwait Jog and Phillip B. Gibbons and Onur Mutlu}, title = {Zorua: {A} holistic approach to resource virtualization in GPUs}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {15:1--15:14}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783718}, doi = {10.1109/MICRO.2016.7783718}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/VijaykumarHPKSG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/KularatneBH16, author = {Dhanushka Kularatne and Subhrajit Bhattacharya and M. Ani Hsieh}, editor = {David Hsu and Nancy M. Amato and Spring Berman and Sam Ade Jacobs}, title = {Time and Energy Optimal Path Planning in General Flows}, booktitle = {Robotics: Science and Systems XII, University of Michigan, Ann Arbor, Michigan, USA, June 18 - June 22, 2016}, year = {2016}, url = {http://www.roboticsproceedings.org/rss12/p47.html}, doi = {10.15607/RSS.2016.XII.047}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rss/KularatneBH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmap/ChenHS16, author = {Liang{-}Hua Chen and Meng{-}Chen Hsieh and Chih{-}Wen Su}, editor = {Christian Callegari and Marten van Sinderen and Panagiotis G. Sarigiannidis and Pierangela Samarati and Enrique Cabello and Pascal Lorenz and Mohammad S. Obaidat}, title = {A Spatio-temporal Approach for Video Caption Extraction}, booktitle = {Proceedings of the 13th International Joint Conference on e-Business and Telecommunications {(ICETE} 2016) - Volume 5: SIGMAP, Lisbon, Portugal, July 26-28, 2016}, pages = {83--88}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005939300830088}, doi = {10.5220/0005939300830088}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmap/ChenHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/ChangKHGHLLPKM16, author = {Kevin K. Chang and Abhijith Kashyap and Hasan Hassan and Saugata Ghose and Kevin Hsieh and Donghyuk Lee and Tianshi Li and Gennady Pekhimenko and Samira Manabi Khan and Onur Mutlu}, editor = {Sara Alouf and Alain Jean{-}Marie and Nidhi Hegde and Alexandre Prouti{\`{e}}re}, title = {Understanding Latency Variation in Modern {DRAM} Chips: Experimental Characterization, Analysis, and Optimization}, booktitle = {Proceedings of the 2016 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Science, Antibes Juan-Les-Pins, France, June 14-18, 2016}, pages = {323--336}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2896377.2901453}, doi = {10.1145/2896377.2901453}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/ChangKHGHLLPKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/HsiehHCH16, author = {Su{-}Tzu Hsieh and Ping{-}Yu Hsu and Ming{-}Shien Cheng and Hui{-}Ting Huang}, editor = {Ying Tan and Yuhui Shi and Li Li}, title = {Pushing Decision Points Backward to the Latest Possible Positions with a Workflow Log}, booktitle = {Advances in Swarm Intelligence, 7th International Conference, {ICSI} 2016, Bali, Indonesia, June 25-30, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9713}, pages = {298--305}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-41009-8\_32}, doi = {10.1007/978-3-319-41009-8\_32}, timestamp = {Tue, 11 Jul 2023 08:21:51 +0200}, biburl = {https://dblp.org/rec/conf/swarm/HsiehHCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LiTYLCHLLKHCXS16, author = {Chao{-}Chieh Li and Tsung{-}Hsien Tsai and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Kenny Hsieh and Mark Chen and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A 0.034mm\({}^{\mbox{2}}\), 725fs {RMS} jitter, 1.8{\%}/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital {PLL} in 10nm FinFET {CMOS}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573551}, doi = {10.1109/VLSIC.2016.7573551}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LiTYLCHLLKHCXS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsiehSS016, author = {M. Ani Hsieh and Srikanth Saripalli and Gaurav S. Sukhatme and Vijay Kumar}, title = {Toward a Science of Autonomy for Physical Systems: Aerial Earth Science}, journal = {CoRR}, volume = {abs/1609.05783}, year = {2016}, url = {http://arxiv.org/abs/1609.05783}, eprinttype = {arXiv}, eprint = {1609.05783}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsiehSS016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MirandaDLZGWHS16, author = {Fabio Miranda and Harish Doraiswamy and Marcos Lage and Kai Zhao and Bruno Gon{\c{c}}alves and Luc Wilson and Mondrian Hsieh and Cl{\'{a}}udio T. Silva}, title = {Urban Pulse: Capturing the Rhythm of Cities}, journal = {CoRR}, volume = {abs/1608.06949}, year = {2016}, url = {http://arxiv.org/abs/1608.06949}, eprinttype = {arXiv}, eprint = {1608.06949}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MirandaDLZGWHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/HsiehCH15, author = {Ting{-}Chu Hsieh and Sing{-}Liang Chen and Ming{-}Chien Hung}, title = {Longitudinal test of ePortfolio continuous use: an empirical study on the change of students' beliefs}, journal = {Behav. Inf. Technol.}, volume = {34}, number = {8}, pages = {838--853}, year = {2015}, url = {https://doi.org/10.1080/0144929X.2014.907344}, doi = {10.1080/0144929X.2014.907344}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/HsiehCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SeshadriHBLKMGM15, author = {Vivek Seshadri and Kevin Hsieh and Amirali Boroumand and Donghyuk Lee and Michael A. Kozuch and Onur Mutlu and Phillip B. Gibbons and Todd C. Mowry}, title = {Fast Bulk Bitwise {AND} and {OR} in {DRAM}}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {14}, number = {2}, pages = {127--131}, year = {2015}, url = {https://doi.org/10.1109/LCA.2015.2434872}, doi = {10.1109/LCA.2015.2434872}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/SeshadriHBLKMGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/EssenHAPG15, author = {Brian Van Essen and Henry Hsieh and Sasha Ames and Roger A. Pearce and Maya B. Gokhale}, title = {{DI-MMAP} - a scalable memory-map runtime for out-of-core data-intensive applications}, journal = {Clust. Comput.}, volume = {18}, number = {1}, pages = {15--28}, year = {2015}, url = {https://doi.org/10.1007/s10586-013-0309-0}, doi = {10.1007/S10586-013-0309-0}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/EssenHAPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/TsaiYHLY15, author = {T. C. Tsai and H.{-}S. Yu and M.{-}S. Hsieh and S. H. Lai and Y.{-}H. Yang}, title = {Implicit predictor-corrector central finite difference scheme for the equations of magnetohydrodynamic simulations}, journal = {Comput. Phys. Commun.}, volume = {196}, pages = {1--12}, year = {2015}, url = {https://doi.org/10.1016/j.cpc.2015.05.001}, doi = {10.1016/J.CPC.2015.05.001}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/TsaiYHLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWKH15, author = {Hua{-}Pin Chen and San{-}Fu Wang and Yi{-}Tsen Ku and Ming{-}Yuan Hsieh}, title = {Quadrature oscillators using two CFOAs and four passive components}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {2}, pages = {20141148}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20141148}, doi = {10.1587/ELEX.12.20141148}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/LenoxWLDBH15, author = {Mark W. Lenox and James Wiskin and Matthew A. Lewis and Stephen Darrouzet and David Borup and Scott Hsieh}, title = {Imaging Performance of Quantitative Transmission Ultrasound}, journal = {Int. J. Biomed. Imaging}, volume = {2015}, pages = {454028:1--454028:8}, year = {2015}, url = {https://doi.org/10.1155/2015/454028}, doi = {10.1155/2015/454028}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/LenoxWLDBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LeeLH15, author = {Shuenn{-}Yuh Lee and Ming{-}Chun Liang and Cheng{-}Han Hsieh}, title = {FFT-based calibration method for 1.5 bit/stage pipelined ADCs}, journal = {Int. J. Circuit Theory Appl.}, volume = {43}, number = {4}, pages = {455--469}, year = {2015}, url = {https://doi.org/10.1002/cta.1953}, doi = {10.1002/CTA.1953}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LeeLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/HeckmanSH15, author = {Christoffer R. Heckman and Ira B. Schwartz and M. Ani Hsieh}, title = {Toward efficient navigation in uncertain gyre-like flows}, journal = {Int. J. Robotics Res.}, volume = {34}, number = {13}, pages = {1590--1603}, year = {2015}, url = {https://doi.org/10.1177/0278364915585396}, doi = {10.1177/0278364915585396}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/HeckmanSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/HsiehSCC15, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Sherry Y. Chen and Gwo{-}Dong Chen}, title = {The development of a robot-based learning companion: a user-centered design approach}, journal = {Interact. Learn. Environ.}, volume = {23}, number = {3}, pages = {356--372}, year = {2015}, url = {https://doi.org/10.1080/10494820.2013.765895}, doi = {10.1080/10494820.2013.765895}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/HsiehSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/SarvagallaSKSLHHC15, author = {Sailu Sarvagalla and Vivek Kumar Singh and Yi{-}Yu Ke and Hui{-}Yi Shiao and Wen{-}Hsing Lin and Hsing{-}Pang Hsieh and John T. A. Hsu and Mohane Selvaraj Coumar}, title = {Identification of ligand efficient, fragment-like hits from an {HTS} library: structure-based virtual screening and docking investigations of 2H- and 3H-pyrazolo tautomers for Aurora kinase {A} selectivity}, journal = {J. Comput. Aided Mol. Des.}, volume = {29}, number = {1}, pages = {89--100}, year = {2015}, url = {https://doi.org/10.1007/s10822-014-9807-2}, doi = {10.1007/S10822-014-9807-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/SarvagallaSKSLHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/HsiehLYY15, author = {Po{-}Wen Hsieh and Ming{-}Chih Lai and Suh{-}Yuh Yang and Cheng{-}Shu You}, title = {An Unconditionally Energy Stable Penalty Immersed Boundary Method for Simulating the Dynamics of an Inextensible Interface Interacting with a Solid Particle}, journal = {J. Sci. Comput.}, volume = {64}, number = {2}, pages = {289--316}, year = {2015}, url = {https://doi.org/10.1007/s10915-014-9933-y}, doi = {10.1007/S10915-014-9933-Y}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jscic/HsiehLYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BrandoliniSRWWG15, author = {Massimo Brandolini and Young Shin and Karthik Raviprakash and Tao Wang and Rong Wu and Hemasundar Mohan Geddada and Yen{-}Jen Ko and Yen Ding and Chun{-}Sheng Huang and Wei{-}Ta Shih and Ming{-}Hung Hsieh and Wei{-}Te Chou and Tianwei Li and Ayaskant Shrivastava and Yi{-}Chun Chen and Bryan Juo{-}Jung Hung and Giuseppe Cusmai and Jiangfeng Wu and Mo M. Zhang and Yuan Yao and Greg Unruh and Ardie G. Venes and Hung Sen Huang and Chun{-}Ying Chen}, title = {A 5 GS/s 150 mW 10 b SHA-Less Pipelined/SAR Hybrid {ADC} for Direct-Sampling Systems in 28 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {12}, pages = {2922--2934}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2464684}, doi = {10.1109/JSSC.2015.2464684}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BrandoliniSRWWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DicksonLRAKHBFA15, author = {Timothy O. Dickson and Yong Liu and Sergey V. Rylov and Ankur Agrawal and Seongwon Kim and Ping{-}Hsuan Hsieh and John F. Bulzacchelli and Mark A. Ferriss and Herschel A. Ainspan and Alexander V. Rylyakov and Benjamin D. Parker and Michael P. Beakes and Christian W. Baks and Lei Shan and Young Hoon Kwark and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 1.4 pJ/bit, Power-Scalable 16{\texttimes}12 Gb/s Source-Synchronous {I/O} With {DFE} Receiver in 32 nm {SOI} {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1917--1931}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2412688}, doi = {10.1109/JSSC.2015.2412688}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/DicksonLRAKHBFA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FransCEAFTJBIUW15, author = {Yohan Frans and Declan Carey and Marc Erett and Hesam Amir Aslanzadeh and Wayne Y. Fang and Didem Turker and Anup P. Jose and Adebabay Bekele and Jay Im and Parag Upadhyaya and Zhaoyin Daniel Wu and Kenny C.{-}H. Hsieh and Jafar Savoj and Ken Chang}, title = {A 0.5-16.3 Gb/s Fully Adaptive Flexible-Reach Transceiver for {FPGA} in 20 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1932--1944}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413849}, doi = {10.1109/JSSC.2015.2413849}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FransCEAFTJBIUW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TsengKCCTH15, author = {Ming{-}Tsung Tseng and Yazhuo Kong and Ming{-}Chang Chiang and Chi{-}Chao Chao and Wen{-}Yih Isaac Tseng and Sung{-}Tsang Hsieh}, title = {Brain imaging signatures of the relationship between epidermal nerve fibers and heat pain perception}, journal = {NeuroImage}, volume = {122}, pages = {288--297}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.08.021}, doi = {10.1016/J.NEUROIMAGE.2015.08.021}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/TsengKCCTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsiehCLCCC15, author = {Meng{-}Chang Hsieh and Yi{-}Hsin Chiu and Sheng{-}Fu Lin and Jenq{-}Yang Chang and Chia{-}Ou Chang and Huihua Kenny Chiang}, title = {Amplification of the Signal Intensity of Fluorescence-Based Fiber-Optic Biosensors Using a Fabry-Perot Resonator Structure}, journal = {Sensors}, volume = {15}, number = {2}, pages = {3565--3574}, year = {2015}, url = {https://doi.org/10.3390/s150203565}, doi = {10.3390/S150203565}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HsiehCLCCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuJHYLLT15, author = {Mu{-}Chun Su and Jhih{-}Jie Jhang and Yi{-}Zeng Hsieh and Shih{-}Ching Yeh and Shih{-}Chieh Lin and Shu{-}Fang Lee and Kai{-}Ping Tseng}, title = {Depth-Sensor-Based Monitoring of Therapeutic Exercises}, journal = {Sensors}, volume = {15}, number = {10}, pages = {25628--25647}, year = {2015}, url = {https://doi.org/10.3390/s151025628}, doi = {10.3390/S151025628}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SuJHYLLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/HsiehBHC15, author = {Yu{-}Ming Hsieh and Ming{-}Hong Bai and Shu{-}Ling Huang and Keh{-}Jiann Chen}, title = {Correcting Chinese Spelling Errors with Word Lattice Decoding}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {14}, number = {4}, pages = {18:1--18:23}, year = {2015}, url = {https://doi.org/10.1145/2791389}, doi = {10.1145/2791389}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/HsiehBHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeHHLCL15, author = {Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Ming{-}Chun Liang and Shih{-}Yu Chang Chien and Kuang{-}Hao Lin}, title = {Low-Power Wireless {ECG} Acquisition and Classification System for Body Sensor Networks}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {1}, pages = {236--246}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2310354}, doi = {10.1109/JBHI.2014.2310354}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeHHLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WangHHLCLVMJGCZ15, author = {Ching{-}Wei Wang and Cheng{-}Ta Huang and Meng{-}Che Hsieh and Chung{-}Hsing Li and Sheng{-}Wei Chang and Wei{-}Cheng Li and Remy Vandaele and Rapha{\"{e}}l Mar{\'{e}}e and S{\'{e}}bastien Jodogne and Pierre Geurts and Cheng Chen and Guoyan Zheng and Chengwen Chu and Hengameh Mirzaalian and Ghassan Hamarneh and Tomaz Vrtovec and Bulat Ibragimov}, title = {Evaluation and Comparison of Anatomical Landmark Detection Methods for Cephalometric X-Ray Images: {A} Grand Challenge}, journal = {{IEEE} Trans. Medical Imaging}, volume = {34}, number = {9}, pages = {1890--1900}, year = {2015}, url = {https://doi.org/10.1109/TMI.2015.2412951}, doi = {10.1109/TMI.2015.2412951}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WangHHLCLVMJGCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SaySAHPE15, author = {Phillip R. Say and Daniel M. Stein and Jessica S. Ancker and Cheng{-}Kang Hsieh and John P. Pollak and Deborah Estrin}, title = {Smartphone Data in Rheumatoid Arthritis - What Do Rheumatologists Want?}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t004-1.2745466/f004-1.2745467/2248320-1.2745552/2248398-1.2745549}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/SaySAHPE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionetics/SaribudakDHU15, author = {Aydin Saribudak and Yiyu Dong and James Hsieh and M. {\"{U}}mit Uyar}, editor = {Junichi Suzuki and Tadashi Nakano and Henry Hess}, title = {Bio-inspired Computation Approach for Tumor Growth with Spatial Randomness Analysis of Kidney Cancer Xenograft Pathology Slides}, booktitle = {{BICT} 2015, Proceedings of the 9th {EAI} International Conference on Bio-inspired Information and Communications Technologies (formerly BIONETICS), New York City, United States, December 3-5, 2015}, pages = {453--460}, publisher = {{ICST/ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2954797}, timestamp = {Sat, 04 Feb 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bionetics/SaribudakDHU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/DiganiHSS15, author = {Valerio Digani and M. Ani Hsieh and Lorenzo Sabattini and Cristian Secchi}, title = {A Quadratic Programming approach for coordinating multi-AGV systems}, booktitle = {{IEEE} International Conference on Automation Science and Engineering, {CASE} 2015, Gothenburg, Sweden, August 24-28, 2015}, pages = {600--605}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CoASE.2015.7294144}, doi = {10.1109/COASE.2015.7294144}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/DiganiHSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuangSHH15, author = {Shih{-}Wen Huang and Minhyang (Mia) Suh and Benjamin Mako Hill and Gary Hsieh}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {How Activists Are Both Born and Made: An Analysis of Users on Change.org}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {211--220}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702559}, doi = {10.1145/2702123.2702559}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HuangSHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HsiehDLKTYHWH15, author = {Henry Hsieh and Sang H. Dhong and Cheng{-}Chung Lin and Ming{-}Zhang Kuo and Kuo{-}Feng Tseng and Ping{-}Lin Yang and Kevin Huang and Min{-}Jer Wang and Wei Hwang}, title = {Custom 6-R, 2- or 4-W multi-port register files in an {ASIC} {SOC} with a {DVFS} window of 0.5 V, 130 MHz to 0.96 V, 3.2 GHz in a 28-nm {HKMG} {CMOS} technology}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338445}, doi = {10.1109/CICC.2015.7338445}, timestamp = {Wed, 13 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/HsiehDLKTYHWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/ChenH15, author = {Minhsin Chen and Shu{-}Kai Hsieh}, editor = {Qin Lu and Helena Hong Gao}, title = {Degree Modification in Mandarin: {A} Case Study of Creative Degree Modifier {\unicode{21508}}{\unicode{31278}} [Gezhong]}, booktitle = {Chinese Lexical Semantics - 16th Workshop, {CLSW} 2015, Beijing, China, May 9-11, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9332}, pages = {255--261}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27194-1\_26}, doi = {10.1007/978-3-319-27194-1\_26}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clsw/ChenH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/DalyEFHLLMQSV15, author = {Elizabeth M. Daly and Sheena Lewis Erete and Rosta Farzan and Gary Hsieh and Cliff Lampe and Claudia A. L{\'{o}}pez and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Daniele Quercia and Raz Schwartz and Amy Voida}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {Supporting Cities, Neighborhoods, and Local Communities with Information and Communication Technologies}, booktitle = {18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14-18, 2015, Companion Volume}, pages = {277--281}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2685553.2685556}, doi = {10.1145/2685553.2685556}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscw/DalyEFHLLMQSV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HsiehS15, author = {Han{-}Lin Hsieh and Maryam Modir Shanechi}, title = {Optimal calibration of the learning rate in closed-loop adaptive brain-machine interfaces}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {1667--1670}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318696}, doi = {10.1109/EMBC.2015.7318696}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HsiehS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SaribudakDGHU15, author = {Aydin Saribudak and Yiyu Dong and Stephen Gundry and James Hsieh and M. {\"{U}}mit Uyar}, title = {Mathematical models of tumor growth using Voronoi tessellations in pathology slides of kidney cancer}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {4454--4457}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319383}, doi = {10.1109/EMBC.2015.7319383}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SaribudakDGHU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZengCLSHC15, author = {Yi{-}Chong Zeng and Ya{-}Hui Chan and Ting{-}Yu Lin and Meng{-}Jung Shih and Pei{-}Yu Hsieh and Guan{-}Lin Chao}, editor = {Sakae Yamamoto}, title = {Scene Feature Recognition-Enabled Framework for Mobile Service Information Query System}, booktitle = {Human Interface and the Management of Information. Information and Knowledge in Context - 17th International Conference, {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9173}, pages = {64--74}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20618-9\_7}, doi = {10.1007/978-3-319-20618-9\_7}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZengCLSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenYLHSW15, author = {Yan Chen and Yuan En Yu and Yu Cheng Lin and Chih Hung Hsieh and Muh{-}Tian Shiue and Chih{-}Feng Wu}, title = {Design of digital baseband inner receiver for {PLC} system based on {IEEE} {P1901} specification}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {210--211}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216860}, doi = {10.1109/ICCE-TW.2015.7216860}, timestamp = {Fri, 26 Nov 2021 09:37:33 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenYLHSW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsiaoGCHWS15, author = {Chien{-}Yao Hsiao and Shu{-}Na Guo and Chien{-}Hung Chiu and Tung{-}Yeh Hsieh and Chih{-}Feng Wu and Muh{-}Tian Shiue}, title = {Design and implementation of the {OFDM} receiver for visible-light communication}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {208--209}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216858}, doi = {10.1109/ICCE-TW.2015.7216858}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HsiaoGCHWS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsiehWSLYC15, author = {Yi{-}Zeng Hsieh and Chen{-}Hsu Wang and Mu{-}Chun Su and Ching{-}Hu Lu and Jen{-}Chih Yu and Yi Min Chiang}, title = {Prediction of postoperative recovery based on a computational rules extractor}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {332--333}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216928}, doi = {10.1109/ICCE-TW.2015.7216928}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HsiehWSLYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/HsiehSSSYH15, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Addison Y. S. Su and Wu{-}Rong Shih and Jen{-}Chih Yu and Chien{-}Yeh Huang}, title = {The computational rules extractor in the detection of tax evasion}, booktitle = {International Carnahan Conference on Security Technology, {ICCST} 2015, Taipei, Taiwan, September 21-24, 2015}, pages = {181--184}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCST.2015.7389679}, doi = {10.1109/CCST.2015.7389679}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccst/HsiehSSSYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/YangHHCC15, author = {Hsin{-}Ping Yang and Meng{-}Hsuan Ho and Hsiao{-}Chi Hsieh and Po{-}Hsun Cheng and Sao{-}Jie Chen}, title = {Hardware implementation of a real-time distributed video decoder}, booktitle = {2015 {IEEE} International Conference on Digital Signal Processing, {DSP} 2015, Singapore, July 21-24, 2015}, pages = {659--664}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICDSP.2015.7251957}, doi = {10.1109/ICDSP.2015.7251957}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/YangHHCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KularatneSH15, author = {Dhanushka Kularatne and Ryan N. Smith and M. Ani Hsieh}, title = {Zig-zag wanderer: Towards adaptive tracking of time-varying coherent structures in the ocean}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, pages = {3253--3258}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICRA.2015.7139647}, doi = {10.1109/ICRA.2015.7139647}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/KularatneSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HsiaoSHTLL15, author = {Shan{-}Wen Hsiao and Hung{-}Ching Sun and Ming{-}Chuan Hsieh and Ming{-}Hsueh Tsai and Hsin{-}Chih Lin and Chi{-}Chun Lee}, title = {A multimodal approach for automatic assessment of school principals' oral presentation during pre-service training program}, booktitle = {16th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2015, Dresden, Germany, September 6-10, 2015}, pages = {2529--2533}, publisher = {{ISCA}}, year = {2015}, url = {https://doi.org/10.21437/Interspeech.2015-545}, doi = {10.21437/INTERSPEECH.2015-545}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HsiaoSHTLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenLHCTPCL15, author = {L. D. Chen and B. L. Lin and M.{-}H. Hsieh and C. W. Chang and J. S. Tsai and J. C. Peng and C. C. Chiu and Y.{-}H. Lee}, title = {Study of a new electromigration failure mechanism by novel test structure}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112684}, doi = {10.1109/IRPS.2015.7112684}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChenLHCTPCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HuangHYWMLCK15, author = {Y.{-}C. Huang and M.{-}H. Hsieh and T.{-}Y. Yew and W. Wang and D. Maji and Y.{-}H. Lee and W.{-}S. Chou and P.{-}Z. Kang}, title = {Delay effects and frequency dependence of {NBTI} with sub-microsecond measurements}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112724}, doi = {10.1109/IRPS.2015.7112724}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HuangHYWMLCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienHWLHC15, author = {Ai Chien and Shuo{-}Hong Hung and Kuan{-}I Wu and Chang{-}Yi Liu and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2393--2396}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169166}, doi = {10.1109/ISCAS.2015.7169166}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienHWLHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungKWHHC15, author = {Shuo{-}Hong Hung and Wei{-}Hao Kao and Kuan{-}I Wu and Yi{-}Wei Huang and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 160MHz-to-2GHz low jitter fast lock all-digital {DLL} with phase tracking technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {553--556}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168693}, doi = {10.1109/ISCAS.2015.7168693}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungKWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/HsiehW15, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Source compression with a quantum helper}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2015, Hong Kong, China, June 14-19, 2015}, pages = {2762--2766}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISIT.2015.7282959}, doi = {10.1109/ISIT.2015.7282959}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/HsiehW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isrr/HsiehHKHFSY15, author = {M. Ani Hsieh and Hadi Hajieghrary and Dhanushka Kularatne and Christoffer R. Heckman and Eric Forgoston and Ira B. Schwartz and Philip A. Yecko}, editor = {Antonio Bicchi and Wolfram Burgard}, title = {Small and Adrift with Self-Control: Using the Environment to Improve Autonomy}, booktitle = {Robotics Research, Proceedings of the 17th International Symposium of Robotics Research, {ISRR} 2015, Sestri Levante, Italy, September 12-15, 2015, Volume 2}, series = {Springer Proceedings in Advanced Robotics}, volume = {3}, pages = {387--402}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-60916-4\_22}, doi = {10.1007/978-3-319-60916-4\_22}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isrr/HsiehHKHFSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BrandoliniSRWWG15, author = {Massimo Brandolini and Young Shin and Karthik Raviprakash and Tao Wang and Rong Wu and Hemasundar Mohan Geddada and Yen{-}Jen Ko and Yen Ding and Chun{-}Sheng Huang and Wei{-}Ta Shih and Ming{-}Hung Hsieh and Wei{-}Te Chou and Tianwei Li and Ayaskant Shrivastava and Yi{-}Chun Chen and Juo{-}Jung Hung and Giuseppe Cusmai and Jiangfeng Wu and Mo M. Zhang and Greg Unruh and Ardie G. Venes and Hung Sen Huang and Chun{-}Ying Chen}, title = {26.6 {A} 5GS/S 150mW 10b SHA-less pipelined/SAR hybrid {ADC} in 28nm {CMOS}}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063129}, doi = {10.1109/ISSCC.2015.7063129}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BrandoliniSRWWG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itw/HsiehW15, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Fully quantum source compression with a quantum helper}, booktitle = {2015 {IEEE} Information Theory Workshop - Fall (ITW), Jeju Island, South Korea, October 11-15, 2015}, pages = {307--311}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ITWF.2015.7360785}, doi = {10.1109/ITWF.2015.7360785}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itw/HsiehW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangYHLHLKHHS15, author = {Chun{-}Ming Chang and Chih{-}Sheng Yu and Fan{-}Chun Hsieh and Chun{-}Ting Lin and Tsung{-}Tao Huang and Ping{-}Hung Lin and Jiann{-}Shiun Kao and Chien{-}Nan Hsiao and Ming{-}Hua Shiao}, title = {A parametric study of {ICP-RIE} etching on a lithium niobate substrate}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {485--486}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEMS.2015.7147473}, doi = {10.1109/NEMS.2015.7147473}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangYHLHLKHHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/JaoHHLKCC15, author = {Meng{-}Hsiu Jao and Ming{-}Hsuan Hsieh and Kuan{-}Hsien He and Dai{-}Hua Liu and Shu{-}Yu Kuo and Ting{-}Hui Chu and Yao{-}Hsin Chou}, title = {A Wormhole Attacks Detection Using a {QTS} Algorithm with {MA} in {WSN}}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {20--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.17}, doi = {10.1109/SMC.2015.17}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/JaoHHLKCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HsiehSL15, author = {Tsung{-}Hao Hsieh and Ming{-}Jian Sun and Sheng{-}Fu Liang}, title = {Musical perception scaling of AEPs from musicians, schizophrenia and normal people}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2015, Tainan, Taiwan, November 20-22, 2015}, pages = {358--362}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TAAI.2015.7407066}, doi = {10.1109/TAAI.2015.7407066}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/taai/HsiehSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/WuCCWSPHCHTPYUW15, author = {Jiangfeng Wu and Giuseppe Cusmai and Acer Wei{-}Te Chou and Tao Wang and Bo Shen and Vijayaramalingam Periasamy and Ming{-}Hung Hsieh and Chun{-}Ying Chen and Lin He and Loke Tan and Aravind Padyana and Cheng{-}Hsun Yang and Gregory Unruh and Jackie Koon Lun Wong and Juo{-}Jung Hung and Massimo Brandolini and Sha{-}Ting Lin and Xi Chen and Yen Ding and Yen{-}Jen Ko and Young Shin and Ada Hing T. Hung and Binning Chen and Cynthia Dang and Deepak Lakshminarasimhan and Iris Hong Liu and Jerry Lin and Kowen Lai and Larry Wassermann and Ayaskant Shrivastava and Chi{-}Ming Hsiao and Chun{-}Sheng Huang and Jianlong Chen and Lakshminarasimhan Krishnan and Ning{-}Yi Wang and Pin{-}En Su and Tianwei Li and Wei{-}Ta Shih and Yau{-}Cheng Yang and Peter Cangiane and Randall Perlow and William Ngai and Hung Sen Huang and James Y. C. Chang and Xicheng Jiang and Ardie G. Venes and Ramon Gomez}, title = {A 2.7mW/Channel 48-to-1000MHz Direct Sampling Full-Band Cable Receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {214}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231263}, doi = {10.1109/VLSIC.2015.7231263}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/WuCCWSPHCHTPYUW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/CrossnoSSHMH15, author = {Patricia J. Crossno and Timothy M. Shead and Milosz A. Sielicki and Warren L. Hunt and Shawn Martin and Ming{-}yu Hsieh}, editor = {Coral Calero and Mario Piattini}, title = {Slycat Ensemble Analysis of Electrical Circuit Simulations}, booktitle = {Green in Software Engineering}, pages = {279--294}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-44900-4\_16}, doi = {10.1007/978-3-662-44900-4\_16}, timestamp = {Mon, 16 Sep 2019 14:43:12 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/CrossnoSSHMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsiehW15, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Source Compression with a Quantum Helper}, journal = {CoRR}, volume = {abs/1501.04366}, year = {2015}, url = {http://arxiv.org/abs/1501.04366}, eprinttype = {arXiv}, eprint = {1501.04366}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsiehW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsiehW15a, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Fully Quantum Source Compression with a Quantum Helper}, journal = {CoRR}, volume = {abs/1504.05227}, year = {2015}, url = {http://arxiv.org/abs/1504.05227}, eprinttype = {arXiv}, eprint = {1504.05227}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsiehW15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsiehW15b, author = {Min{-}Hsiu Hsieh and Shun Watanabe}, title = {Channel Simulation and Coded Source Compression}, journal = {CoRR}, volume = {abs/1511.06071}, year = {2015}, url = {http://arxiv.org/abs/1511.06071}, eprinttype = {arXiv}, eprint = {1511.06071}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsiehW15b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/BackMHM14, author = {Julian M. Back and Scott W. McCue and Mike H.{-}N. Hsieh and Timothy J. Moroney}, title = {The effect of surface tension and kinetic undercooling on a radially-symmetric melting problem}, journal = {Appl. Math. Comput.}, volume = {229}, pages = {41--52}, year = {2014}, url = {https://doi.org/10.1016/j.amc.2013.12.003}, doi = {10.1016/J.AMC.2013.12.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/BackMHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/HuangWCLSHTLHLC14, author = {Kai{-}Yao Huang and Hsin{-}Yi Wu and Yi{-}Ju Chen and Cheng{-}Tsung Lu and Min{-}Gang Su and Yun{-}Chung Hsieh and Chih{-}Ming Tsai and Kuo{-}I Lin and Hsien{-}Da Huang and Tzong{-}Yi Lee and Yu{-}Ju Chen}, title = {RegPhos 2.0: an updated resource to explore protein kinase-substrate phosphorylation networks in mammals}, journal = {Database J. Biol. Databases Curation}, volume = {2014}, year = {2014}, url = {https://doi.org/10.1093/database/bau034}, doi = {10.1093/DATABASE/BAU034}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/HuangWCLSHTLHLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HsiehSWW14, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Chen{-}Hsu Wang and Pa{-}Chun Wang}, title = {Prediction of survival of {ICU} patients using computational intelligence}, journal = {Comput. Biol. Medicine}, volume = {47}, pages = {13--19}, year = {2014}, url = {https://doi.org/10.1016/j.compbiomed.2013.12.012}, doi = {10.1016/J.COMPBIOMED.2013.12.012}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/HsiehSWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWH14a, author = {Hua{-}Pin Chen and San{-}Fu Wang and Ming{-}Yuan Hsieh}, title = {Tunable current-mode and voltage-mode quadrature oscillator using a {DVCCTA}}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {13}, pages = {20140478}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140478}, doi = {10.1587/ELEX.11.20140478}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWH14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenWHH14, author = {Hua{-}Pin Chen and San{-}Fu Wang and Wei{-}Yen Huang and Ming{-}Yuan Hsieh}, title = {Voltage-mode universal biquadratic filter with one input and five outputs using two DDCCTAs}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {9}, pages = {20140234}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140234}, doi = {10.1587/ELEX.11.20140234}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenWHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChenCH14, author = {Mu{-}Yen Chen and Da{-}Ren Chen and Shu{-}Ming Hsieh}, title = {A Blocking-Aware Scheduling for Real-Time Task Synchronization Using a Leakage-Controlled Method}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/428230}, doi = {10.1155/2014/428230}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChenCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DoanLCOHFGRJFWAZXK14, author = {Son Doan and Ko{-}Wei Lin and Mike Conway and Lucila Ohno{-}Machado and Alexander Hsieh and Stephanie Feudjio Feupe and Asher Garland and Mindy K. Ross and Xiaoqian Jiang and Seena Farzaneh and Rebecca Walker and Neda Alipanah and Jing Zhang and Hua Xu and Hyeoneui Kim}, title = {PhenDisco: phenotype discovery system for the database of genotypes and phenotypes}, journal = {J. Am. Medical Informatics Assoc.}, volume = {21}, number = {1}, pages = {31--36}, year = {2014}, url = {https://doi.org/10.1136/amiajnl-2013-001882}, doi = {10.1136/AMIAJNL-2013-001882}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DoanLCOHFGRJFWAZXK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/HsiehSW14, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Pa{-}Chun Wang}, title = {A PSO-based rule extractor for medical diagnosis}, journal = {J. Biomed. Informatics}, volume = {49}, pages = {53--60}, year = {2014}, url = {https://doi.org/10.1016/j.jbi.2014.05.001}, doi = {10.1016/J.JBI.2014.05.001}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/HsiehSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/HsiehS14, author = {Mu{-}Fen Hsieh and Sing{-}Hoi Sze}, title = {Finding Alignments of Conserved Graphlets in Protein Interaction Networks}, journal = {J. Comput. Biol.}, volume = {21}, number = {3}, pages = {234--246}, year = {2014}, url = {https://doi.org/10.1089/cmb.2013.0130}, doi = {10.1089/CMB.2013.0130}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/HsiehS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChouHS14, author = {Chien{-}Hsing Chou and Yi{-}Zeng Hsieh and Mu{-}Chun Su}, title = {A New Measure of Cluster Validity Using Line Symmetry}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {2}, pages = {443--461}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201403\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChouHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/HsiehSDR14, author = {Cho{-}Jui Hsieh and M{\'{a}}ty{\'{a}}s A. Sustik and Inderjit S. Dhillon and Pradeep Ravikumar}, title = {{QUIC:} quadratic approximation for sparse inverse covariance estimation}, journal = {J. Mach. Learn. Res.}, volume = {15}, number = {1}, pages = {2911--2947}, year = {2014}, url = {https://dl.acm.org/doi/10.5555/2627435.2697058}, doi = {10.5555/2627435.2697058}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HsiehSDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuangSMH14, author = {Yu{-}Feng Huang and Chun Siong Soon and O'Dhaniel A. Mullette{-}Gillman and Po{-}Jang Hsieh}, title = {Pre-existing brain states predict risky choices}, journal = {NeuroImage}, volume = {101}, pages = {466--472}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2014.07.036}, doi = {10.1016/J.NEUROIMAGE.2014.07.036}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuangSMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinHSW14, author = {Yi{-}Hsun Lin and Mei{-}Yu Hsieh and Fong{-}Chin Su and Shyh{-}Hau Wang}, title = {Assessment of the Kinetic Trajectory of the Median Nerve in the Wrist by High-Frequency Ultrasound}, journal = {Sensors}, volume = {14}, number = {5}, pages = {7738--7752}, year = {2014}, url = {https://doi.org/10.3390/s140507738}, doi = {10.3390/S140507738}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinHSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/EftekhariMSH14, author = {Maryam Eftekhari and Mehdi Moallem and Saeed Sadri and Min{-}Fu Hsieh}, title = {Online Detection of Induction Motor's Stator Winding Short-Circuit Faults}, journal = {{IEEE} Syst. J.}, volume = {8}, number = {4}, pages = {1272--1282}, year = {2014}, url = {https://doi.org/10.1109/JSYST.2013.2288172}, doi = {10.1109/JSYST.2013.2288172}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/EftekhariMSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tal/GaumeDNDCHMP14, author = {Bruno Gaume and Karine Duvignau and Emmanuel Navarro and Yann Desalle and Hintat Cheung and Shu{-}Kai Hsieh and Pierre Magistry and Laurent Pr{\'{e}}vot}, title = {Skillex : a graph-based lexical score for measuring the semantic efficiency of used verbs by human subjects describing actions}, journal = {Trait. Autom. des Langues}, volume = {55}, number = {3}, year = {2014}, url = {http://atala.org/Skillex-a-graph-based-lexical}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tal/GaumeDNDCHMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ChiuWCCWCTCSKWCHCLCYCST14, author = {Shih{-}Wen Chiu and Jen{-}Huo Wang and Kwuang{-}Han Chang and Ting{-}Hau Chang and Chia{-}Min Wang and Chia{-}Lin Chang and Chen{-}Ting Tang and Chien{-}Fu Chen and Chung{-}Hung Shih and Han{-}Wen Kuo and Li{-}Chun Wang and Hsin Chen and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Yi{-}Wen Liu and Tsan{-}Jieh Chen and Chia{-}Hsiang Yang and Herming Chiueh and Jyuo{-}Min Shyu and Kea{-}Tiong Tang}, title = {A Fully Integrated Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated Pneumonia}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {765--778}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2377754}, doi = {10.1109/TBCAS.2014.2377754}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ChiuWCCWCTCSKWCHCLCYCST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/MichiniHFS14, author = {Matthew Michini and M. Ani Hsieh and Eric Forgoston and Ira B. Schwartz}, title = {Robotic Tracking of Coherent Structures in Flows}, journal = {{IEEE} Trans. Robotics}, volume = {30}, number = {3}, pages = {593--603}, year = {2014}, url = {https://doi.org/10.1109/TRO.2013.2295655}, doi = {10.1109/TRO.2013.2295655}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/MichiniHFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XiongMHC14, author = {Caiming Xiong and Scott McCloskey and Shao{-}Hang Hsieh and Jason J. Corso}, editor = {Carla E. Brodley and Peter Stone}, title = {Latent Domains Modeling for Visual Domain Adaptation}, booktitle = {Proceedings of the Twenty-Eighth {AAAI} Conference on Artificial Intelligence, July 27 -31, 2014, Qu{\'{e}}bec City, Qu{\'{e}}bec, Canada}, pages = {2860--2866}, publisher = {{AAAI} Press}, year = {2014}, url = {https://doi.org/10.1609/aaai.v28i1.9136}, doi = {10.1609/AAAI.V28I1.9136}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XiongMHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/HsiehMFS14, author = {M. Ani Hsieh and Kenneth Mallory and Eric Forgoston and Ira B. Schwartz}, title = {Distributed allocation of mobile sensing agents in geophysical flows}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {165--171}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6859084}, doi = {10.1109/ACC.2014.6859084}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/HsiehMFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/MichiniRHJ14, author = {Matthew Michini and Hossein Rastgoftar and M. Ani Hsieh and Suhada Jayasuriya}, title = {Distributed formation control for collaborative tracking of manifolds in flows}, booktitle = {American Control Conference, {ACC} 2014, Portland, OR, USA, June 4-6, 2014}, pages = {3874--3880}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACC.2014.6859204}, doi = {10.1109/ACC.2014.6859204}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/MichiniRHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HsiehLCCLL14, author = {Cheng{-}Han Hsieh and Ming{-}Chun Liang and Shih{-}Yu Chang Chien and Yuan{-}Sun Chu and Hsing{-}Chen Lin and Shuenn{-}Yuh Lee}, title = {Wearable electrocardiogram acquisition and classification systems with different distributive operations}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2014, Proceedings, Lausanne, Switzerland, October 22-24, 2014}, pages = {145--148}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BioCAS.2014.6981666}, doi = {10.1109/BIOCAS.2014.6981666}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HsiehLCCLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HerediaKNHM14, author = {Edwin A. Heredia and Shailendra Kumar and Jun Nishimura and George Hsieh and Alan Messer}, title = {Contextual proactivity for media sharing scenarios in proximity networks}, booktitle = {11th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {465--470}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CCNC.2014.6866611}, doi = {10.1109/CCNC.2014.6866611}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/HerediaKNHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HsiehMKON14, author = {Gary Hsieh and Sean A. Munson and Maurits Clemens Kaptein and Harri Oinas{-}Kukkonen and Oded Nov}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Personalizing behavior change technologies}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {107--110}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2560474}, doi = {10.1145/2559206.2560474}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/HsiehMKON14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/DicksonLRAKHBFARPBSKTF14, author = {Timothy O. Dickson and Yong Liu and Sergey V. Rylov and Ankur Agrawal and Seongwon Kim and Ping{-}Hsuan Hsieh and John F. Bulzacchelli and Mark A. Ferriss and Herschel A. Ainspan and Alexander V. Rylyakov and Benjamin D. Parker and Christian W. Baks and Lei Shan and Young Hoon Kwark and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 1.4-pJ/b, power-scalable 16{\texttimes}12-Gb/s source-synchronous {I/O} with {DFE} receiver in 32nm {SOI} {CMOS} technology}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6945983}, doi = {10.1109/CICC.2014.6945983}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/DicksonLRAKHBFARPBSKTF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KuoHDYLTHWH14, author = {Ming{-}Zhang Kuo and Henry Hsieh and Sang H. Dhong and Ping{-}Lin Yang and Cheng{-}Chung Lin and Ryan Tseng and Kevin Huang and Min{-}Jer Wang and Wei Hwang}, title = {A 16kB tile-able {SRAM} macro prototype for an operating window of 4.8GHz at 1.12V {VDD} to 10 MHz at 0.5V in a 28-nm {HKMG} {CMOS}}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946030}, doi = {10.1109/CICC.2014.6946030}, timestamp = {Wed, 13 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KuoHDYLTHWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SavojACEFFHIJTUWC14, author = {Jafar Savoj and Hesam Amir Aslanzadeh and Declan Carey and Marc Erett and Wayne Fang and Yohan Frans and Kenny C.{-}H. Hsieh and Jay Im and Anup P. Jose and Didem Turker and Parag Upadhyaya and Zhaoyin Daniel Wu and Ken Chang}, title = {Wideband flexible-reach techniques for a 0.5-16.3Gb/s fully-adaptive transceiver in 20nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6945980}, doi = {10.1109/CICC.2014.6945980}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SavojACEFFHIJTUWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/HsiehSSWWH14, author = {Chih{-}Ming Hsieh and Farzad Samie and M. Sammer Srouji and Manyi Wang and Zhonglei Wang and J{\"{o}}rg Henkel}, editor = {Radu Marculescu and Gabriela Nicolescu}, title = {Hardware/software co-design for a wireless sensor network platform}, booktitle = {2014 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17, 2014}, pages = {1:1--1:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2656075.2656086}, doi = {10.1145/2656075.2656086}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/HsiehSSWWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/DesalleGDCHMN14, author = {Yann Desalle and Bruno Gaume and Karine Duvignau and Hintat Cheung and Shu{-}Kai Hsieh and Pierre Magistry and Jean{-}Luc Nespoulous}, editor = {Paul Bello and Marcello Guarini and Marjorie McShane and Brian Scassellati}, title = {Skillex, an action labelling efficiency score: the case for French and Mandarin}, booktitle = {Proceedings of the 36th Annual Meeting of the Cognitive Science Society, CogSci 2014, Quebec City, Canada, July 23-26, 2014}, publisher = {cognitivesciencesociety.org}, year = {2014}, url = {https://escholarship.org/uc/item/7j01b13n}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/DesalleGDCHMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/collabtech/SuHDHYH14, author = {Addison Y. S. Su and Chester S. J. Huang and Ting{-}Jou Ding and Angus F. M. Huang and Stephen J. H. Yang and Yi{-}Zeng Hsieh}, editor = {Takaya Yuizono and Gustavo Zurita and Nelson Baloian and Tomoo Inoue and Hiroaki Ogata}, title = {Collaborative Search Research in College Computer Courses}, booktitle = {Collaboration Technologies and Social Computing - 7th International Conference, CollabTech 2014, Santiago, Chile, September 8-10, 2014. Proceedings}, series = {Communications in Computer and Information Science}, volume = {460}, pages = {143--152}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44651-5\_13}, doi = {10.1007/978-3-662-44651-5\_13}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/collabtech/SuHDHYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LiaoBHRJJ14, author = {Fuyuan Liao and Ian Brooks and Chang{-}Wei Hsieh and Ian M. Rice and Maria M. Jankowska and Yih{-}Kuen Jan}, title = {Assessing complexity of heart rate variability in people with spinal cord injury using local scale exponents}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {6381--6384}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6945088}, doi = {10.1109/EMBC.2014.6945088}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LiaoBHRJJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/WangHLSL14, author = {Mei{-}Hui Wang and Pi{-}Jen Hsieh and Chang{-}Shing Lee and David Lupien St{-}Pierre and Che{-}Hung Liu}, title = {An optimization model for FML-based decision support system on energy management}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2014, Beijing, China, July 6-11, 2014}, pages = {850--856}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FUZZ-IEEE.2014.6891744}, doi = {10.1109/FUZZ-IEEE.2014.6891744}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/WangHLSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/WangHHLYKYCC14, author = {Kuo{-}Cheng Wang and Ming{-}Chyi Huang and Yi{-}Hsuan Hsieh and Seng{-}Yong Lau and Chi{-}Hsien Yen and Hsin{-}Liu Cindy Kao and Chuang{-}Wen You and Hao{-}Hua Chu and Yen{-}Chang Chen}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {SoberDiary: a phone-based support system for assisting recovery from alcohol dependence}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14 Adjunct Publication, Seattle, WA, {USA} - September 13 - 17, 2014}, pages = {311--314}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2638728.2638847}, doi = {10.1145/2638728.2638847}, timestamp = {Tue, 26 Mar 2024 11:01:21 +0100}, biburl = {https://dblp.org/rec/conf/huc/WangHHLYKYCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsiehYS14, author = {Mi{-}Chia Hsieh and Yu{-}Hua Yen and Tsung{-}Ying Sun}, title = {Gesture recognition with two 3-axis accelerometers}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2014, Taipei, Taiwan, May 26-28, 2014}, pages = {239--240}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE-TW.2014.6904078}, doi = {10.1109/ICCE-TW.2014.6904078}, timestamp = {Thu, 25 Nov 2021 16:44:13 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HsiehYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YangYWHLSH14, author = {C. M. Yang and T. L. Yang and C. C. Wu and S. H. Hung and M. H. Liao and M. J. Su and H. C. Hsieh}, title = {Textile-based capacitive sensor for a wireless wearable breath monitoring system}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6775985}, doi = {10.1109/ICCE.2014.6775985}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YangYWHLSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MichiniHFS14, author = {Matthew Michini and M. Ani Hsieh and Eric Forgoston and Ira B. Schwartz}, title = {Experimental validation of robotic manifold tracking in gyre-like flows}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {2306--2311}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6942874}, doi = {10.1109/IROS.2014.6942874}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/MichiniHFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YaoBHDP14, author = {Yuan Yao and Hans Bornefalk and Scott S. Hsieh and Mats Danielsson and Norbert J. Pelc}, title = {Utilization of in-depth photon counting detectors towards x-ray spectral imaging: The benefits from the depth information}, booktitle = {{IEEE} 11th International Symposium on Biomedical Imaging, {ISBI} 2014, April 29 - May 2, 2014, Beijing, Chin, Beijing, China}, pages = {1156--1159}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISBI.2014.6868080}, doi = {10.1109/ISBI.2014.6868080}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YaoBHDP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangHHCL14, author = {Ming{-}Chun Liang and Cheng{-}Han Hsieh and Jia{-}Hua Hong and Shih{-}Yu Chang Chien and Shuenn{-}Yuh Lee}, title = {Live demonstration: {A} wearable wireless {ECG} acquisition and specification system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {438}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865161}, doi = {10.1109/ISCAS.2014.6865161}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangHHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iser/HeckmanHS14, author = {Christoffer R. Heckman and M. Ani Hsieh and Ira B. Schwartz}, editor = {M. Ani Hsieh and Oussama Khatib and Vijay Kumar}, title = {Controlling Basin Breakout for Robots Operating in Uncertain Flow Environments}, booktitle = {Experimental Robotics - The 14th International Symposium on Experimental Robotics, {ISER} 2014, June 15-18, 2014, Marrakech and Essaouira, Morocco}, series = {Springer Tracts in Advanced Robotics}, volume = {109}, pages = {561--576}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-23778-7\_37}, doi = {10.1007/978-3-319-23778-7\_37}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iser/HeckmanHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenHCCLHHLL14, author = {Shang{-}Ping Chen and Chih{-}Chien Hung and Qui{-}Ting Chen and Sheng{-}Ming Chang and Ming{-}Shi Liou and Bo{-}Wei Hsieh and Hsiang{-}I Huang and Brian Liu and Yan{-}Bin Luo}, title = {26.6 {A} 2.667Gb/s {DDR3} memory interface with asymmetric {ODT} on wirebond package and single-side-mounted {PCB}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {448--449}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757508}, doi = {10.1109/ISSCC.2014.6757508}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenHCCLHHLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangCSCYYWHCCHC14, author = {Kea{-}Tiong Tang and Shih{-}Wen Chiu and Chung{-}Hung Shih and Chia{-}Ling Chang and Chia{-}Min Yang and Da{-}Jeng Yao and Jen{-}Huo Wang and Chien{-}Ming Huang and Hsin Chen and Kwuang{-}Han Chang and Chih{-}Cheng Hsieh and Ting{-}Hau Chang and Meng{-}Fan Chang and Chia{-}Min Wang and Yi{-}Wen Liu and Tsan{-}Jieh Chen and Chia{-}Hsiang Yang and Herming Chiueh and Jyuo{-}Min Shyu}, title = {24.5 {A} 0.5V 1.27mW nose-on-a-chip for rapid diagnosis of ventilator-associated pneumonia}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {420--421}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757496}, doi = {10.1109/ISSCC.2014.6757496}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TangCSCYYWHCCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AdhamCLHH14, author = {Saman Adham and Jonathan Chang and Hung{-}Jen Liao and John Hung and Ting{-}Hua Hsieh}, title = {The importance of DFX, a foundry perspective}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035311}, doi = {10.1109/TEST.2014.7035311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AdhamCLHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/HsiehTYL14, author = {Chih{-}Hung Hsieh and Hsin{-}Mu Tsai and Shao{-}Wen Yang and Shou{-}De Lin}, title = {Predict Scooter's Stopping Event Using Smartphone as the Sensing Device}, booktitle = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, pages = {17--23}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/iThings.2014.12}, doi = {10.1109/ITHINGS.2014.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/HsiehTYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/HsiehHCHH14, author = {Yung{-}Huan Hsieh and Shintami Chusnul Hidayati and Wen{-}Huang Cheng and Min{-}Chun Hu and Kai{-}Lung Hua}, editor = {Cathal Gurrin and Frank Hopfgartner and Wolfgang H{\"{u}}rst and H{\aa}vard D. Johansen and Hyowon Lee and Noel E. O'Connor}, title = {Who's the Best Charades Player? Mining Iconic Movement of Semantic Concepts}, booktitle = {MultiMedia Modeling - 20th Anniversary International Conference, {MMM} 2014, Dublin, Ireland, January 6-10, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8325}, pages = {231--241}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-04114-8\_20}, doi = {10.1007/978-3-319-04114-8\_20}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmm/HsiehHCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/ChiuWCWCHCWT14, author = {Shih{-}Wen Chiu and Jen{-}Huo Wang and Kwuang{-}Han Chang and Hsiang{-}Chiu Wu and Hsin Chen and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Guoxing Wang and Kea{-}Tiong Tang}, title = {A signal acquisition and processing chip with built-in cluster for chemiresistive gas sensor array}, booktitle = {{IEEE} 12th International New Circuits and Systems Conference, {NEWCAS} 2014, Trois-Rivieres, QC, Canada, June 22-25, 2014}, pages = {428--431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEWCAS.2014.6934074}, doi = {10.1109/NEWCAS.2014.6934074}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/newcas/ChiuWCWCHCWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/Meng-HsienH14, author = {Meng{-}Hsien Shih and Shu{-}Kai Hsieh}, title = {Sketching the Dependency Relations of Words in Chinese}, booktitle = {Proceedings of the 26th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2016, National Central University, Zhongli, Taiwan, September 25-26, 2014}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2014}, url = {https://aclanthology.org/O14-1014/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/Meng-HsienH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JuLWCWHLLCCCWCH14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Huaide Wang and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Lin Hsieh and Brian Liu and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Ryan Yeh and Ted Chuang and Hsiu{-}Yi Lin and Chung{-}Hung Tsai}, title = {A 4K{\texttimes}2K@60fps multi-standard {TV} SoC processor with integrated {HDMI/MHL} receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858389}, doi = {10.1109/VLSIC.2014.6858389}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JuLWCWHLLCCCWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/NagarajHPRSH14, author = {Shirish Nagaraj and Frank Hsieh and Deepak Pengoria and M. R. Raghavendra and Mark Schamberger and Michael L. Honig}, title = {Coordinated beamforming in clustered HetNets: System design and performance evaluation}, booktitle = {2014 {IEEE} Wireless Communications and Networking Conference Workshops, {WCNC} Workshops, Istanbul, Turkey, April 6-9, 2014}, pages = {70--75}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WCNCW.2014.6934863}, doi = {10.1109/WCNCW.2014.6934863}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/NagarajHPRSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ChenTHLWYCLSCLPGCYCH14, author = {Kuan{-}Wen Chen and Hsin{-}Mu Tsai and Chih{-}Hung Hsieh and Shou{-}De Lin and Chieh{-}Chih Wang and Shao{-}Wen Yang and Shao{-}Yi Chien and Chia{-}Han Lee and Yu{-}Chi Su and Chun{-}Ting Chou and Yuh{-}Jye Lee and Hsing{-}Kuo Pao and Ruey{-}Shan Guo and Chung{-}Jen Chen and Ming{-}Hsuan Yang and Bing{-}Yu Chen and Yi{-}Ping Hung}, title = {Connected vehicle safety science, system, and framework}, booktitle = {{IEEE} World Forum on Internet of Things, WF-IoT 2014, Seoul, South Korea, March 6-8, 2014}, pages = {235--240}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WF-IoT.2014.6803165}, doi = {10.1109/WF-IOT.2014.6803165}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/ChenTHLWYCLSCLPGCYCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/BondFHHPV14, author = {Francis Bond and Christiane Fellbaum and Shu{-}Kai Hsieh and Chu{-}Ren Huang and Adam Pease and Piek Vossen}, editor = {Paul Buitelaar and Philipp Cimiano}, title = {A Multilingual Lexico-Semantic Database and Ontology}, booktitle = {Towards the Multilingual Semantic Web, Principles, Methods and Applications}, pages = {243--258}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-43585-4\_15}, doi = {10.1007/978-3-662-43585-4\_15}, timestamp = {Sat, 30 May 2020 19:44:13 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/BondFHHPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dars/2012, editor = {M. Ani Hsieh and Gregory S. Chirikjian}, title = {Distributed Autonomous Robotic Systems - The 11th International Symposium, {DARS} 2012, Johns Hopkins University, Baltimore, MD, USA, November 8-11, 2012}, series = {Springer Tracts in Advanced Robotics}, volume = {104}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-642-55146-8}, doi = {10.1007/978-3-642-55146-8}, isbn = {978-3-642-55145-1}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dars/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/HsiehCSR13, author = {Mu{-}Hsien Hsieh and Fan{-}Chieh Cheng and Mon{-}Chau Shie and Shanq{-}Jang Ruan}, title = {Fast and efficient median filter for removing 1-99{\%} levels of salt-and-pepper noise in images}, journal = {Eng. Appl. Artif. Intell.}, volume = {26}, number = {4}, pages = {1333--1338}, year = {2013}, url = {https://doi.org/10.1016/j.engappai.2012.10.012}, doi = {10.1016/J.ENGAPPAI.2012.10.012}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/HsiehCSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/HsiehLS13, author = {Tung{-}Cheng Hsieh and Ming{-}Che Lee and Chien{-}Yuan Su}, title = {Designing and implementing a personalized remedial learning system for enhancing the programming learning}, journal = {J. Educ. Technol. Soc.}, volume = {16}, number = {4}, pages = {32--46}, year = {2013}, url = {http://www.ifets.info/download\_pdf.php?j\_id=61\&a\_id=1407}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/HsiehLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/LowTJQCSNTEGPLH13, author = {Hoi Pang Low and Ashutosh Tiwari and Jagadeesh Janjanam and Li Qiu and Chien{-}I Chang and William C. Strohsnitter and Errol R. Norwitz and Sun W. Tam and James E. Evans and Karin M. Green and Joao A. Paulo and Mats Lambe and Chung{-}Cheng Hsieh}, title = {Screening Preeclamptic Cord Plasma for Proteins Associated with Decreased Breast Cancer Susceptibility}, journal = {Genom. Proteom. Bioinform.}, volume = {11}, number = {6}, pages = {335--344}, year = {2013}, url = {https://doi.org/10.1016/j.gpb.2013.09.009}, doi = {10.1016/J.GPB.2013.09.009}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/LowTJQCSNTEGPLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LinHSH13, author = {Jin{-}Ling Lin and Kao{-}Shing Hwang and Hui{-}Kai Su and Min{-}Che Hsieh}, title = {Real-Time Seismic Data Acquisition via a Paired Ripple Transmission Protocol}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/765973}, doi = {10.1155/2013/765973}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LinHSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/LinHYHTK13, author = {Chinho Lin and Ming{-}Lung Hsu and David C. Yen and Ping{-}Jung Hsieh and Hua{-}Ling Tsai and Tsung{-}Hsien Kuo}, title = {Prototype system for pursuing firm's core capability}, journal = {Inf. Syst. Frontiers}, volume = {15}, number = {3}, pages = {497--509}, year = {2013}, url = {https://doi.org/10.1007/s10796-011-9341-x}, doi = {10.1007/S10796-011-9341-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/LinHYHTK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YehHY13, author = {Shang{-}Fu Yeh and Chih{-}Cheng Hsieh and Ka{-}Yi Yeh}, title = {A 3 Megapixel 100 Fps 2.8 {\(\mathrm{\mu}\)}m Pixel Pitch {CMOS} Image Sensor Layer With Built-in Self-Test for 3D Integrated Imagers}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {839--849}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2233331}, doi = {10.1109/JSSC.2012.2233331}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YehHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/HsiehLT13, author = {Ming{-}Hua Hsieh and Kawuu Weicheng Lin and Vincent S. Tseng}, title = {A hybrid scheme for energy-efficient object tracking in sensor networks}, journal = {Knowl. Inf. Syst.}, volume = {36}, number = {2}, pages = {359--384}, year = {2013}, url = {https://doi.org/10.1007/s10115-012-0529-2}, doi = {10.1007/S10115-012-0529-2}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/HsiehLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiCLNCCHS13, author = {Lieber Po{-}Hung Li and Kuang{-}Chao Chen and Po{-}Lei Lee and David M. Niddam and Chou{-}Ming Cheng and Chih{-}Cher Chou and Jen{-}Chuen Hsieh and An{-}Suey Shiao}, title = {Neuromagnetic index of hemispheric asymmetry predicting long-term outcome in sudden hearing loss}, journal = {NeuroImage}, volume = {64}, pages = {356--364}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2012.09.002}, doi = {10.1016/J.NEUROIMAGE.2012.09.002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LiCLNCCHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeHHLK13, author = {Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Ming{-}Chun Liang and Jing{-}Yang Kung}, title = {A Low-Power 13.56 MHz {RF} Front-End Circuit for Implantable Biomedical Devices}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {7}, number = {3}, pages = {256--265}, year = {2013}, url = {https://doi.org/10.1109/TBCAS.2012.2212276}, doi = {10.1109/TBCAS.2012.2212276}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeHHLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/DattaMHB13, author = {Nilanjana Datta and Mil{\'{a}}n Mosonyi and Min{-}Hsiu Hsieh and Fernando G. S. L. Brand{\~{a}}o}, title = {A Smooth Entropy Approach to Quantum Hypothesis Testing and the Classical Capacity of Quantum Channels}, journal = {{IEEE} Trans. Inf. Theory}, volume = {59}, number = {12}, pages = {8014--8026}, year = {2013}, url = {https://doi.org/10.1109/TIT.2013.2282160}, doi = {10.1109/TIT.2013.2282160}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/DattaMHB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW13, author = {James C. Corbett and Jeffrey Dean and Michael Epstein and Andrew Fikes and Christopher Frost and J. J. Furman and Sanjay Ghemawat and Andrey Gubarev and Christopher Heiser and Peter Hochschild and Wilson C. Hsieh and Sebastian Kanthak and Eugene Kogan and Hongyi Li and Alexander Lloyd and Sergey Melnik and David Mwaura and David Nagle and Sean Quinlan and Rajesh Rao and Lindsay Rolig and Yasushi Saito and Michal Szymaniak and Christopher Taylor and Ruth Wang and Dale Woodford}, title = {Spanner: Google's Globally Distributed Database}, journal = {{ACM} Trans. Comput. Syst.}, volume = {31}, number = {3}, pages = {8}, year = {2013}, url = {https://doi.org/10.1145/2491245}, doi = {10.1145/2491245}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tocs/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/XinSMHS13, author = {Chunsheng Xin and Min Song and Liangping Ma and George Hsieh and Chien{-}Chung Shen}, title = {An Incentivized Cooperative Architecture for Dynamic Spectrum Access Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {10}, pages = {5154--5161}, year = {2013}, url = {https://doi.org/10.1109/TWC.2013.090413.122052}, doi = {10.1109/TWC.2013.090413.122052}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/XinSMHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-sighan/YangHCTSH13, author = {Ting{-}Hao Yang and Yu{-}Lun Hsieh and Yu{-}Hsuan Chen and Michael Tsang and Cheng{-}Wei Shih and Wen{-}Lian Hsu}, editor = {Liang{-}Chih Yu and Yuen{-}Hsien Tseng and Jingbo Zhu and Fuji Ren}, title = {Sinica-IASL Chinese spelling check system at Sighan-7}, booktitle = {Proceedings of the Seventh {SIGHAN} Workshop on Chinese Language Processing, SIGHAN@IJCNLP 2013, Nagoya, Japan, October 14-18, 2013}, pages = {93--96}, publisher = {Asian Federation of Natural Language Processing}, year = {2013}, url = {https://aclanthology.org/W13-4417/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-sighan/YangHCTSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-socialnlp/HsiehCCW13, author = {Wen{-}Tai Hsieh and Seng{-}cho Timothy Chou and Yu{-}Hsuan Cheng and Chen Ming Wu}, editor = {Shou{-}de Lin and Lun{-}Wei Ku and Tsung{-}Ting Kuo}, title = {Predicting {TV} Audience Rating with Social Media}, booktitle = {Proceedings of the {IJCNLP} Workshop on Natural Language Processing for Social Media, SocialNLP@IJCNLP 2013, Nagoya, Japan, October 14 - 18, 2013}, pages = {1--5}, publisher = {Asian Federation of Natural Language Processing}, year = {2013}, url = {https://aclanthology.org/W13-4201/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-socialnlp/HsiehCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/TangHCNBHH13, author = {Li Tang and Xiaobo Sharon Hu and Danny Z. Chen and Michael T. Niemier and Richard F. Barrett and Simon D. Hammond and Genie Hsieh}, title = {{GPU} acceleration of Data Assembly in Finite Element Methods and its energy implications}, booktitle = {24th International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013}, pages = {321--328}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ASAP.2013.6567597}, doi = {10.1109/ASAP.2013.6567597}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/TangHCNBHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShihHLLWC13, author = {Chi{-}Jih Shih and Shih{-}An Hsieh and Yi{-}Chang Lu and James Chien{-}Mo Li and Tzong{-}Lin Wu and Krishnendu Chakrabarty}, title = {Test Generation of Path Delay Faults Induced by Defects in Power {TSV}}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.18}, doi = {10.1109/ATS.2013.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShihHLLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blackseecom/WangSHYH13, author = {Sen{-}Hung Wang and Hsuan{-}Jung Su and Hung{-}Yun Hsieh and Shu{-}Ping Yeh and Minnie Ho}, title = {Random access design for clustered wireless machine to machine networks}, booktitle = {First International Black Sea Conference on Communications and Networking, BlackSeaCom 2013, Batumi, Georgia, July 3-5, 2013}, pages = {107--111}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BlackSeaCom.2013.6623391}, doi = {10.1109/BLACKSEACOM.2013.6623391}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blackseecom/WangSHYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SawadaSCHM13, author = {Dan Sawada and Anirudh Sharma and Sujoy Kumar Chowdhury and Christine Hsieh and Andrea Miller}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Wave alchemy: perception and reminiscence of expressive moments through waves}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {1611--1616}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468644}, doi = {10.1145/2468356.2468644}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/SawadaSCHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HongLLHC13, author = {Jia{-}Hua Hong and Shuenn{-}Yuh Lee and Ming{-}Chun Liang and Cheng{-}Han Hsieh and Shih{-}Yu Chang Chien}, title = {A wireless {ECG} acquisition and classification system for body sensor networks}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {5183--5186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610716}, doi = {10.1109/EMBC.2013.6610716}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HongLLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fira/LiHHCLW13, author = {Shih{-}An Li and Ming{-}Hua Hsieh and Cheng{-}Yao Ho and Kung{-}Han Chen and Ciao{-}Yun Lin and Ching{-}Chang Wong}, editor = {Khairuddin Omar and Mohd Jan Nordin and Prahlad Vadakkepat and Anton Satria Prabuwono and Siti Norul Huda Sheikh Abdullah and Jacky Baltes and Shamsudin H. M. Amin and Wan Zuha Wan Hassan and Mohammad Faidzul Nasrudin}, title = {Task Allocation Design for Autonomous Soccer Robot}, booktitle = {Intelligent Robotics Systems: Inspiring the {NEXT} - 16th {FIRA} RoboWorld Congress, {FIRA} 2013, Kuala Lumpur, Malaysia, August 24-29, 2013. Proceedings}, series = {Communications in Computer and Information Science}, volume = {376}, pages = {297--308}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40409-2\_26}, doi = {10.1007/978-3-642-40409-2\_26}, timestamp = {Sat, 09 Apr 2022 12:46:24 +0200}, biburl = {https://dblp.org/rec/conf/fira/LiHHCLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HsiehH13, author = {Min{-}Chih Hsieh and Sheue{-}Ling Hwang}, editor = {Masaaki Kurosu}, title = {The Effect of Information Quantity on Cbp Interface in the Advanced Nuclear Power Plant}, booktitle = {Human-Computer Interaction. Users and Contexts of Use - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8006}, pages = {166--173}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39265-8\_18}, doi = {10.1007/978-3-642-39265-8\_18}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HsiehH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/HsiehHCHCTHS13, author = {Wen{-}Hsuan Hsieh and Yi{-}Chung Huang and Jian{-}Li Chen and Wen{-}Chi Hung and Wood{-}Hi Cheng and Ying{-}Chien Tsai and Yi{-}Cheng Hsu and Maw{-}Tyan Sheen}, title = {Direct near-field phase measurements of lensed fiber employing a single-mode fiber interferometer}, booktitle = {6th {IEEE} International Conference on Advanced Infocomm Technology, {ICAIT} 2013, Hsinchu, Taiwan, July 6-9, 2013}, pages = {93--94}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICAIT.2013.6621513}, doi = {10.1109/ICAIT.2013.6621513}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icait/HsiehHCHCTHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/BaiHCC13, author = {Ming{-}Hong Bai and Yu{-}Ming Hsieh and Keh{-}Jiann Chen and Jason S. Chang}, title = {Translating Chinese Unknown Words by Automatically Acquired Templates}, booktitle = {Sixth International Joint Conference on Natural Language Processing, {IJCNLP} 2013, Nagoya, Japan, October 14-18, 2013}, pages = {839--843}, publisher = {Asian Federation of Natural Language Processing / {ACL}}, year = {2013}, url = {https://aclanthology.org/I13-1103/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/BaiHCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HsiehGBN13, author = {Fang{-}Ying Hsieh and Louis Goldstein and Dani Byrd and Shrikanth S. Narayanan}, editor = {Fr{\'{e}}d{\'{e}}ric Bimbot and Christophe Cerisara and C{\'{e}}cile Fougeron and Guillaume Gravier and Lori Lamel and Fran{\c{c}}ois Pellegrino and Pascal Perrier}, title = {Truncation of pharyngeal gesture in English diphthong [a{\unicode{618}}]}, booktitle = {14th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2013, Lyon, France, August 25-29, 2013}, pages = {968--972}, publisher = {{ISCA}}, year = {2013}, url = {https://doi.org/10.21437/Interspeech.2013-170}, doi = {10.21437/INTERSPEECH.2013-170}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HsiehGBN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHHHC13, author = {Wei{-}Sheng Cheng and Min{-}Han Hsieh and Shuo{-}Hong Hung and Szu{-}Yao Hung and Charlie Chung{-}Ping Chen}, title = {A 10-bit current-steering {DAC} for HomePlug {AV2} powerline communication system in 90nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2034--2037}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572271}, doi = {10.1109/ISCAS.2013.6572271}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHHHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangHL13, author = {Ming{-}Chun Liang and Cheng{-}Han Hsieh and Shuenn{-}Yuh Lee}, title = {A 1.5-bit/stage pipeline {ADC} with FFT-based calibration method}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2042--2045}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572273}, doi = {10.1109/ISCAS.2013.6572273}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHLHC13, author = {Pang{-}Kai Liu and Szu{-}Yao Hung and Chang{-}Yi Liu and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 52 dBc {MTPR} line driver for powerline communication HomePlug {AV} standard in 0.18-{\(\mu\)}m {CMOS} technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1404--1407}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572118}, doi = {10.1109/ISCAS.2013.6572118}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuHLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuHKSMCTF13, author = {Yong Liu and Ping{-}Hsuan Hsieh and Seongwon Kim and Jae{-}sun Seo and Robert K. Montoye and Leland Chang and Jos{\'{e}} A. Tierno and Daniel J. Friedman}, title = {A 0.1pJ/b 5-to-10Gb/s charge-recycling stacked low-power {I/O} for on-chip signaling in 45nm {CMOS} {SOI}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {400--401}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487787}, doi = {10.1109/ISSCC.2013.6487787}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LiuHKSMCTF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/NiskyPHO13, author = {Ilana Nisky and Sangram Patil and Michael H. Hsieh and Allison M. Okamura}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Kinematic Analysis of Motor Performance in Robot-Assisted Surgery: {A} Preliminary Study}, booktitle = {Medicine Meets Virtual Reality 20 - NextMed, {MMVR} 2013, San Diego, California, USA, February 20-23, 2013}, series = {Studies in Health Technology and Informatics}, volume = {184}, pages = {302--308}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-209-7-302}, doi = {10.3233/978-1-61499-209-7-302}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/NiskyPHO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeuSCHCM13, author = {Jenq{-}Shiou Leu and Kuan{-}Wu Su and Tien{-}Yu Chu and Chen{-}Hsin Hsieh and Yu{-}Shan Athena Chen and Jui{-}Ping Ma}, editor = {Hao{-}Hua Chu and Polly Huang and Romit Roy Choudhury and Feng Zhao}, title = {Pointer wizard: a remote interaction user interface}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {477--478}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2462456.2465734}, doi = {10.1145/2462456.2465734}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/LeuSCHCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HsiehSDRP13, author = {Cho{-}Jui Hsieh and M{\'{a}}ty{\'{a}}s A. Sustik and Inderjit S. Dhillon and Pradeep Ravikumar and Russell A. Poldrack}, editor = {Christopher J. C. Burges and L{\'{e}}on Bottou and Zoubin Ghahramani and Kilian Q. Weinberger}, title = {{BIG} {\&} {QUIC:} Sparse Inverse Covariance Estimation for a Million Variables}, booktitle = {Advances in Neural Information Processing Systems 26: 27th Annual Conference on Neural Information Processing Systems 2013. Proceedings of a meeting held December 5-8, 2013, Lake Tahoe, Nevada, United States}, pages = {3165--3173}, year = {2013}, url = {https://proceedings.neurips.cc/paper/2013/hash/1abb1e1ea5f481b589da52303b091cbb-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HsiehSDRP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sitis/HsiehSWCLZCC13, author = {Yi{-}Zeng Hsieh and Mu{-}Chun Su and Cheng{-}Tsung Wu and Chien{-}Hsing Chou and Ching{-}Hu Lu and Yu{-}Xiang Zhao and Ya{-}Yun Cheng and Yung{-}Long Chu}, editor = {Kokou Y{\'{e}}tongnon and Albert Dipanda and Richard Chbeir}, title = {To Develop the Virtual Physics Laboratory by Integrating Kinect with Gesture Classification Algorithm}, booktitle = {Ninth International Conference on Signal-Image Technology {\&} Internet-Based Systems, {SITIS} 2013, Kyoto, Japan, December 2-5, 2013}, pages = {1017--1019}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SITIS.2013.164}, doi = {10.1109/SITIS.2013.164}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sitis/HsiehSWCLZCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCLSHH13, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Jing{-}Shiun Lin and Ming{-}Der Shieh and Dung{-}Rung Hsieh and Jen{-}Yuan Hsu}, title = {Subspace-Based Blind Channel Estimation for {MIMO-OFDM} Systems with Repetition Index}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692426}, doi = {10.1109/VTCFALL.2013.6692426}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCLSHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/HsiehTAHS13, author = {Cho{-}Jui Hsieh and Mitul Tiwari and Deepak Agarwal and Xinyi (Lisa) Huang and Sam Shah}, editor = {Daniel Schwabe and Virg{\'{\i}}lio A. F. Almeida and Hartmut Glaser and Ricardo Baeza{-}Yates and Sue B. Moon}, title = {Organizational overlap on social networks and its applications}, booktitle = {22nd International World Wide Web Conference, {WWW} '13, Rio de Janeiro, Brazil, May 13-17, 2013}, pages = {571--582}, publisher = {International World Wide Web Conferences Steering Committee / {ACM}}, year = {2013}, url = {https://doi.org/10.1145/2488388.2488439}, doi = {10.1145/2488388.2488439}, timestamp = {Sun, 22 Sep 2019 18:15:38 +0200}, biburl = {https://dblp.org/rec/conf/www/HsiehTAHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dars/2010, editor = {Alcherio Martinoli and Francesco Mondada and Nikolaus Correll and Gr{\'{e}}gory Mermoud and Magnus Egerstedt and M. Ani Hsieh and Lynne E. Parker and Kasper St{\o}y}, title = {Distributed Autonomous Robotic Systems - The 10th International Symposium, {DARS} 2010, Lausanne, Switzerland, November 1-3, 2010}, series = {Springer Tracts in Advanced Robotics}, volume = {83}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-32723-0}, doi = {10.1007/978-3-642-32723-0}, isbn = {978-3-642-32722-3}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dars/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsiehSDR13, author = {Cho{-}Jui Hsieh and M{\'{a}}ty{\'{a}}s A. Sustik and Inderjit S. Dhillon and Pradeep Ravikumar}, title = {Sparse Inverse Covariance Matrix Estimation Using Quadratic Approximation}, journal = {CoRR}, volume = {abs/1306.3212}, year = {2013}, url = {http://arxiv.org/abs/1306.3212}, eprinttype = {arXiv}, eprint = {1306.3212}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsiehSDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HsiehCM12, author = {Yu{-}Chen Hsieh and Kuo{-}Hsiang Chen and Min{-}Yuan Ma}, title = {Retain viewer's attention on banner ad by manipulating information type of the content}, journal = {Comput. Hum. Behav.}, volume = {28}, number = {5}, pages = {1692--1699}, year = {2012}, url = {https://doi.org/10.1016/j.chb.2012.04.008}, doi = {10.1016/J.CHB.2012.04.008}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/HsiehCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/HsiehRTSR12, author = {Ming{-}yu Hsieh and Rolf Riesen and Kevin Thompson and William J. Song and Arun Rodrigues}, title = {{SST:} {A} Scalable Parallel Framework for Architecture-Level Performance, Power, Area and Thermal Simulation}, journal = {Comput. J.}, volume = {55}, number = {2}, pages = {181--191}, year = {2012}, url = {https://doi.org/10.1093/comjnl/bxr069}, doi = {10.1093/COMJNL/BXR069}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/HsiehRTSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/KaraHHHMRWDRCBMBMWHGK12, author = {Emily L. Kara and Paul C. Hanson and David P. Hamilton and Matthew R. Hipsey and Katherine D. McMahon and Jordan S. Read and Luke A. Winslow and John Dedrick and Kevin Rose and Cayelan C. Carey and Stefan Bertilsson and David da Motta Marques and Lucas Beversdorf and Todd Miller and Chin H. Wu and Yi{-}Fang Hsieh and Evelyn Gaiser and Tim Kratz}, title = {Time-scale dependence in numerical simulations: Assessment of physical, chemical, and biological predictions in a stratified lake at temporal scales of hours to months}, journal = {Environ. Model. Softw.}, volume = {35}, pages = {104--121}, year = {2012}, url = {https://doi.org/10.1016/j.envsoft.2012.02.014}, doi = {10.1016/J.ENVSOFT.2012.02.014}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/KaraHHHMRWDRCBMBMWHGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/HsiehWSL12, author = {Tung{-}Cheng Hsieh and Tzone{-}I Wang and Chien{-}Yuan Su and Ming{-}Che Lee}, title = {A Fuzzy Logic-based Personalized Learning System for Supporting Adaptive English Learning}, journal = {J. Educ. Technol. Soc.}, volume = {15}, number = {1}, pages = {273--288}, year = {2012}, url = {http://www.ifets.info/download\_pdf.php?j\_id=54\&a\_id=1214}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/HsiehWSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SuHLH12, author = {Tung{-}Shih Su and Mei{-}Wen Huang and Wei{-}Shuo Li and Wen{-}Shyong Hsieh}, title = {Aggregation Scheme with Secure Hierarchical Clustering for Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/162347}, doi = {10.1155/2012/162347}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SuHLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/HungYH12, author = {Ming{-}Chien Hung and Shih{-}Ting Yang and Ting{-}Chu Hsieh}, title = {An Examination of the Determinants of Mobile Shopping Continuance}, journal = {Int. J. Electron. Bus. Manag.}, volume = {10}, number = {1}, pages = {29--37}, year = {2012}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V10\_N1/A04.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/HungYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/WongCCHL12, author = {Lung{-}Hsiang Wong and Ching{-}Sing Chai and Chee{-}Kuen Chin and Yu{-}Fen Hsieh and May Liu}, title = {Towards a seamless language learning framework mediated by the ubiquitous technology}, journal = {Int. J. Mob. Learn. Organisation}, volume = {6}, number = {2}, pages = {156--171}, year = {2012}, url = {https://doi.org/10.1504/IJMLO.2012.047599}, doi = {10.1504/IJMLO.2012.047599}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/WongCCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnm/MaCKHH12, author = {Yi{-}Wei Ma and Jiann{-}Liang Chen and Sy{-}Yen Kuo and Wen{-}Kuei Hsieh and Yueh{-}Min Huang}, title = {An efficient code gateway for {RFID} seamless applications}, journal = {Int. J. Netw. Manag.}, volume = {22}, number = {2}, pages = {150--161}, year = {2012}, url = {https://doi.org/10.1002/nem.797}, doi = {10.1002/NEM.797}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnm/MaCKHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/Chang-JianCH12, author = {Cai{-}Wan Chang{-}Jian and Shiuh Ming Chang and Hsieh{-}Chung Hsu}, title = {Couple-Stress Fluid Improves Dynamic Response of Gear-Pair System Supported by Journal Bearings}, journal = {J. Appl. Math.}, volume = {2012}, pages = {527878:1--527878:20}, year = {2012}, url = {https://doi.org/10.1155/2012/527878}, doi = {10.1155/2012/527878}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/Chang-JianCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/SuSKWHT12, author = {Cheng{-}Chin Su and Matthew R. Smith and Fang{-}An Kuo and Jong{-}Shinn Wu and Chih{-}Wei Hsieh and Kun{-}Chang Tseng}, title = {Large-scale simulations on multiple Graphics Processing Units (GPUs) for the direct simulation Monte Carlo method}, journal = {J. Comput. Phys.}, volume = {231}, number = {23}, pages = {7932--7958}, year = {2012}, url = {https://doi.org/10.1016/j.jcp.2012.07.038}, doi = {10.1016/J.JCP.2012.07.038}, timestamp = {Sat, 06 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/SuSKWHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HsiehL12, author = {M. Ani Hsieh and Simon Lacroix}, title = {Editorial: For the {JFR} special issue on "Multiple collaborative field robots"}, journal = {J. Field Robotics}, volume = {29}, number = {5}, pages = {687--688}, year = {2012}, url = {https://doi.org/10.1002/rob.21438}, doi = {10.1002/ROB.21438}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HsiehL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BulzacchelliMBSHHHRFGPMSKAKCRSGCBBKTF12, author = {John F. Bulzacchelli and Christian Menolfi and Troy J. Beukema and Daniel W. Storaska and Juergen Hertle and David Hanson and Ping{-}Hsuan Hsieh and Sergey V. Rylov and Daniel Furrer and Daniele Gardellini and Andrea Prati and Thomas Morf and Vivek Sharma and Ram Kelkar and Herschel A. Ainspan and William R. Kelly and L. R. Chieco and Glenn Ritter and J. A. Sorice and Jon Garlett and Robert Callan and Matthias Braendli and Peter Buchmann and Marcel A. Kossel and Thomas Toifl and Daniel J. Friedman}, title = {A 28-Gb/s 4-Tap FFE/15-Tap {DFE} Serial Link Transceiver in 32-nm {SOI} {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {12}, pages = {3232--3248}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2216414}, doi = {10.1109/JSSC.2012.2216414}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BulzacchelliMBSHHHRFGPMSKAKCRSGCBBKTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/SatriaHHCL12, author = {Muhammad T. Satria and Bormin Huang and Tung{-}Ju Hsieh and Yang{-}Lang Chang and Wen{-}Yew Liang}, title = {{GPU} Acceleration of Tsunami Propagation Model}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {5}, number = {3}, pages = {1014--1023}, year = {2012}, url = {https://doi.org/10.1109/JSTARS.2012.2199468}, doi = {10.1109/JSTARS.2012.2199468}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/SatriaHHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangCLTCHLLS12, author = {Chunyang Wang and Ming{-}Lung Chuang and Shinn{-}Jye Liang and Jui{-}Che Tsai and Ching{-}Cheng Chuang and Yao{-}Sheng Hsieh and Chih{-}Wei Lu and Po{-}Lei Lee and Chia{-}Wei Sun}, title = {Diffuse Optical Multipatch Technique for Tissue Oxygenation Monitoring: Clinical Study in Intensive Care Unit}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {1}, pages = {87--94}, year = {2012}, url = {https://doi.org/10.1109/TBME.2011.2147315}, doi = {10.1109/TBME.2011.2147315}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/WangCLTCHLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tis/HsiehKHK12, author = {J. J. Po{-}An Hsieh and Mark Keil and Jonny Holmstr{\"{o}}m and Lynette Kvasny}, title = {The Bumpy Road to Universal Access: An Actor-Network Analysis of a {U.S.} Municipal Broadband Internet Initiative}, journal = {Inf. Soc.}, volume = {28}, number = {4}, pages = {264--283}, year = {2012}, url = {https://doi.org/10.1080/01972243.2012.689271}, doi = {10.1080/01972243.2012.689271}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tis/HsiehKHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HsiehFHSC12, author = {Chien{-}Yu Hsieh and Ming{-}Long Fan and Vita Pi{-}Ho Hu and Pin Su and Ching{-}Te Chuang}, title = {Independently-Controlled-Gate FinFET Schmitt Trigger Sub-Threshold SRAMs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {7}, pages = {1201--1210}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2156435}, doi = {10.1109/TVLSI.2011.2156435}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HsiehFHSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-sighan/HsiehBCC12, author = {Yu{-}Ming Hsieh and Ming{-}Hong Bai and Jason S. Chang and Keh{-}Jiann Chen}, title = {Improving {PCFG} Chinese Parsing with Context-Dependent Probability Re-estimation}, booktitle = {Proceedings of the Second {CIPS-SIGHAN} Joint Conference on Chinese Language Processing, Tianjin, China, December 20-21, 2012}, pages = {216--221}, publisher = {Association for Computational Linguistics}, year = {2012}, url = {https://aclanthology.org/W12-6338/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-sighan/HsiehBCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/BaiHCC12, author = {Ming{-}Hong Bai and Yu{-}Ming Hsieh and Keh{-}Jiann Chen and Jason S. Chang}, title = {{DOMCAT:} {A} Bilingual Concordancer for Domain-Specific Computer Assisted Translation}, booktitle = {The 50th Annual Meeting of the Association for Computational Linguistics, Proceedings of the System Demonstrations, July 10, 2012, Jeju Island, Korea}, pages = {55--60}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/P12-3010/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/BaiHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChenHHKC12, author = {Mei{-}hua Chen and Shih{-}Ting Huang and Hung{-}ting Hsieh and Ting{-}hui Kao and Jason S. Chang}, title = {{FLOW:} {A} First-Language-Oriented Writing Assistant System}, booktitle = {The 50th Annual Meeting of the Association for Computational Linguistics, Proceedings of the System Demonstrations, July 10, 2012, Jeju Island, Korea}, pages = {157--162}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/P12-3027/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChenHHKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HsiehWKC12, author = {Wen{-}Tai Hsieh and Chen Ming Wu and Tsun Ku and Seng{-}cho Timothy Chou}, title = {Social Event Radar: {A} Bilingual Context Mining and Sentiment Analysis Summarization System}, booktitle = {The 50th Annual Meeting of the Association for Computational Linguistics, Proceedings of the System Demonstrations, July 10, 2012, Jeju Island, Korea}, pages = {163--168}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/P12-3028/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/HsiehWKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLHYHCWHHTMC12, author = {Yung{-}Chan Chen and Yu{-}Po Lin and Tsui{-}Ling Hsieh and Chun{-}Yi Yeh and Pin{-}Yang Huang and Hung{-}Chih Chiu and Zong{-}Ye Wang and Wen{-}Yang Hsu and Po{-}Chiun Huang and Kea{-}Tiong Tang and Hsi{-}Pin Ma and Hsin Chen}, title = {An implantable microsystem for studying the Parkinson's Disease}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {92--95}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418979}, doi = {10.1109/APCCAS.2012.6418979}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLHYHCWHHTMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HuangLHLH12, author = {Chen{-}Yueh Huang and Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Ming{-}Chun Liang and Cheng{-}Han Hsieh}, title = {Burst-pulse control of microstimulator for bladder controller}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {84--87}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418977}, doi = {10.1109/APCCAS.2012.6418977}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HuangLHLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WuNTHJRCC12, author = {Hao Wu and Lan Nan and Sai{-}Wang Tam and Hsieh{-}Hung Hsieh and Chewnpu Jou and Glenn Reinman and Jason Cong and Mau{-}Chung Frank Chang}, title = {A 60GHz on-chip RF-Interconnect with {\(\lambda\)}/4 coupler for 5Gbps bi-directional communication and multi-drop arbitration}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330666}, doi = {10.1109/CICC.2012.6330666}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WuNTHJRCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/HuangYCHKC12, author = {Chung{-}Chi Huang and Ping{-}Che Yang and Mei{-}hua Chen and Hung{-}ting Hsieh and Ting{-}hui Kao and Jason S. Chang}, editor = {Walter Daelemans and Mirella Lapata and Llu{\'{\i}}s M{\`{a}}rquez}, title = {TransAhead: {A} Writing Assistant for {CAT} and {CALL}}, booktitle = {{EACL} 2012, 13th Conference of the European Chapter of the Association for Computational Linguistics, Avignon, France, April 23-27, 2012}, pages = {16--19}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/E12-2004/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/HuangYCHKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/ShinWH12, author = {Shin{-}Shing Shin and Jen{-}Her Wu and Ming{-}Che Hsieh}, title = {A MDA-Based Development Approach for 3-tiers Applications}, booktitle = {20th European Conference on Information Systems, {ECIS} 2012, Barcelona, Spain, June 10-13, 2012}, pages = {51}, year = {2012}, url = {http://aisel.aisnet.org/ecis2012/51}, timestamp = {Mon, 05 Dec 2016 15:14:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/ShinWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12, author = {Socrates D. Vamvakos and Bendik Kleveland and Dipak K. Sikdar and B. K. Ahuja and Haidang Lin and Jayaprakash Balachandran and Wignes Balakrishnan and Aldo Bottelli and Jawji Chen and Xiaole Chen and Jae Choi and Jeong Choi and Rajesh Chopra and Sanjay Dabral and Kalyan Dasari and Ronald B. David and Shaishav Desai and Claude R. Gauthier and Mahmudul Hassan and Kuo{-}Chiang Hsieh and Ramosan Canagasaby and Jeff Kumala and E. P. Kwon and Ben Lee and Ming Liu and Gurupada Mandal and Sundari Mitra and Byeong Cheol Na and Siddharth Panwar and Jay Patel and Chethan Rao and Vithal Rao and Richard Rouse and Ritesh Saraf and Subramanian Seshadri and Jae{-}K. Sim and Clement Szeto and Alvin Wang and Jason Yeung}, title = {A 576 Mb {DRAM} with 16-channel 10.3125Gbps serial {I/O} and 14.5 ns latency}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {458--461}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341354}, doi = {10.1109/ESSCIRC.2012.6341354}, timestamp = {Thu, 26 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ChiuTWCSK12, author = {Sheng{-}Hsiung Chiu and Meng{-}Hsiun Tsai and Hsieh{-}Chung Wu and Wei{-}Chun Chen and Utpala Shrestha and Sherwin Kuo}, title = {Application of Fuzzy c-Means and Self-organizing maps for genes clustering in mouse brain microarray data analysis}, booktitle = {9th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2012, 29-31 May 2012, Chongqing, China}, pages = {1104--1108}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FSKD.2012.6234233}, doi = {10.1109/FSKD.2012.6234233}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ChiuTWCSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/SuWH12, author = {Chin{-}Hung Su and Mohd Helmy Abd Wahab and Tsai{-}Ming Hsieh}, title = {Image Retrieval based on color and texture features}, booktitle = {9th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2012, 29-31 May 2012, Chongqing, China}, pages = {1816--1819}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FSKD.2012.6234300}, doi = {10.1109/FSKD.2012.6234300}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/SuWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hisb/HsiehDCLK12, author = {Alexander Hsieh and Son Doan and Michael Conway and Ko{-}Wei Lin and Hyeoneui Kim}, title = {Demographics Identification: Variable Extraction Resource {(DIVER)}}, booktitle = {2012 {IEEE} Second International Conference on Healthcare Informatics, Imaging and Systems Biology, {HISB} 2012, La Jolla, CA, USA, September 27-28, 2012}, pages = {40--49}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HISB.2012.17}, doi = {10.1109/HISB.2012.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hisb/HsiehDCLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HsiehFMS12, author = {M. Ani Hsieh and Eric Forgoston and T. William Mather and Ira B. Schwartz}, title = {Robotic manifold tracking of coherent structures in flows}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2012, 14-18 May, 2012, St. Paul, Minnesota, {USA}}, pages = {4242--4247}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICRA.2012.6224769}, doi = {10.1109/ICRA.2012.6224769}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/HsiehFMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HouLLH12, author = {Chung{-}Chuan Hou and Shu{-}Wei Lin and Yung{-}Hsien Lien and Min{-}Ju Hsieh}, title = {An {AC/DC} power system with an auxiliary three-level converter under voltage sags}, booktitle = {21st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2012, Hangzhou, China, 28-31 May, 2012}, pages = {1968--1972}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIE.2012.6237394}, doi = {10.1109/ISIE.2012.6237394}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isie/HouLLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HsiehLH12, author = {Chi{-}Hsuan Hsieh and Ming{-}Yong Lee and Yuan{-}Hao Huang}, title = {A 516Mb/s 0.2nJ/bit/iter variable-block-size turbo decoder for 3GPP {LTE-A} system}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {343--346}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407111}, doi = {10.1109/ISOCC.2012.6407111}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HsiehLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/HsiehWTSL12, author = {Meng{-}Yen Hsieh and Tin{-}Yu Wu and Yin{-}Te Tsai and Chi{-}Hua Shih and Kuan{-}Ching Li}, title = {Interactive design using non-touch technologies for group trip}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {216--221}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473483}, doi = {10.1109/ISPACS.2012.6473483}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/HsiehWTSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiLWCLYHW12, author = {Shih{-}An Li and Yi{-}Chun Lin and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Circle object recognition based on monocular vision for home security robot}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {258--261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473491}, doi = {10.1109/ISPACS.2012.6473491}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiLWCLYHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiWCLYLHW12, author = {Shih{-}An Li and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Yi{-}Chun Lin and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Servo motor controller design for robotic manipulator}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {254--257}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473490}, doi = {10.1109/ISPACS.2012.6473490}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiWCLYLHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/SuHHLC12, author = {Mu{-}Chun Su and Ting{-}Huan Hsio and Yi{-}Zeng Hsieh and Shih{-}Chieh Lin and Chien{-}Hsing Chou}, title = {A neural-network-based sketch recognition system}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {420--423}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473523}, doi = {10.1109/ISPACS.2012.6473523}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/SuHHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BulzacchelliBSHRFGPMHHMSKAKRGCTF12, author = {John F. Bulzacchelli and Troy J. Beukema and Daniel W. Storaska and Ping{-}Hsuan Hsieh and Sergey V. Rylov and Daniel Furrer and Daniele Gardellini and Andrea Prati and Christian Menolfi and David Hanson and Juergen Hertle and Thomas Morf and Vivek Sharma and Ram Kelkar and Herschel A. Ainspan and William R. Kelly and Glenn Ritter and Jon Garlett and Robert Callan and Thomas Toifl and Daniel J. Friedman}, title = {A 28Gb/s 4-tap FFE/15-tap {DFE} serial link transceiver in 32nm {SOI} {CMOS} technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {324--326}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177031}, doi = {10.1109/ISSCC.2012.6177031}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BulzacchelliBSHRFGPMHHMSKAKRGCTF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsiehCLC12, author = {Min{-}Han Hsieh and Liang{-}Hsin Chen and Shen{-}Iuan Liu and Charlie Chung{-}Ping Chen}, title = {A 6.7MHz-to-1.24GHz 0.0318mm\({}^{\mbox{2}}\) fast-locking all-digital {DLL} in 90nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {244--246}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176994}, doi = {10.1109/ISSCC.2012.6176994}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsiehCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBTJHRCC12, author = {Yanghyo Kim and Gyungsu Byun and Adrian Tang and Chewnpu Jou and Hsieh{-}Hung Hsieh and Glenn Reinman and Jason Cong and Mau{-}Chung Frank Chang}, title = {An 8Gb/s/pin 4pJ/b/pin Single-T-Line dual (base+RF) band simultaneous bidirectional mobile memory {I/O} interface with inter-channel interference suppression}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {50--52}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176874}, doi = {10.1109/ISSCC.2012.6176874}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimBTJHRCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlslp/DhillonHSR12, author = {Inderjit S. Dhillon and Cho{-}Jui Hsieh and M{\'{a}}ty{\'{a}}s A. Sustik and Pradeep Ravikumar}, title = {Sparse inverse covariance matrix estimation using quadratic approximation}, booktitle = {2012 Symposium on Machine Learning in Speech and Language Processing, {MLSLP} 2012, Portland, Oregon, USA, September 14, 2012}, publisher = {{ISCA}}, year = {2012}, url = {https://www.isca-archive.org/mlslp\_2012/dhillon12\_mlslp.html}, timestamp = {Thu, 01 Aug 2024 15:37:24 +0200}, biburl = {https://dblp.org/rec/conf/mlslp/DhillonHSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/VamvakosGRCCDDH12, author = {Socrates D. Vamvakos and Claude R. Gauthier and Chethan Rao and Karthisha Ramoshan Canagasaby and Prashant Choudhary and Sanjay Dabral and Shaishav Desai and Mahmudul Hassan and Kuo{-}Chiang Hsieh and Bendik Kleveland and Gurupada Mandal and Richard Rouse and Ritesh Saraf and Alvin Wang and Jason Yeung and Khaldoon Abugharbieh and Ying Cao}, title = {A 2.488-11.2 Gb/s multi-protocol SerDes in 40nm low-leakage {CMOS} for {FPGA} applications}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {5--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6291943}, doi = {10.1109/MWSCAS.2012.6291943}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/VamvakosGRCCDDH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW12, author = {James C. Corbett and Jeffrey Dean and Michael Epstein and Andrew Fikes and Christopher Frost and J. J. Furman and Sanjay Ghemawat and Andrey Gubarev and Christopher Heiser and Peter Hochschild and Wilson C. Hsieh and Sebastian Kanthak and Eugene Kogan and Hongyi Li and Alexander Lloyd and Sergey Melnik and David Mwaura and David Nagle and Sean Quinlan and Rajesh Rao and Lindsay Rolig and Yasushi Saito and Michal Szymaniak and Christopher Taylor and Ruth Wang and Dale Woodford}, editor = {Chandu Thekkath and Amin Vahdat}, title = {Spanner: Google's Globally-Distributed Database}, booktitle = {10th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2012, Hollywood, CA, USA, October 8-10, 2012}, pages = {251--264}, publisher = {{USENIX} Association}, year = {2012}, url = {https://www.usenix.org/conference/osdi12/technical-sessions/presentation/corbett}, timestamp = {Tue, 02 Feb 2021 08:05:55 +0100}, biburl = {https://dblp.org/rec/conf/osdi/CorbettDEFFFGGHHHKKLLMMNQRRSSTWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/HsiehCS12, author = {Shu{-}Kai Hsieh and Yu{-}Yun Chang and Meng{-}Xian Shih}, title = {Chinese Sentiments on the Clouds: {A} Preliminary Experiment on Corpus Processing and Exploration on Cloud Service}, booktitle = {Proceedings of the 26th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 26, Bali, Indonesia, December 16-18, 2012}, pages = {491--497}, publisher = {{PACLIC} 26 Organizing Committee and {PACLIC} Steering Committee / {ACL} / Faculty of Computer Science, Universitas Indonesia}, year = {2012}, url = {https://aclanthology.org/Y12-1053/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/HsiehCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ph/RamanathanAFGHJKLOSTE12, author = {Nithya Ramanathan and Faisal Alquaddoomi and Hossein Falaki and Dony George and Cheng{-}Kang Hsieh and John Jenkins and Cameron Ketcham and Brent Longstaff and Jeroen Ooms and Joshua Selsky and Hongsuda Tangmunarunkit and Deborah Estrin}, title = {ohmage: An open mobile system for activity and experience sampling}, booktitle = {6th International Conference on Pervasive Computing Technologies for Healthcare, PervasiveHealth 2012 and Workshops, San Diego, CA, USA, May 21-24, 2012}, pages = {203--204}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.4108/icst.pervasivehealth.2012.248705}, doi = {10.4108/ICST.PERVASIVEHEALTH.2012.248705}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ph/RamanathanAFGHJKLOSTE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/EssenHAG12, author = {Brian Van Essen and Henry Hsieh and Sasha Ames and Maya B. Gokhale}, title = {{DI-MMAP:} {A} High Performance Memory-Map Runtime for Data-Intensive Applications}, booktitle = {2012 {SC} Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012}, pages = {731--735}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SC.Companion.2012.99}, doi = {10.1109/SC.COMPANION.2012.99}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/EssenHAG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/HsiehSLJ12, author = {Shang{-}Lin Hsieh and Ming Hsiung Su and Lu Feng Liu and Wey{-}Wen Jiang}, editor = {Bernady O. Apduhan and Ching{-}Hsien Hsu and Tadashi Dohi and Kenji Ishida and Laurence Tianruo Yang and Jianhua Ma}, title = {A Finite State Machine-Based Fall Detection Mechanism on Smartphones}, booktitle = {9th International Conference on Ubiquitous Intelligence and Computing and 9th International Conference on Autonomic and Trusted Computing, {UIC/ATC} 2012, Fukuoka, Japan, September 4-7, 2012}, pages = {735--739}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/UIC-ATC.2012.153}, doi = {10.1109/UIC-ATC.2012.153}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/HsiehSLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiHLS12, author = {Cheng{-}Te Li and Hsun{-}Ping Hsieh and Shou{-}De Lin and Man{-}Kwan Shan}, editor = {Alain Mille and Fabien Gandon and Jacques Misselis and Michael Rabinovich and Steffen Staab}, title = {Finding influential seed successors in social networks}, booktitle = {Proceedings of the 21st World Wide Web Conference, {WWW} 2012, Lyon, France, April 16-20, 2012 (Companion Volume)}, pages = {557--558}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2187980.2188125}, doi = {10.1145/2187980.2188125}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LiHLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ahswn/LiuLHTS11, author = {Ning{-}Han Liu and Cheng{-}Yi Li and Shu{-}Ju Hsieh and Cheng{-}Fa Tsai and Min{-}Hua Shao}, title = {Long-term Audio Observation by Wireless Sensor Networks with Filtering Strategies}, journal = {Ad Hoc Sens. Wirel. Networks}, volume = {12}, number = {1-2}, pages = {151--167}, year = {2011}, url = {http://www.oldcitypublishing.com/journals/ahswn-home/ahswn-issue-contents/ahswn-volume-12-number-1-2-2011/ahswn-12-1-2-p-151-167/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ahswn/LiuLHTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/SuLWHL11, author = {Mu{-}Chun Su and Shih{-}Chang Lai and Pa{-}Chun Wang and Yi{-}Zeng Hsieh and Shih{-}Chieh Lin}, title = {A SOMO-based approach to the operating room scheduling problem}, journal = {Expert Syst. Appl.}, volume = {38}, number = {12}, pages = {15447--15454}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.06.016}, doi = {10.1016/J.ESWA.2011.06.016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/SuLWHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JangCCHHH11, author = {Sheng{-}Lyang Jang and Chia{-}Wei Chang and Yu{-}Sheng Chen and Jhin{-}Fang Huang and Jau{-}Wei Hsieh and Chong{-}Wei Huang}, title = {A 0.18 {\(\mathrm{\mu}\)}m {CMOS} Wide-Band Injection-Locked Frequency Divider Using Push-Push Oscillator}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {8}, pages = {1332--1335}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.1332}, doi = {10.1587/TRANSELE.E94.C.1332}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JangCCHHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbra/ChiuHT11, author = {Sung{-}Kay Chiu and Ming{-}Hua Hsieh and Chi{-}Meng Tzeng}, title = {Unique marker finder algorithm generates molecular diagnostic markers}, journal = {Int. J. Bioinform. Res. Appl.}, volume = {7}, number = {1}, pages = {24--42}, year = {2011}, url = {https://doi.org/10.1504/IJBRA.2011.039168}, doi = {10.1504/IJBRA.2011.039168}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbra/ChiuHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paapp/ChenTSCHW11, author = {Chi{-}Tung Chen and Sirin Tekinay and Cem U. Saraydar and Hsing{-}Chung Chen and Ming{-}Yuan Hsieh and Jyu{-}Wei Wang}, title = {Flexible architecture of relay-based wireless network for network lifetime extension with hop-count constraint}, journal = {Int. J. Parallel Emergent Distributed Syst.}, volume = {26}, number = {2}, pages = {121--148}, year = {2011}, url = {https://doi.org/10.1080/17445761003691882}, doi = {10.1080/17445761003691882}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/paapp/ChenTSCHW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangLHTWLWCYF11, author = {Chien{-}Hsin Huang and Chien{-}Hsing Lee and Tsung{-}Min Hsieh and Li{-}Chi Tsao and Shaoyi Wu and Jhyy{-}Cheng Liou and Mingyi Wang and Li{-}Che Chen and Ming{-}Chuen Yip and Weileun Fang}, title = {Implementation of the {CMOS} {MEMS} Condenser Microphone with Corrugated Metal Diaphragm and Silicon Back-Plate}, journal = {Sensors}, volume = {11}, number = {6}, pages = {6257--6269}, year = {2011}, url = {https://doi.org/10.3390/s110606257}, doi = {10.3390/S110606257}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangLHTWLWCYF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/McCueHMN11, author = {Scott W. McCue and Mike H.{-}N. Hsieh and Timothy J. Moroney and Mark I. Nelson}, title = {Asymptotic and Numerical Results for a Model of Solvent-Dependent Drug Diffusion through Polymeric Spheres}, journal = {{SIAM} J. Appl. Math.}, volume = {71}, number = {6}, pages = {2287--2311}, year = {2011}, url = {https://doi.org/10.1137/110821688}, doi = {10.1137/110821688}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamam/McCueHMN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/HsiehRRTS11, author = {Ming{-}yu Hsieh and Arun Rodrigues and Rolf Riesen and Kevin Thompson and William J. Song}, title = {A framework for architecture-level power, area, and thermal simulation and its application to network-on-chip design exploration}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {38}, number = {4}, pages = {63--68}, year = {2011}, url = {https://doi.org/10.1145/1964218.1964229}, doi = {10.1145/1964218.1964229}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/HsiehRRTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeSLCHYLLF11, author = {Shuenn{-}Yuh Lee and Mario YuCheng Su and Ming{-}Chun Liang and You{-}Yin Chen and Cheng{-}Han Hsieh and Chung{-}Min Yang and Hsin{-}Yi Lai and Jou{-}Wei Lin and Qiang Fang}, title = {A Programmable Implantable Microstimulator SoC With Wireless Telemetry: Application in Closed-Loop Endocardial Stimulation for Cardiac Pacemaker}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {5}, number = {6}, pages = {511--522}, year = {2011}, url = {https://doi.org/10.1109/TBCAS.2011.2177661}, doi = {10.1109/TBCAS.2011.2177661}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeSLCHYLLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/TangCCHS11, author = {Kea{-}Tiong Tang and Shih{-}Wen Chiu and Meng{-}Fan Chang and Chih{-}Cheng Hsieh and Jyuo{-}Min Shyu}, title = {A Low-Power Electronic Nose Signal-Processing Chip for a Portable Artificial Olfaction System}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {5}, number = {4}, pages = {380--390}, year = {2011}, url = {https://doi.org/10.1109/TBCAS.2011.2116786}, doi = {10.1109/TBCAS.2011.2116786}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/TangCCHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/DorrellHPESG11, author = {David George Dorrell and Min{-}Fu Hsieh and Mircea Popescu and Lyndon Evans and David A. Staton and Vic Grout}, title = {A Review of the Design Issues and Techniques for Radial-Flux Brushless Surface and Internal Rare-Earth Permanent-Magnet Motors}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {58}, number = {9}, pages = {3741--3757}, year = {2011}, url = {https://doi.org/10.1109/TIE.2010.2089940}, doi = {10.1109/TIE.2010.2089940}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/DorrellHPESG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/YehSHCL11, author = {Wei{-}Chang Yeh and J. C. P. Su and Tsung{-}Jung Hsieh and Mingchang Chih and Sin{-}Long Liu}, title = {Approximate Reliability Function Based on Wavelet Latin Hypercube Sampling and Bee Recurrent Neural Network}, journal = {{IEEE} Trans. Reliab.}, volume = {60}, number = {2}, pages = {404--414}, year = {2011}, url = {https://doi.org/10.1109/TR.2011.2134190}, doi = {10.1109/TR.2011.2134190}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/YehSHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsc/HsiehHT11, author = {Chaur{-}Heh Hsieh and Ping Sheng Huang and Ming{-}Da Tang}, editor = {Mark Reynolds}, title = {The Recognition of Human Action Using Silhouette Histogram}, booktitle = {Thirty-Fourth Australasian Computer Science Conference, {ACSC} 2011, Perth, Australia, January 2011}, series = {{CRPIT}}, volume = {113}, pages = {11--16}, publisher = {Australian Computer Society}, year = {2011}, url = {http://crpit.scem.westernsydney.edu.au/abstracts/CRPITV113Hsieh.html}, timestamp = {Fri, 02 Jul 2021 14:00:51 +0200}, biburl = {https://dblp.org/rec/conf/acsc/HsiehHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/AytacKNRPH11, author = {Selenay Aytac and Margaret E. I. Kipp and Diane Neal and Victoria L. Rubin and Cristina Pattuelli and Ingrid Hsieh{-}Yee}, title = {Emerging trends in knowledge organization and information organization course curriculum}, booktitle = {Bridging the Gulf: Communication and Information in Society, Technology, and Work - Proceedings of the 74th ASIS{\&}T Annual Meeting, {ASIST} 2011, New Orleans, LA, USA, October 9-12, 2011}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {48}, number = {1}, pages = {1--4}, publisher = {Wiley}, year = {2011}, url = {https://doi.org/10.1002/meet.2011.14504801079}, doi = {10.1002/MEET.2011.14504801079}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asist/AytacKNRPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeFCCLCCSHL11, author = {Yu{-}Huei Lee and Ming{-}Yan Fan and Wei{-}Chung Chen and Ke{-}Horng Chen and Sheng{-}Fa Liu and Pao{-}Hsien Chiu and Sandy Chen and Chun{-}Yu Shen and Ming{-}Ta Hsieh and Huai{-}An Li}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {A near-zero cross-regulation single-inductor bipolar-output {(SIBO)} converter with an active-energy-correlation control for driving cholesteric-LCD}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055341}, doi = {10.1109/CICC.2011.6055341}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeFCCLCCSHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscl/LinnSCHSMCCXZSM11, author = {Marcia C. Linn and Ji Shen and Hsin{-}Yi Chang and Fang{-}Pei Hsieh and Beat Schwendimann and Camillia Matuk and Jennifer King Chen and Jennifer L. Chiu and Charles Xie and Baohui Zhang and Daner Sun and Karel Mous and Quee Boon Koh and Bahadia Namdar and Rutchelle Enriquez and Jing Lei and Heng Luo and Sunghye Lee and Hsin{-}Kai Wu}, title = {Collaboration as Scaffolding: Learning Together with Dynamic, Interactive Scientific Visualizations and Computer Models}, booktitle = {Proceedings of the 9th International Conference on Computer Supported Collaborative Learning, {CSCL} 2011, Hong Kong, July 4-8, 2011}, publisher = {International Society of the Learning Sciences}, year = {2011}, url = {https://repository.isls.org/handle/1/2402}, timestamp = {Wed, 28 Apr 2021 17:11:51 +0200}, biburl = {https://dblp.org/rec/conf/cscl/LinnSCHSMCCXZSM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ercimdl/AkbarFSCCDGHSFCHSF11, author = {Monika Akbar and Weiguo Fan and Clifford A. Shaffer and Yinlin Chen and Lillian N. Cassel and Lois M. L. Delcambre and Daniel D. Garcia and Gregory W. Hislop and Frank M. Shipman III and Richard Furuta and B. Stephen Carpenter II and Hao{-}wei Hsieh and Bob Siegfried and Edward A. Fox}, editor = {Stefan Gradmann and Francesca Borri and Carlo Meghini and Heiko Schuldt}, title = {Digital Library 2.0 for Educational Resources}, booktitle = {Research and Advanced Technology for Digital Libraries - International Conference on Theory and Practice of Digital Libraries, {TPDL} 2011, Berlin, Germany, September 26-28, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6966}, pages = {89--100}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24469-8\_11}, doi = {10.1007/978-3-642-24469-8\_11}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ercimdl/AkbarFSCCDGHSFCHSF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenSHX11, author = {Changlong Chen and Min Song and George Hsieh and Chunsheng Xin}, title = {A {PLL} Based Approach to Building an Effective Covert Timing Channel}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134373}, doi = {10.1109/GLOCOM.2011.6134373}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenSHX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HuangLHWHCTHHSLCC11, author = {Sheng{-}Chieh Huang and Yung{-}Pin Lee and Min{-}Hua Hsieh and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Wei{-}Yu Chen}, title = {What's Happening to Our Body after Drinking Coke? The Characteristic of the Blood Pressure Wave in Radial Artery}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {41--44}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.15}, doi = {10.1109/IBICA.2011.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HuangLHWHCTHHSLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/GonzalezHMHHISB11, author = {Maximillian Gonzalez and Xinheng Huang and David S. Hermina Martinez and Chung H. Hsieh and Yuan R. Huang and Benjamin Irvine and Martin B. Short and Andrea L. Bertozzi}, editor = {Jean{-}Louis Ferrier and Alain Bernard and Oleg Yu. Gusikhin and Kurosh Madani}, title = {A Third Generation Micro-vehicle Testbed for Cooperative Control and Sensing Strategies}, booktitle = {{ICINCO} 2011 - Proceedings of the 8th International Conference on Informatics in Control, Automation and Robotics, Volume 2, Noordwijkerhout, The Netherlands, 28 - 31 July, 2011}, pages = {14--20}, publisher = {SciTePress}, year = {2011}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/GonzalezHMHHISB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/SuHMT11, author = {Ja{-}Hwung Su and Ming{-}Hua Hsieh and Tao Mei and Vincent S. Tseng}, title = {Photosense: Make sense of your photos with enriched harmonic music via emotion association}, booktitle = {Proceedings of the 2011 {IEEE} International Conference on Multimedia and Expo, {ICME} 2011, 11-15 July, 2011, Barcelona, Catalonia, Spain}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICME.2011.6011994}, doi = {10.1109/ICME.2011.6011994}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/SuHMT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHWLCLC11, author = {Yu{-}Shun Wang and Min{-}Han Hsieh and Yi{-}Chi Wu and Chia{-}Ming Liu and Hsien{-}Chen Chiu and Bing{-}Feng Lin and Charlie Chung{-}Ping Chen}, title = {A 12 Gb/s chip-to-chip {AC} coupled transceiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937907}, doi = {10.1109/ISCAS.2011.5937907}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHWLCLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangHHLWFDCC11, author = {Yao{-}Yi Yang and Chun{-}Yu Hsieh and Tzu{-}Chi Huang and Yu{-}Huei Lee and Shih{-}Wei Wang and Ming{-}Yan Fan and Ming{-}Jhe Du and Shih{-}Hsien Cheng and Ke{-}Horng Chen}, title = {A 80V output voltage boost converter with low voltage ripple for Avalanche Photodiode(APD)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {757--760}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937676}, doi = {10.1109/ISCAS.2011.5937676}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangHHLWFDCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ByunKKTHWJCRC11, author = {Gyungsu Byun and Yanghyo Kim and Jongsun Kim and Sai{-}Wang Tam and Hsieh{-}Hung Hsieh and P.{-}Y. Wu and Chewnpu Jou and Jason Cong and Glenn Reinman and Mau{-}Chung Frank Chang}, title = {An 8.4Gb/s 2.5pJ/b mobile memory {I/O} interface using simultaneous bidirectional Dual (Base+RF) band signaling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {488--490}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746409}, doi = {10.1109/ISSCC.2011.5746409}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ByunKKTHWJCRC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSLHHYCLLF11, author = {Shuenn{-}Yuh Lee and Yu{-}Cheng Su and Ming{-}Chun Liang and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Chung{-}Min Yang and You{-}Yin Chen and Hsin{-}Yi Lai and Jou{-}Wei Lin and Qiang Fang}, title = {A programmable implantable micro-stimulator SoC with wireless telemetry: Application in closed-loop endocardial stimulation for cardiac pacemaker}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {44--45}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746212}, doi = {10.1109/ISSCC.2011.5746212}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSLHHYCLLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/WangSHX11, author = {Jun Wang and Min Song and George Hsieh and Chunsheng Xin}, editor = {Junliang Chen and Huadong Ma and Ivan Stojmenovic}, title = {Minimum Cost Broadcast in Multi-radio Multi-channel Wireless Mesh Networks}, booktitle = {Seventh International Conference on Mobile Ad-hoc and Sensor Networks, {MSN} 2011, Beijing, China, December 16-18, 2011}, pages = {238--247}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MSN.2011.46}, doi = {10.1109/MSN.2011.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msn/WangSHX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeLHTLLCCJ11, author = {Chi{-}Yuan Lee and Shuo{-}Jen Lee and Chien{-}Te Hsieh and Ming{-}Shao Tang and Jia{-}Yi Lin and Yi{-}Man Lo and Pei{-}Chi Chen and Dar{-}Yuan Chang and Ruey{-}Shin Juang}, title = {In situ monitoring of voltage and temperature in lithium batteries}, booktitle = {6th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2011, Kaohsiung, Taiwan, February 20-23, 2011}, pages = {237--240}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NEMS.2011.6017338}, doi = {10.1109/NEMS.2011.6017338}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeeLHTLLCCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HsiehSDR11, author = {Cho{-}Jui Hsieh and M{\'{a}}ty{\'{a}}s A. Sustik and Inderjit S. Dhillon and Pradeep Ravikumar}, editor = {John Shawe{-}Taylor and Richard S. Zemel and Peter L. Bartlett and Fernando C. N. Pereira and Kilian Q. Weinberger}, title = {Sparse Inverse Covariance Matrix Estimation Using Quadratic Approximation}, booktitle = {Advances in Neural Information Processing Systems 24: 25th Annual Conference on Neural Information Processing Systems 2011. Proceedings of a meeting held 12-14 December 2011, Granada, Spain}, pages = {2330--2338}, year = {2011}, url = {https://proceedings.neurips.cc/paper/2011/hash/2ba8698b79439589fdd2b0f7218d8b07-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HsiehSDR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LiCHMKBRJ11, author = {Sheng Li and Ke Chen and Ming{-}yu Hsieh and Naveen Muralimanohar and Chad D. Kersey and Jay B. Brockman and Arun F. Rodrigues and Norman P. Jouppi}, editor = {Scott A. Lathrop and Jim Costa and William Kramer}, title = {System implications of memory reliability in exascale computing}, booktitle = {Conference on High Performance Computing Networking, Storage and Analysis, {SC} 2011, Seattle, WA, USA, November 12-18, 2011}, pages = {46:1--46:12}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063384.2063445}, doi = {10.1145/2063384.2063445}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LiCHMKBRJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/TsaiKH10, author = {Meng{-}Han Tsai and Shih{-}Chung Kang and Shang{-}Hsien Hsieh}, title = {A three-stage framework for introducing a 4D tool in large consulting firms}, journal = {Adv. Eng. Informatics}, volume = {24}, number = {4}, pages = {476--489}, year = {2010}, url = {https://doi.org/10.1016/j.aei.2010.04.002}, doi = {10.1016/J.AEI.2010.04.002}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/TsaiKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/HsiehYREW10, author = {Ming{-}yu Hsieh and Shujie Yang and Mary Raymond{-}Stinz and Jeremy S. Edwards and Bridget S. Wilson}, title = {Spatio-temporal modeling of signaling protein recruitment to {EGFR}}, journal = {{BMC} Syst. Biol.}, volume = {4}, pages = {57}, year = {2010}, url = {https://doi.org/10.1186/1752-0509-4-57}, doi = {10.1186/1752-0509-4-57}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/HsiehYREW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChungH10, author = {Shu{-}Hsing Chung and Ming{-}Hsiu Hsieh}, title = {Interim equipment shutdown planning for a wafer fab during economic downturns}, journal = {Comput. Ind. Eng.}, volume = {59}, number = {4}, pages = {819--829}, year = {2010}, url = {https://doi.org/10.1016/j.cie.2010.08.009}, doi = {10.1016/J.CIE.2010.08.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChungH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/McAsseyHS10, author = {Michael P. McAssey and Fushing Hsieh and Anne C. Smith}, title = {Coupling among Electroencephalogram Gamma Signals on a Short Time Scale}, journal = {Comput. Intell. Neurosci.}, volume = {2010}, pages = {946089:1--946089:12}, year = {2010}, url = {https://doi.org/10.1155/2010/946089}, doi = {10.1155/2010/946089}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/McAsseyHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/SmithKHYWF10, author = {Matthew R. Smith and Fang{-}An Kuo and Chih{-}Wei Hsieh and Jen{-}Perng Yu and Jong{-}Shinn Wu and Alex Ferguson}, title = {Rapid optimization of blast wave mitigation strategies using Quiet Direct Simulation and Genetic Algorithm}, journal = {Comput. Phys. Commun.}, volume = {181}, number = {6}, pages = {1025--1036}, year = {2010}, url = {https://doi.org/10.1016/j.cpc.2010.02.009}, doi = {10.1016/J.CPC.2010.02.009}, timestamp = {Thu, 07 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/SmithKHYWF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinHT10, author = {Kawuu Weicheng Lin and Ming{-}Hua Hsieh and Vincent S. Tseng}, title = {A novel prediction-based strategy for object tracking in sensor networks by mining seamless temporal movement patterns}, journal = {Expert Syst. Appl.}, volume = {37}, number = {4}, pages = {2799--2807}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2009.09.011}, doi = {10.1016/J.ESWA.2009.09.011}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/ChengHC10, author = {Sheng{-}Tzong Cheng and Ming{-}Tzung Hsieh and Bo{-}Fu Chen}, title = {Fairness-based scheduling algorithm for time division duplex mode {IEEE} 802.16 broadband wireless access systems}, journal = {{IET} Commun.}, volume = {4}, number = {9}, pages = {1065--1072}, year = {2010}, url = {https://doi.org/10.1049/iet-com.2009.0083}, doi = {10.1049/IET-COM.2009.0083}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/ChengHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LinHL10, author = {Jwu{-}Rong Lin and Chen{-}Jui Huang and Hsieh{-}Lung Liu}, title = {A Matching Approach to M{\&}A, R{\&}D, and Patents: Evidence from Taiwan's Listed Companies}, journal = {Int. J. Electron. Bus. Manag.}, volume = {8}, number = {4}, pages = {282--291}, year = {2010}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V8\_N4/A04.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LinHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/SeahHW10, author = {Melody Seah and Ming{-}Huei Hsieh and Pu{-}Dong Weng}, title = {A case analysis of Savecom: The role of indigenous leadership in implementing a business intelligence system}, journal = {Int. J. Inf. Manag.}, volume = {30}, number = {4}, pages = {368--373}, year = {2010}, url = {https://doi.org/10.1016/j.ijinfomgt.2010.04.002}, doi = {10.1016/J.IJINFOMGT.2010.04.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/SeahHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/SuWHWHLH10, author = {Mu{-}Chun Su and Shao{-}Jui Wang and Chen{-}Ko Huang and Pa{-}Chun Wang and Fu{-}Hau Hsu and Shih{-}Chieh Lin and Yi{-}Zeng Hsieh}, title = {A Signal-Representation-Based Parser to Extract Text-Based Information from the Web}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {14}, number = {5}, pages = {531--539}, year = {2010}, url = {https://doi.org/10.20965/jaciii.2010.p0531}, doi = {10.20965/JACIII.2010.P0531}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/SuWHWHLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/VisweswaranAHWYC10, author = {Shyam Visweswaran and Derek C. Angus and Margaret Hsieh and Lisa A. Weissfeld and Donald Yealy and Gregory F. Cooper}, title = {Learning patient-specific predictive models from clinical data}, journal = {J. Biomed. Informatics}, volume = {43}, number = {5}, pages = {669--685}, year = {2010}, url = {https://doi.org/10.1016/j.jbi.2010.04.009}, doi = {10.1016/J.JBI.2010.04.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/VisweswaranAHWYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PayneCSHK10, author = {Robert Payne and Marco Corsi and David Smith and Tien{-}Ling Hsieh and Scott Kaylor}, title = {A 16-Bit 100 to 160 MS/s SiGe BiCMOS Pipelined {ADC} With 100 dBFS {SFDR}}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {12}, pages = {2613--2622}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2074650}, doi = {10.1109/JSSC.2010.2074650}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PayneCSHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/HayashiHS10, author = {Yoichi Hayashi and Ming{-}Huei Hsieh and Rudy Setiono}, title = {Understanding consumer heterogeneity: {A} business intelligence application of neural networks}, journal = {Knowl. Based Syst.}, volume = {23}, number = {8}, pages = {856--863}, year = {2010}, url = {https://doi.org/10.1016/j.knosys.2010.05.010}, doi = {10.1016/J.KNOSYS.2010.05.010}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/HayashiHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KuoLLYCHLL10, author = {Shou{-}Yi Kuo and Kou{-}Chen Liu and Fang{-}I Lai and Jui{-}Fu Yang and Wei{-}Chun Chen and Ming{-}Yang Hsieh and Hsin{-}I Lin and Woei{-}Tyng Lin}, title = {Effects of {RF} power on the structural, optical and electrical properties of Al-doped zinc oxide films}, journal = {Microelectron. Reliab.}, volume = {50}, number = {5}, pages = {730--733}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.01.042}, doi = {10.1016/J.MICROREL.2010.01.042}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KuoLLYCHLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/WangLHHCYL10, author = {Mu{-}Chun Wang and Chuan{-}Hsi Liu and Kuo{-}Shu Huang and Zhen{-}Ying Hsieh and Shuang{-}Yuan Chen and Hsin{-}Chia Yang and Chii{-}Ruey Lin}, title = {Promoting of charged-device model/electrostatic discharge immunity in the dicing saw process}, journal = {Microelectron. Reliab.}, volume = {50}, number = {6}, pages = {839--846}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.02.018}, doi = {10.1016/J.MICROREL.2010.02.018}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/WangLHHCYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncn/ShihHW10, author = {Yi{-}Chang Shih and Min{-}Hsiu Hsieh and Hung{-}Yu Wei}, title = {Multicasting homogeneous and heterogeneous quantum states in quantum networks}, journal = {Nano Commun. Networks}, volume = {1}, number = {4}, pages = {273--282}, year = {2010}, url = {https://doi.org/10.1016/j.nancom.2010.10.003}, doi = {10.1016/J.NANCOM.2010.10.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ncn/ShihHW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orgsci/HsiehLNL10, author = {Chihmao Hsieh and S{\'{e}}rgio Giovanetti Lazzarini and Jackson A. Nickerson and Marcio Laurini}, title = {Does Ownership Affect the Variability of the Production Process? Evidence from International Courier Services}, journal = {Organ. Sci.}, volume = {21}, number = {4}, pages = {892--912}, year = {2010}, url = {https://doi.org/10.1287/orsc.1090.0482}, doi = {10.1287/ORSC.1090.0482}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orgsci/HsiehLNL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/ChenSLKDHKCCMVKSB10, author = {Rong Chen and Tara K. Sigdel and Li Li and Neeraja Kambham and Joel Dudley and Szu{-}chuan Hsieh and R. Bryan Klassen and Amery Chen and Tuyen Caohuu and Alexander A. Morgan and Hannah A. Valantine and Kiran K. Khush and Minnie M. Sarwal and Atul J. Butte}, title = {Differentially Expressed {RNA} from Public Microarray Data Identifies Serum Protein Biomarkers for Cross-Organ Transplant Rejection and Other Conditions}, journal = {PLoS Comput. Biol.}, volume = {6}, number = {9}, year = {2010}, url = {https://doi.org/10.1371/journal.pcbi.1000940}, doi = {10.1371/JOURNAL.PCBI.1000940}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/ChenSLKDHKCCMVKSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChangWHLLH10, author = {Ming{-}Hung Chang and Jung{-}Yi Wu and Wei{-}Chih Hsieh and Shang{-}Yuan Lin and You{-}Wei Liang and Wei Hwang}, title = {High efficiency power management system for solar energy harvesting applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {879--882}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774960}, doi = {10.1109/APCCAS.2010.5774960}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChangWHLLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HungLCCHTL10, author = {Che{-}Lun Hung and Chun{-}Yuan Lin and Shih{-}Cheng Chang and Yeh{-}Ching Chung and Shu Ju Hsieh and Chuan Yi Tang and Yaw{-}Ling Lin}, title = {{CORAL-M:} Heuristic coding region alignment method for multiple genome sequences}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2010, Hong Kong, December 18, 2010}, pages = {223--228}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BIBMW.2010.5703803}, doi = {10.1109/BIBMW.2010.5703803}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HungLCCHTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HsiehHJKCYTWLT10, author = {Hsieh{-}Hung Hsieh and Fu{-}Lung Hsueh and Chewnpu Jou and Fred Kuo and Sean Chen and Tzu{-}Jin Yeh and Kevin Kai{-}Wen Tan and Po{-}Yi Wu and Yu{-}Ling Lin and Ming{-}Hsien Tsai}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A V-band divide-by-three differential direct injection-locked frequency divider in 65-nm {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617391}, doi = {10.1109/CICC.2010.5617391}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/HsiehHJKCYTWLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gensips/HsiehS10, author = {Mu{-}Fen Hsieh and Sing{-}Hoi Sze}, title = {Graphlet alignment in protein interaction networks}, booktitle = {2010 {IEEE} International Workshop on Genomic Signal Processing and Statistics, GENSiPS 2010, Cold Spring Harbor, NY, USA, November 10-12, 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/GENSIPS.2010.5719676}, doi = {10.1109/GENSIPS.2010.5719676}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/gensips/HsiehS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/XinSMHS10, author = {Chunsheng Xin and Min Song and Liangping Ma and George Hsieh and Chien{-}Chung Shen}, title = {On Random Dynamic Spectrum Access for Cognitive Radio Networks}, booktitle = {Proceedings of the Global Communications Conference, 2010. {GLOBECOM} 2010, 6-10 December 2010, Miami, Florida, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/GLOCOM.2010.5683983}, doi = {10.1109/GLOCOM.2010.5683983}, timestamp = {Thu, 20 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/XinSMHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/HsiehPJNS10, author = {Hao{-}wei Hsieh and Katherine Pauls and Amber Jansen and Gautam Nimmagadda and Frank M. Shipman III}, editor = {Mark H. Chignell and Elaine G. Toms}, title = {Assisting two-way mapping generation in hypermedia workspace}, booktitle = {HT'10, Proceedings of the 21st {ACM} Conference on Hypertext and Hypermedia, Toronto, Ontario, Canada, June 13-16, 2010}, pages = {99--108}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1810617.1810636}, doi = {10.1145/1810617.1810636}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ht/HsiehPJNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LinFHMCH10, author = {Jui{-}Chieh Lin and Ming{-}Jung Fan{-}Chiang and Minja Hsieh and Song{-}Yen Mao and Sao{-}Jie Chen and Yu Hen Hu}, title = {Cycle efficient scrambler implementation for software defined radio}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {1586--1589}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495532}, doi = {10.1109/ICASSP.2010.5495532}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LinFHMCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCHH10, author = {Shi{-}Wen Chen and Ming{-}Hung Chang and Wei{-}Chih Hsieh and Wei Hwang}, title = {Fully on-chip temperature, process, and voltage sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {897--900}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537410}, doi = {10.1109/ISCAS.2010.5537410}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehCCCY10, author = {Jun{-}Wei Hsieh and Sin{-}Yu Chen and Chi{-}Hung Chuang and Miao{-}Fen Chueh and Shiaw{-}Shian Yu}, title = {Occluded human body segmentation and its application to behavior analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3433--3436}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537851}, doi = {10.1109/ISCAS.2010.5537851}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehCCCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHFMYCH10, author = {Jui{-}Chieh Lin and Minja Hsieh and Ming{-}Jung Fan{-}Chiang and Song{-}Yen Mao and Chu Yu and Sao{-}Jie Chen and Yu Hen Hu}, title = {Perfect shuffling for cycle efficient puncturer and interleaver for software defined radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3965--3968}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537661}, doi = {10.1109/ISCAS.2010.5537661}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHFMYCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PayneCSKH10, author = {Robert Payne and Marco Corsi and David Smith and Scott Kaylor and Daniel Hsieh}, title = {A 16b 100-to-160MS/s SiGe BiCMOS pipelined {ADC} with 100dBFS {SFDR}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {294--295}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433928}, doi = {10.1109/ISSCC.2010.5433928}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PayneCSKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/BaeKMMZSHM10, author = {Soonil Bae and DoHyoung Kim and Konstantinos A. Meintanis and J. Michael Moore and Anna Zacchi and Frank M. Shipman III and Hao{-}wei Hsieh and Catherine C. Marshall}, editor = {Jane Hunter and Carl Lagoze and C. Lee Giles and Yuan{-}Fang Li}, title = {Supporting document triage via annotation-based multi-application visualizations}, booktitle = {Proceedings of the 2010 Joint International Conference on Digital Libraries, {JCDL} 2010, Gold Coast, Queensland, Australia, June 21-25, 2010}, pages = {177--186}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1816123.1816150}, doi = {10.1145/1816123.1816150}, timestamp = {Tue, 27 Jul 2021 17:37:28 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/BaeKMMZSHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/HsiehTHCKYLWCLLCWLL10, author = {Chun{-}Ko Hsieh and Xin Tong and Yi{-}Ping Hung and Chia{-}Ping Chen and Ju{-}Chun Ko and Meng{-}Chieh Yu and Han{-}Hung Lin and Szu{-}Wei Wu and Yi{-}Yu Chung and Liang{-}Chun Lin and Ming{-}Sui Lee and Chu{-}Song Chen and Jiaping Wang and Quo{-}Ping Lin and I{-}Ling Liu}, editor = {Susanne Boll and Qi Tian and Lei Zhang and Zili Zhang and Yi{-}Ping Phoebe Chen}, title = {Transformational Breathing between Present and Past: Virtual Exhibition System of the Mao-Kung Ting}, booktitle = {Advances in Multimedia Modeling, 16th International Multimedia Modeling Conference, {MMM} 2010, Chongqing, China, January 6-8, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5916}, pages = {707--712}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11301-7\_73}, doi = {10.1007/978-3-642-11301-7\_73}, timestamp = {Mon, 19 Aug 2024 08:37:55 +0200}, biburl = {https://dblp.org/rec/conf/mmm/HsiehTHCKYLWCLLCWLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/Xin0MHS10, author = {Chunsheng Xin and Min Song and Liangping Ma and George Hsieh and Chien{-}Chung Shen}, editor = {Ranveer Chandra and Sachin Katti and Thomas Moscibroda}, title = {Network coding relayed dynamic spectrum access}, booktitle = {Proceedings of the 2010 {ACM} Workshop on Cognitive Radio Networks, CoRoNet@MOBICOM 2010, Chicago, Illinois, USA, September 20, 2010}, pages = {31--36}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1859955.1859963}, doi = {10.1145/1859955.1859963}, timestamp = {Tue, 06 Nov 2018 16:58:59 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/Xin0MHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/HsiehGL10, author = {Jonathan M. Hsieh and Steven D. Gribble and Henry M. Levy}, title = {The Architecture and Implementation of an Extensible Web Crawler}, booktitle = {Proceedings of the 7th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2010, April 28-30, 2010, San Jose, CA, {USA}}, pages = {329--344}, publisher = {{USENIX} Association}, year = {2010}, url = {http://www.usenix.org/events/nsdi10/tech/full\_papers/hsieh.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nsdi/HsiehGL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/GaillardCMHN10, author = {Beno{\^{\i}}t Gaillard and Yannick Chudy and Pierre Magistry and Shu{-}Kai Hsieh and Emmanuel Navarro}, editor = {Ryo Otoguro and Kiyoshi Ishikawa and Hiroshi Umemoto and Kei Yoshimoto and Yasunari Harada}, title = {Graph Representation of Synonymy and Translation Resources for Crosslinguistic Modelisation of Meaning}, booktitle = {Proceedings of the 24th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 24, Tohoku University, Japan, 4-7 November 2010}, pages = {819--830}, publisher = {Institute for Digital Enhancement of Cognitive Development, Waseda University}, year = {2010}, url = {https://aclanthology.org/Y10-1094/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/GaillardCMHN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChenLSHHH10, author = {Mei{-}Yu Chen and Hsin{-}Ni Lin and Chang{-}An Shih and Yen{-}Ching Hsu and Pei{-}Yu Hsu and Shu{-}Kai Hsieh}, title = {Classifying mood in plurks}, booktitle = {Proceedings of the 22th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2010, Nantou, Taiwan, September 1-2, 2010}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2010}, url = {https://aclanthology.org/O10-1012/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ChenLSHHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/AgirreLFHTMVS10, author = {Eneko Agirre and Oier Lopez de Lacalle and Christiane Fellbaum and Shu{-}Kai Hsieh and Maurizio Tesconi and Monica Monachini and Piek Vossen and Roxanne Segers}, editor = {Katrin Erk and Carlo Strapparava}, title = {SemEval-2010 Task 17: All-Words Word Sense Disambiguation on a Specific Domain}, booktitle = {Proceedings of the 5th International Workshop on Semantic Evaluation, SemEval@ACL 2010, Uppsala University, Uppsala, Sweden, July 15-16, 2010}, pages = {75--80}, publisher = {The Association for Computer Linguistics}, year = {2010}, url = {https://aclanthology.org/S10-1013/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semeval/AgirreLFHTMVS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/SoroaALBVMLH10, author = {Aitor Soroa and Eneko Agirre and Oier Lopez de Lacalle and Wauter Bosma and Piek Vossen and Monica Monachini and Jessie Lo and Shu{-}Kai Hsieh}, editor = {Katrin Erk and Carlo Strapparava}, title = {Kyoto: An Integrated System for Specific Domain {WSD}}, booktitle = {Proceedings of the 5th International Workshop on Semantic Evaluation, SemEval@ACL 2010, Uppsala University, Uppsala, Sweden, July 15-16, 2010}, pages = {417--420}, publisher = {The Association for Computer Linguistics}, year = {2010}, url = {https://aclanthology.org/S10-1093/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semeval/SoroaALBVMLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnis/ChenSH10, author = {Changlong Chen and Min Song and George Hsieh}, title = {Intrusion detection of sinkhole attacks in large-scale wireless sensor networks}, booktitle = {Proceedings of the {IEEE} International Conference on Wireless Communications, Networking and Information Security, {WCNIS} 2010, 25-27 June 2010, Beijing, China}, pages = {711--716}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/WCINS.2010.5541872}, doi = {10.1109/WCINS.2010.5541872}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/wcnis/ChenSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/BickelMHBLB09, author = {David R. Bickel and Zahra Montazeri and Pei{-}Chun Hsieh and Mary Beatty and Shai J. Lawit and Nicholas J. Bate}, title = {Gene network reconstruction from transcriptional dynamics under kinetic model uncertainty: a case for the second derivative}, journal = {Bioinform.}, volume = {25}, number = {6}, pages = {772--779}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp028}, doi = {10.1093/BIOINFORMATICS/BTP028}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/BickelMHBLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiuCCTLPCKHLJLCH09, author = {Li{-}Yu Daisy Liu and Chien{-}Yu Chen and Mei{-}Ju May Chen and Ming{-}Shian Tsai and Cho{-}Han S. Lee and Tzu L. Phang and Li{-}Yun Chang and Wen{-}Hung Kuo and Hsiao{-}Lin Hwa and Huang{-}Chun Lien and Shih{-}Ming Jung and Yi{-}Shing Lin and King{-}Jen Chang and Fon{-}Jou Hsieh}, title = {Statistical identification of gene association by {CID} in application of constructing {ER} regulatory network}, journal = {{BMC} Bioinform.}, volume = {10}, year = {2009}, url = {https://doi.org/10.1186/1471-2105-10-85}, doi = {10.1186/1471-2105-10-85}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LiuCCTLPCKHLJLCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/HsiehLS09, author = {S. H. Hsieh and S. M. Lee and P. S. Shen}, title = {Semiparametric analysis of randomized response data with missing covariates in logistic regression}, journal = {Comput. Stat. Data Anal.}, volume = {53}, number = {7}, pages = {2673--2692}, year = {2009}, url = {https://doi.org/10.1016/j.csda.2009.01.011}, doi = {10.1016/J.CSDA.2009.01.011}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/HsiehLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/DerenickSH09, author = {Jason C. Derenick and John R. Spletzer and M. Ani Hsieh}, title = {An Optimal Approach to Collaborative Target Tracking with Performance Guarantees}, journal = {J. Intell. Robotic Syst.}, volume = {56}, number = {1-2}, pages = {47--67}, year = {2009}, url = {https://doi.org/10.1007/s10846-008-9302-x}, doi = {10.1007/S10846-008-9302-X}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/DerenickSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChengH09a, author = {Sheng{-}Tzong Cheng and Ming{-}Tzung Hsieh}, title = {Modeling and Analysis of Code-based Call Admission Control for QoS Management in {W-CDMA} Systems}, journal = {J. Inf. Sci. Eng.}, volume = {25}, number = {3}, pages = {717--731}, year = {2009}, url = {http://www.iis.sinica.edu.tw/page/jise/2009/200905\_04.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChengH09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jodi/HsiehS09, author = {Hao{-}wei Hsieh and Frank M. Shipman III}, title = {Supporting Visual Problem Solving in Spatial Hypertext}, journal = {J. Digit. Inf.}, volume = {10}, number = {3}, year = {2009}, url = {https://journals.tdl.org/jodi/index.php/jodi/article/view/173}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jodi/HsiehS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/HayashiHS09, author = {Yoichi Hayashi and Ming{-}Huei Hsieh and Rudy Setiono}, title = {Predicting consumer preference for fast-food franchises: a data mining approach}, journal = {J. Oper. Res. Soc.}, volume = {60}, number = {9}, pages = {1221--1229}, year = {2009}, url = {https://doi.org/10.1057/palgrave.jors.2602646}, doi = {10.1057/PALGRAVE.JORS.2602646}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/HayashiHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/HuangHCHP09, author = {Y. M. Huang and M. Y. Hsieh and H. C. Chao and S. H. Hung and J. H. Park}, title = {Pervasive, secure access to a hierarchical sensor-based healthcare monitoring architecture in wireless heterogeneous networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {27}, number = {4}, pages = {400--411}, year = {2009}, url = {https://doi.org/10.1109/JSAC.2009.090505}, doi = {10.1109/JSAC.2009.090505}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/HuangHCHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lre/SoriaMBCHHMT09, author = {Claudia Soria and Monica Monachini and Francesca Bertagna and Nicoletta Calzolari and Chu{-}Ren Huang and Shu{-}Kai Hsieh and Andrea Marchetti and Maurizio Tesconi}, title = {Exploring interoperability of language resources: the case of cross-lingual semi-automatic enrichment of wordnets}, journal = {Lang. Resour. Evaluation}, volume = {43}, number = {1}, pages = {87--96}, year = {2009}, url = {https://doi.org/10.1007/s10579-009-9082-3}, doi = {10.1007/S10579-009-9082-3}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lre/SoriaMBCHHMT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HsiehWCSLCH09, author = {Zhen{-}Ying Hsieh and Mu{-}Chun Wang and Chih Chen and Jia{-}Min Shieh and Yu{-}Ting Lin and Shuang{-}Yuan Chen and Heng{-}Sheng Huang}, title = {Trend transformation of drain-current degradation under drain-avalanche hot-carrier stress for {CLC} n-TFTs}, journal = {Microelectron. Reliab.}, volume = {49}, number = {8}, pages = {892--896}, year = {2009}, url = {https://doi.org/10.1016/j.microrel.2009.05.011}, doi = {10.1016/J.MICROREL.2009.05.011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/HsiehWCSLCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinWHH09, author = {Chang{-}Hua Lin and Chien{-}Ming Wang and Min{-}Hsuan Hung and Shang{-}Po Hsieh}, title = {Reducing the Parasitic Capacitance Effect in {LCD} Panel for Backlight Module Based on Primary-Side Control and {DPLL} Technique}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {56}, number = {8}, pages = {2918--2922}, year = {2009}, url = {https://doi.org/10.1109/TIE.2009.2014905}, doi = {10.1109/TIE.2009.2014905}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LinWHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/BermanHHK09, author = {Spring Berman and {\'{A}}d{\'{a}}m M. Hal{\'{a}}sz and M. Ani Hsieh and Vijay Kumar}, title = {Optimized Stochastic Policies for Task Allocation in Swarms of Robots}, journal = {{IEEE} Trans. Robotics}, volume = {25}, number = {4}, pages = {927--937}, year = {2009}, url = {https://doi.org/10.1109/TRO.2009.2024997}, doi = {10.1109/TRO.2009.2024997}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/BermanHHK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/SheuHD09, author = {Jang{-}Ping Sheu and Kun{-}Ying Hsieh and Ming{-}Lung Ding}, title = {Routing with hexagonal virtual coordinates in wireless sensor networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {9}, number = {9}, pages = {1206--1219}, year = {2009}, url = {https://doi.org/10.1002/wcm.685}, doi = {10.1002/WCM.685}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/SheuHD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-alr/TokunagaKCMSSCX09, author = {Takenobu Tokunaga and Dain Kaplan and Nicoletta Calzolari and Monica Monachini and Claudia Soria and Virach Sornlertlamvanich and Thatsanee Charoenporn and Yingju Xia and Chu{-}Ren Huang and Shu{-}Kai Hsieh and Kiyoaki Shirai}, editor = {Hammam Riza and Virach Sornlertlamvanich}, title = {Query Expansion using LMF-Compliant Lexical Resources}, booktitle = {Proceedings of the 7th Workshop on Asian Language Resources, ALR7@IJCNLP 2009, Singapore, August 6-7, 2009}, pages = {145--152}, publisher = {Association for Computational Linguistics}, year = {2009}, url = {https://aclanthology.org/W09-3421/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-alr/TokunagaKCMSSCX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-pwnlp/NavarroSGPHKMH09, author = {Emmanuel Navarro and Franck Sajous and Bruno Gaume and Laurent Pr{\'{e}}vot and Shu{-}Kai Hsieh and Ivy Kuo and Pierre Magistry and Chu{-}Ren Huang}, editor = {Iryna Gurevych and Torsten Zesch}, title = {Wiktionary for Natural Language Processing: Methodology and Limitations}, booktitle = {Proceedings of the 1st 2009 Workshop on The People's Web Meets {NLP:} Collaboratively Constructed Semantic Resources@IJCNLP 2009, Suntec, Singapore, August 7, 2009}, pages = {19--27}, publisher = {Association for Computational Linguistics}, year = {2009}, url = {https://aclanthology.org/W09-3303/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-pwnlp/NavarroSGPHKMH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/Hsieh-YeeCJAMH09, author = {Ingrid Hsieh{-}Yee and Heting Chu and Joseph Janes and Eileen G. Abels and William E. Moen and Samantha Hastings}, title = {Diversity and commonality of information science education in a pluralistic world}, booktitle = {Thriving on Diversity: Information Opportunities in a Pluralistic World - Proceedings of the 72nd ASIS{\&}T Annual Meeting, {ASIST} 2009, Vancouver, BC, Canada, November 6-11, 2009}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {46}, number = {1}, pages = {1--4}, publisher = {Wiley}, year = {2009}, url = {https://doi.org/10.1002/meet.2009.1450460139}, doi = {10.1002/MEET.2009.1450460139}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/Hsieh-YeeCJAMH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/Hsieh-YeeMCCKWK09, author = {Ingrid Hsieh{-}Yee and Elaine M{\'{e}}nard and (Sophy) Shu{-}Jiun Chen and Ya{-}Ning (Arthur) Chen and Martin Kalfatovic and Kathy Wisser and Jeonghyun Kim}, title = {Information organization in libraries, archives and museums: Converging practices and collaboration opportunities}, booktitle = {Thriving on Diversity: Information Opportunities in a Pluralistic World - Proceedings of the 72nd ASIS{\&}T Annual Meeting, {ASIST} 2009, Vancouver, BC, Canada, November 6-11, 2009}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {46}, number = {1}, pages = {1--5}, publisher = {Wiley}, year = {2009}, url = {https://doi.org/10.1002/meet.2009.1450460136}, doi = {10.1002/MEET.2009.1450460136}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asist/Hsieh-YeeMCCKWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edutainment/SuCTYCJHHL09, author = {Mu{-}Chun Su and Gwo{-}Dong Chen and Yi{-}Shan Tsai and Ren{-}Hao Yao and Chung{-}Kuang Chou and Yohannes Budiono Jinawi and De{-}Yuan Huang and Yi{-}Zeng Hsieh and Shih{-}Chieh Lin}, editor = {Maiga Chang and Rita Kuo and Kinshuk and Gwo{-}Dong Chen and Michitaka Hirose}, title = {Design of an Interactive Table for Mixed-Reality Learning Environments}, booktitle = {Learning by Playing. Game-based Education System Design and Development, 4th International Conference on E-Learning and Games, Edutainment 2009, Banff, Canada, August 9-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5670}, pages = {489--494}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03364-3\_59}, doi = {10.1007/978-3-642-03364-3\_59}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/edutainment/SuCTYCJHHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeWYZCHHTKCCHL09, author = {Yu{-}Huei Lee and Shih{-}Jung Wang and Yao{-}Yi Yang and Kuo{-}Lin Zheng and Po{-}Fung Chen and Chun{-}Yu Hsieh and Ming{-}Hsin Huang and Yu{-}Nong Tsai and Yu{-}Zhou Ke and Ke{-}Horng Chen and Yi{-}Kuang Chen and Chen{-}Chih Huang and Ying{-}Hsi Lin}, title = {A high efficiency and compact size 65nm power management module with 1.2v low-voltage {PWM} controller for {UWB} system application}, booktitle = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens, Greece, 14-18 September 2009}, pages = {272--275}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ESSCIRC.2009.5326007}, doi = {10.1109/ESSCIRC.2009.5326007}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeWYZCHHTKCCHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinHYTS09, author = {Chiuhsiang Joe Lin and Min{-}Chih Hsieh and Hui{-}Chi Yu and Ping{-}Jung Tsai and Wei{-}Jung Shiang}, editor = {Julie A. Jacko}, title = {Comparing the Usability of the Icons and Functions between {IE6.0} and {IE7.0}}, booktitle = {Human-Computer Interaction. New Trends, 13th International Conference, {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5610}, pages = {465--473}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02574-7\_52}, doi = {10.1007/978-3-642-02574-7\_52}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinHYTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/HsiehHLL09, author = {Ming{-}Che Hsieh and Wei{-}Sheng Hung and Shu{-}Wen Lin and Chin{-}Hsing Luo}, editor = {Ge Yu and Mario K{\"{o}}ppen and Shyi{-}Ming Chen and Xiamu Niu}, title = {Designing an Assistive Dialog Agent for a Case of Spinal Cord Injury}, booktitle = {9th International Conference on Hybrid Intelligent Systems {(HIS} 2009), August 12-14, 2009, Shenyang, China}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HIS.2009.21}, doi = {10.1109/HIS.2009.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/HsiehHLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/LinSHWH09, author = {Sheng{-}Shi Lin and Shin{-}Shing Shin and Ming{-}Che Hsieh and Jen{-}Her Wu and Wei{-}Sheng Hung}, editor = {Ge Yu and Mario K{\"{o}}ppen and Shyi{-}Ming Chen and Xiamu Niu}, title = {MDA-Based {UI} Modeling and Transformation of Spoken Dialog Systems}, booktitle = {9th International Conference on Hybrid Intelligent Systems {(HIS} 2009), August 12-14, 2009, Shenyang, China}, pages = {47--51}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HIS.2009.17}, doi = {10.1109/HIS.2009.17}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/LinSHWH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/FangCCLHSH09, author = {Jyh{-}Perng Fang and Yang{-}Lang Chang and Chih{-}Chia Chen and Wen{-}Yew Liang and Tung{-}Ju Hsieh and Muhammad T. Satria and Chin{-}Chuan Han}, editor = {Arrems Hua and Shih{-}Liang Chang}, title = {A Parallel Simulated Annealing Approach for Floorplanning in {VLSI}}, booktitle = {Algorithms and Architectures for Parallel Processing, 9th International Conference, {ICA3PP} 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5574}, pages = {291--302}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03095-6\_29}, doi = {10.1007/978-3-642-03095-6\_29}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/FangCCLHSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/LiangHSCFCH09, author = {Wen{-}Yew Liang and Tung{-}Ju Hsieh and Muhammad T. Satria and Yang{-}Lang Chang and Jyh{-}Perng Fang and Chih{-}Chia Chen and Chin{-}Chuan Han}, editor = {Arrems Hua and Shih{-}Liang Chang}, title = {A GPU-Based Simulation of Tsunami Propagation and Inundation}, booktitle = {Algorithms and Architectures for Parallel Processing, 9th International Conference, {ICA3PP} 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5574}, pages = {593--603}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03095-6\_56}, doi = {10.1007/978-3-642-03095-6\_56}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/LiangHSCFCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HsiehHCSM09, author = {M. Ani Hsieh and {\'{A}}d{\'{a}}m M. Hal{\'{a}}sz and Ekin Dogus Cubuk and Samuel S. Schoenholz and Alcherio Martinoli}, title = {Specialization as an optimal strategy under varying external conditions}, booktitle = {2009 {IEEE} International Conference on Robotics and Automation, {ICRA} 2009, Kobe, Japan, May 12-17, 2009}, pages = {1941--1946}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ROBOT.2009.5152798}, doi = {10.1109/ROBOT.2009.5152798}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/HsiehHCSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LinHT09, author = {Kawuu Weicheng Lin and Ming{-}Hua Hsieh and Vincent S. Tseng}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {Mining Temporal Region-Based Service Patterns for Cooperative Caching in Wireless Multimedia Sensor Networks}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {1240--1244}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.179}, doi = {10.1109/IIH-MSP.2009.179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LinHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHW09, author = {Katherine Shu{-}Min Li and Ming{-}Hua Hsieh and Sying{-}Jyan Wang}, title = {Level Converting Scan Flip-flops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2505--2508}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118310}, doi = {10.1109/ISCAS.2009.5118310}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiHW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LakshminarayananJNKSTWHLLMFHLYTL09, author = {S. Lakshminarayanan and J. Joung and Giri Narasimhan and Ravi Kapre and M. Slanina and J. Tung and Morgan Whately and C.{-}L. Hou and W.{-}J. Liao and S.{-}C. Lin and P.{-}G. Ma and C.{-}W. Fan and M.{-}C. Hsieh and F.{-}C. Liu and K.{-}L. Yeh and W.{-}C. Tseng and S. W. Lu}, title = {Standby power reduction and {SRAM} cell optimization for 65nm technology}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {471--475}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810340}, doi = {10.1109/ISQED.2009.4810340}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LakshminarayananJNKSTWHLLMFHLYTL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SowlatiACOSVRKDCVTSFSACMDHGSBRCSHKLPPZTARDWDWRPV09, author = {Tirdad Sowlati and Bipul Agarwal and J. Cho and Thomas Obkircher and Mohamed El Said and John Vasa and Bala Ramachandran and Masoud Kahrizi and Elias Dagher and Wei{-}Hong Chen and Martin Vadkerti and Georgi Taskov and Utku Seckin and Hamid Firouzkouhi and Behzad Saeidi and Hasan Akyol and Yunyoung Choi and Amir Mahjoob and Sandeep D'Souza and Chieh{-}Yu Hsieh and David Guss and Dan Shum and Dean A. Badillo and Imtiyaz Ron and Doris Ching and Feng Shi and Yong He and Jaleh Komaili and Aravind Loke and Rajasekhar Pullela and Engin Pehlivanoglu and Hossein Zarei and Shahrzad Tadjpour and Darioush Agahi and Dmitriy Rozenblit and William Domino and Gregory Williams and Nader Damavandi and Stephane Wloczysiak and Suhanthan Rajendra and Aaron Paff and Tom Valencia}, title = {Single-chip multiband {WCDMA/HSDPA/HSUPA/EGPRS} transceiver with diversity receiver and 3G DigRF interface without {SAW} filters in transmitter / 3G receiver paths}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {116--117}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977335}, doi = {10.1109/ISSCC.2009.4977335}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SowlatiACOSVRKDCVTSFSACMDHGSBRCSHKLPPZTARDWDWRPV09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsiehBAGL09, author = {Tong{-}Yu Hsieh and Melvin A. Breuer and Murali Annavaram and Sandeep K. Gupta and Kuen{-}Jong Lee}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Tolerance of performance degrading faults for effective yield improvement}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355594}, doi = {10.1109/TEST.2009.5355594}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HsiehBAGL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HsuYLHYC09, author = {Shou{-}Ping Hsu and Kao{-}Feng Yarn and Win{-}Jet Luo and I{-}Ting Hsieh and Hong{-}Jun Ye and Meng{-}Hua Chung}, title = {Microfluidic mixing with electrokinetic instability in a double T-shaped microchannel}, booktitle = {4th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {IEEE-NEMS} 2009, Shenzhen, China, January 5-8, 2009}, pages = {787--792}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NEMS.2009.5068696}, doi = {10.1109/NEMS.2009.5068696}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/HsuYLHYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sede/HsiehSH09, author = {Ching{-}Tang Hsieh and Meng{-}Shian Shih and Min{-}Nan Hsiao}, title = {{PSO} accelerated 3D face angle searching system for face recognition}, booktitle = {18th International Conference on Software Engineering and Data Engineering (SEDE-2009), June 22-24, 2009, Imperial Palace Hotel Las Vegas, Las Vegas, Nevada, USA, Proceedings}, pages = {295}, publisher = {{ISCA}}, year = {2009}, timestamp = {Mon, 06 Jul 2009 10:37:45 +0200}, biburl = {https://dblp.org/rec/conf/sede/HsiehSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinHFYCH09, author = {Jui{-}Chieh Lin and Minja Hsieh and Ming{-}Jung Fan{-}Chiang and Chu Yu and Sao{-}Jie Chen and Yu Hen Hu}, title = {An instruction set architecture independent design method for embedded OFDM-based software defined transmitter}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {207--210}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5398058}, doi = {10.1109/SOCCON.2009.5398058}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinHFYCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BaileyBBBBCCDMPFGGKLMNPRSUHY09, author = {Daniel V. Bailey and Lejla Batina and Daniel J. Bernstein and Peter Birkner and Joppe W. Bos and Hsieh{-}Chung Chen and Chen{-}Mou Cheng and Gauthier Van Damme and Giacomo de Meulenaer and Luis J. Dominguez Perez and Junfeng Fan and Tim G{\"{u}}neysu and Frank K. G{\"{u}}rkaynak and Thorsten Kleinjung and Tanja Lange and Nele Mentens and Ruben Niederhagen and Christof Paar and Francesco Regazzoni and Peter Schwabe and Leif Uhsadel and Anthony Van Herrewege and Bo{-}Yin Yang}, title = {Breaking {ECC2K-130}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {541}, year = {2009}, url = {http://eprint.iacr.org/2009/541}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BaileyBBBBCCDMPFGGKLMNPRSUHY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/HsiehC08, author = {Sun{-}Yuan Hsieh and Ming{-}Yu Chen}, title = {A DNA-based solution to the graph isomorphism problem using Adleman-Lipton model with stickers}, journal = {Appl. Math. Comput.}, volume = {197}, number = {2}, pages = {672--686}, year = {2008}, url = {https://doi.org/10.1016/j.amc.2007.08.005}, doi = {10.1016/J.AMC.2007.08.005}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/HsiehC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChungH08, author = {Shu{-}Hsing Chung and Ming{-}Hsiu Hsieh}, title = {Long-term tool elimination planning for a wafer fab}, journal = {Comput. Ind. Eng.}, volume = {54}, number = {3}, pages = {589--601}, year = {2008}, url = {https://doi.org/10.1016/j.cie.2007.09.009}, doi = {10.1016/J.CIE.2007.09.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChungH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinCHMLC08, author = {Chao{-}Shun Lin and Jainn{-}Shiun Chiu and Ming{-}Hui Hsieh and Martin S. Mok and Yu{-}Chuan Li and Hung{-}Wen Chiu}, title = {Predicting hypotensive episodes during spinal anesthesia with the application of artificial neural networks}, journal = {Comput. Methods Programs Biomed.}, volume = {92}, number = {2}, pages = {193--197}, year = {2008}, url = {https://doi.org/10.1016/j.cmpb.2008.06.013}, doi = {10.1016/J.CMPB.2008.06.013}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LinCHMLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsci/ChangiziHNKS08, author = {Mark A. Changizi and Andrew Hsieh and Romi Nijhawan and Ryota Kanai and Shinsuke Shimojo}, title = {Perceiving the Present and a Systematization of Illusions}, journal = {Cogn. Sci.}, volume = {32}, number = {3}, pages = {459--503}, year = {2008}, url = {https://doi.org/10.1080/03640210802035191}, doi = {10.1080/03640210802035191}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsci/ChangiziHNKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/PanHLL08, author = {Shih{-}Yu Pan and Bieng{-}Zih Hsieh and Ming{-}Tar Lu and Zsay{-}Shing Lin}, title = {Identification of stratigraphic formation interfaces using wavelet and Fourier transforms}, journal = {Comput. Geosci.}, volume = {34}, number = {1}, pages = {77--92}, year = {2008}, url = {https://doi.org/10.1016/j.cageo.2007.01.002}, doi = {10.1016/J.CAGEO.2007.01.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gandc/PanHLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/WuLCN08, author = {Shih{-}Hao Wu and Yingshing Lin and Jenn{-}Maw Cheng and Mei{-}hsieh N}, title = {The Empirical Study of Relationship Marketing in Maritime Transportation Service}, journal = {Int. J. Electron. Bus. Manag.}, volume = {6}, number = {2}, pages = {70--79}, year = {2008}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V6\_N2/A02.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/WuLCN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/NiddamCLYH08, author = {David M. Niddam and Rai{-}Chi Chan and Si{-}Huei Lee and Tzu{-}Chen Yeh and Jen{-}Chuen Hsieh}, title = {Central representation of hyperalgesia from myofascial trigger point}, journal = {NeuroImage}, volume = {39}, number = {3}, pages = {1299--1306}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2007.09.051}, doi = {10.1016/J.NEUROIMAGE.2007.09.051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/NiddamCLYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmod/CafarellaCFHHLMM08, author = {Michael J. Cafarella and Edward Y. Chang and Andrew Fikes and Alon Y. Halevy and Wilson C. Hsieh and Alberto Lerner and Jayant Madhavan and S. Muthukrishnan}, title = {Data management projects at Google}, journal = {{SIGMOD} Rec.}, volume = {37}, number = {1}, pages = {34--38}, year = {2008}, url = {https://doi.org/10.1145/1374780.1374789}, doi = {10.1145/1374780.1374789}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmod/CafarellaCFHHLMM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swarm/HsiehHBK08, author = {M. Ani Hsieh and {\'{A}}d{\'{a}}m M. Hal{\'{a}}sz and Spring Berman and Vijay Kumar}, title = {Biologically inspired redistribution of a swarm of robots among multiple sites}, journal = {Swarm Intell.}, volume = {2}, number = {2-4}, pages = {121--141}, year = {2008}, url = {https://doi.org/10.1007/s11721-008-0019-z}, doi = {10.1007/S11721-008-0019-Z}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swarm/HsiehHBK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/ChangDGHWBCFG08, author = {Fay Chang and Jeffrey Dean and Sanjay Ghemawat and Wilson C. Hsieh and Deborah A. Wallach and Michael Burrows and Tushar Chandra and Andrew Fikes and Robert E. Gruber}, title = {Bigtable: {A} Distributed Storage System for Structured Data}, journal = {{ACM} Trans. Comput. Syst.}, volume = {26}, number = {2}, pages = {4:1--4:26}, year = {2008}, url = {https://doi.org/10.1145/1365815.1365816}, doi = {10.1145/1365815.1365816}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tocs/ChangDGHWBCFG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/HsiehTCY08, author = {Ming{-}Jyh Hsieh and Wei{-}Guang Teng and Ming{-}Syan Chen and Philip S. Yu}, title = {{DAWN:} an efficient framework of {DCT} for data with error estimation}, journal = {{VLDB} J.}, volume = {17}, number = {4}, pages = {683--702}, year = {2008}, url = {https://doi.org/10.1007/s00778-006-0032-z}, doi = {10.1007/S00778-006-0032-Z}, timestamp = {Fri, 09 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/HsiehTCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/HsiehKY08, author = {Ming{-}Chih Hsieh and Yung{-}Wei Kao and Shyan{-}Ming Yuan}, title = {Web 2.0 Toolbar: Providing Web 2.0 Services for Existence Web Pages}, booktitle = {Proceedings of the 3rd {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2008, Yilan, Taiwan, 9-12 December 2008}, pages = {507--512}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/APSCC.2008.137}, doi = {10.1109/APSCC.2008.137}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/HsiehKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/BaeHKMMMZS08, author = {Soonil Bae and Hao{-}wei Hsieh and DoHyoung Kim and Catherine C. Marshall and Konstantinos A. Meintanis and J. Michael Moore and Anna Zacchi and Frank M. Shipman III}, title = {Supporting document triage via annotation-based visualizations}, booktitle = {People Transforming Information - Information Transforming People - Proceedings of the 71st ASIS{\&}T Annual Meeting, {ASIST} 2008, Columbus, OH, USA, October 24-29, 2008}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {45}, number = {1}, pages = {1--16}, publisher = {Wiley}, year = {2008}, url = {https://doi.org/10.1002/meet.2008.1450450241}, doi = {10.1002/MEET.2008.1450450241}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/BaeHKMMMZS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/ChoiHRSGI08, author = {Youngok Choi and Ingrid Hsieh{-}Yee and Edie Rasmussen and Martha M. Smith and Jane Greenberg and Hemalata Iyer}, title = {Retrieving and using visual resources: Challenges and opportunities for research and education}, booktitle = {People Transforming Information - Information Transforming People - Proceedings of the 71st ASIS{\&}T Annual Meeting, {ASIST} 2008, Columbus, OH, USA, October 24-29, 2008}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {45}, number = {1}, pages = {1--4}, publisher = {Wiley}, year = {2008}, url = {https://doi.org/10.1002/meet.2008.1450450150}, doi = {10.1002/MEET.2008.1450450150}, timestamp = {Wed, 24 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asist/ChoiHRSGI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HsiehLLK08, author = {Ming{-}Ting Hsieh and Shun{-}Yen Lu and Jing{-}Jia Liou and Augusli Kifli}, title = {High Quality Pattern Generation for Delay Defects with Functional Sensitized Paths}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {131--136}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.41}, doi = {10.1109/ATS.2008.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HsiehLLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BermanHHK08, author = {Spring Berman and {\'{A}}d{\'{a}}m M. Hal{\'{a}}sz and M. Ani Hsieh and Vijay Kumar}, title = {Navigation-based optimization of stochastic strategies for allocating a robot swarm among multiple sites}, booktitle = {Proceedings of the 47th {IEEE} Conference on Decision and Control, {CDC} 2008, December 9-11, 2008, Canc{\'{u}}n, Mexico}, pages = {4376--4381}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CDC.2008.4739482}, doi = {10.1109/CDC.2008.4739482}, timestamp = {Fri, 04 Mar 2022 13:27:23 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BermanHHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/AzcarragaHS08, author = {Arnulfo P. Azcarraga and Ming{-}Huei Hsieh and Rudy Setiono}, title = {Market research applications of artificial neural networks}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2008, June 1-6, 2008, Hong Kong, China}, pages = {357--363}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CEC.2008.4630822}, doi = {10.1109/CEC.2008.4630822}, timestamp = {Thu, 16 Dec 2021 14:01:33 +0100}, biburl = {https://dblp.org/rec/conf/cec/AzcarragaHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/digitel/SuHLHC08, author = {Mu{-}Chun Su and De{-}Yuan Huang and Shih{-}Chieh Lin and Yi{-}Zeng Hsieh and Gwo{-}Dong Chen}, editor = {Michael Eisenberg and Kinshuk and Maiga Chang and Rory McGreal}, title = {Application of a Learning-Companion Robot in Learning Environments}, booktitle = {The 2nd {IEEE} International Conference on Digital Game and Intelligent Toy Enhanced Learning, {DIGITEL} 2008, November 17-19, 2008, Banff, Canada}, pages = {203--204}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/DIGITEL.2008.32}, doi = {10.1109/DIGITEL.2008.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/digitel/SuHLHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/ChengYHWHC08, author = {Wen Po Cheng and Ruey Fang Yu and Ying Ju Hsieh and Shu Yi Wu and Yu Wei Huang and Sin Ming Chen}, editor = {Ajith Abraham}, title = {Prove the Relationship between Particle Size, Turbidity Fluctuations by Image Analysis}, booktitle = {{IADIS} European Conference on Data Mining 2008, Amsterdam, The Netherlands, July 24-26, 2008. Proceedings}, pages = {170--172}, publisher = {{IADIS}}, year = {2008}, timestamp = {Thu, 29 Sep 2011 17:31:31 +0200}, biburl = {https://dblp.org/rec/conf/iadis/ChengYHWHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icves/OzgunerRBHYT08, author = {{\"{U}}mit {\"{O}}zg{\"{u}}ner and Keith A. Redmill and Scott Biddlestone and Ming Feng Hsieh and Ahmet Yazici and Charles K. Toth}, title = {Simulation and testing environments for the {DARPA} Urban Challenge}, booktitle = {{IEEE} International Conference on Vehicular Electronics and Safety, {ICVES} 2008, Columbus, OH, USA, 22-24 September, 2008}, pages = {222--226}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICVES.2008.4640881}, doi = {10.1109/ICVES.2008.4640881}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icves/OzgunerRBHYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChenHL08, author = {Da{-}Ren Chen and Shu{-}Ming Hsieh and Ming{-}Fong Lai}, title = {Efficient algorithms for periodic real-time tasks to optimal discrete voltage schedules}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536543}, doi = {10.1109/IPDPS.2008.4536543}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ChenHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/SrinivasaFGHGLK08, author = {Gowri Srinivasa and Matthew C. Fickus and Manuel N. Gonzalez{-}Rivero and Sarah Yichia Hsieh and Yusong Guo and Adam D. Linstedt and Jelena Kovacevic}, title = {Active mask segmentation for the cell-volume computation and Golgi-body segmentation of hela cell images}, booktitle = {Proceedings of the 2008 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008}, pages = {348--351}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISBI.2008.4541004}, doi = {10.1109/ISBI.2008.4541004}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/SrinivasaFGHGLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/HsiehHSLH08, author = {Ming{-}Che Hsieh and Wei{-}Sheng Hung and Shin{-}Shing Shin and Shu{-}Wen Lin and Tsan{-}Hsun Huang}, editor = {Jeng{-}Shyang Pan and Ajith Abraham and Chin{-}Chen Chang}, title = {Spoken Dialogue Agent Interface Requirements Modeling Based on {PASSI} Methodology}, booktitle = {Eighth International Conference on Intelligent Systems Design and Applications, {ISDA} 2008, 26-28 November 2008, Kaohsiung, Taiwan, 3 Volumes}, pages = {339--342}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISDA.2008.342}, doi = {10.1109/ISDA.2008.342}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/HsiehHSLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/TsengHL08, author = {Vincent S. Tseng and Ming{-}Hua Hsieh and Kawuu Weicheng Lin}, editor = {Jeng{-}Shyang Pan and Ajith Abraham and Chin{-}Chen Chang}, title = {Mining Region-Based Movement Patterns for Energy-Efficient Object Tracking in Sensor Networks}, booktitle = {Eighth International Conference on Intelligent Systems Design and Applications, {ISDA} 2008, 26-28 November 2008, Kaohsiung, Taiwan, 3 Volumes}, pages = {188--196}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISDA.2008.124}, doi = {10.1109/ISDA.2008.124}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/TsengHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RomanovskyKAONHWWWCH08, author = {Sergey Romanovsky and Atul Katoch and Arun Achyuthan and C. O'Connell and Sreedhar Natarajan and C. Huang and Chuan{-}Yu Wu and Min{-}Jer Wang and C. J. Wang and P. Chen and R. Hsieh}, title = {A 500MHz Random-Access Embedded 1Mb {DRAM} Macro in Bulk {CMOS}}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {270--271}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523161}, doi = {10.1109/ISSCC.2008.4523161}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RomanovskyKAONHWWWCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/ChungPXAHH08, author = {Siaw{-}Fong Chung and Laurent Pr{\'{e}}vot and Mingwei Xu and Kathleen Ahrens and Shu{-}Kai Hsieh and Chu{-}Ren Huang}, title = {Extracting Concrete Senses of Lexicon through Measurement of Conceptual Similarity in Ontologies}, booktitle = {Proceedings of the International Conference on Language Resources and Evaluation, {LREC} 2008, 26 May - 1 June 2008, Marrakech, Morocco}, publisher = {European Language Resources Association}, year = {2008}, url = {http://www.lrec-conf.org/proceedings/lrec2008/summaries/501.html}, timestamp = {Mon, 19 Aug 2019 15:22:28 +0200}, biburl = {https://dblp.org/rec/conf/lrec/ChungPXAHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/TokunagaKHHCMSSSCX08, author = {Takenobu Tokunaga and Dain Kaplan and Chu{-}Ren Huang and Shu{-}Kai Hsieh and Nicoletta Calzolari and Monica Monachini and Claudia Soria and Kiyoaki Shirai and Virach Sornlertlamvanich and Thatsanee Charoenporn and Yingju Xia}, title = {Adapting International Standard for Asian Language Technologies}, booktitle = {Proceedings of the International Conference on Language Resources and Evaluation, {LREC} 2008, 26 May - 1 June 2008, Marrakech, Morocco}, publisher = {European Language Resources Association}, year = {2008}, url = {http://www.lrec-conf.org/proceedings/lrec2008/summaries/422.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/TokunagaKHHCMSSSCX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/VossenACFHHIKMMNRRTV08, author = {Piek Vossen and Eneko Agirre and Nicoletta Calzolari and Christiane Fellbaum and Shu{-}Kai Hsieh and Chu{-}Ren Huang and Hitoshi Isahara and Kyoko Kanzaki and Andrea Marchetti and Monica Monachini and Federico Neri and Remo Raffaelli and German Rigau and Maurizio Tesconi and Joop VanGent}, title = {{KYOTO:} a System for Mining, Structuring and Distributing Knowledge across Languages and Cultures}, booktitle = {Proceedings of the International Conference on Language Resources and Evaluation, {LREC} 2008, 26 May - 1 June 2008, Marrakech, Morocco}, publisher = {European Language Resources Association}, year = {2008}, url = {http://www.lrec-conf.org/proceedings/lrec2008/summaries/373.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/VossenACFHHIKMMNRRTV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HsiaoCLHCLZ08, author = {Hsi{-}Yue Hsiao and Hua{-}mei Chen and Ting{-}Hung Lin and Chih{-}Yao Hsieh and Mei{-}Yi Chu and Guojun Liao and Hualiang Zhong}, editor = {Joseph M. Reinhardt and Josien P. W. Pluim}, title = {A new parametric nonrigid image registration method based on Helmholtz's theorem}, booktitle = {Medical Imaging 2008: Image Processing, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6914}, pages = {69142W}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.770473}, doi = {10.1117/12.770473}, timestamp = {Wed, 25 Apr 2018 08:17:27 +0200}, biburl = {https://dblp.org/rec/conf/miip/HsiaoCLHCLZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/ChenHL08, author = {Da{-}Ren Chen and Shu{-}Ming Hsieh and Ming{-}Fong Lai}, title = {Efficient Algorithms for Jitterless Real-Time Tasks to {DVS} Schedules}, booktitle = {Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2008, Dunedin, Otago, New Zealand, 1-4 December 2008}, pages = {319--322}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PDCAT.2008.15}, doi = {10.1109/PDCAT.2008.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/ChenHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChiouHC08, author = {Sheng{-}Lun Chiou and Kuo{-}Wang Hsieh and Ming{-}Xian Chang}, title = {{CSI} reduction of {MIMO-OFDM} systems by parameterization}, booktitle = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/PIMRC.2008.4699548}, doi = {10.1109/PIMRC.2008.4699548}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChiouHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sitis/HsiehTS08, author = {Chen{-}Chiung Hsieh and Ming{-}Ren Tsai and Mu{-}Chun Su}, editor = {Richard Chbeir and Albert Dipanda and Kokou Y{\'{e}}tongnon}, title = {A Fingertip Extraction Method and Its Application to Handwritten Alphanumeric Characters Recognition}, booktitle = {4th {IEEE} International Conference on Signal Image Technology and Internet Based Systems, {SITIS} 2008, Bali, Indonesia, November 30 - December 3, 2008}, pages = {293--300}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SITIS.2008.17}, doi = {10.1109/SITIS.2008.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sitis/HsiehTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sutc/TsengLH08, author = {Vincent S. Tseng and Kawuu Weicheng Lin and Ming{-}Hua Hsieh}, editor = {Mukesh Singhal and Giovanna Di Marzo Serugendo and Jeffrey J. P. Tsai and Wang{-}Chien Lee and Kay R{\"{o}}mer and Yu{-}Chee Tseng and Han C. W. Hsiao}, title = {Energy Efficient Object Tracking in Sensor Networks by Mining Temporal Moving Patterns}, booktitle = {{IEEE} International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing {(SUTC} 2008), 11-13 June 2008, Taichung, Taiwan}, pages = {170--176}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SUTC.2008.27}, doi = {10.1109/SUTC.2008.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sutc/TsengLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/ChoLCMCTSG08, author = {Dae{-}Ki Cho and Seung{-}Hoon Lee and Alexander Chang and Tammara Massey and Chia{-}Wei Chang and Min{-}Hsieh Tsai and Majid Sarrafzadeh and Mario Gerla}, title = {Opportunistic medical monitoring using bluetooth {P2P} networks}, booktitle = {9th {IEEE} International Symposium on a World of Wireless, Mobile and Multimedia Networks, {WOWMOM} 2008, Newport Beach, CA, USA, 23-26 June, 2008}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WOWMOM.2008.4594895}, doi = {10.1109/WOWMOM.2008.4594895}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/ChoLCMCTSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/springer/AzcarragaHPS08, author = {Arnulfo P. Azcarraga and Ming{-}Huei Hsieh and Shan Ling Pan and Rudy Setiono}, editor = {Oded Maimon and Lior Rokach}, title = {Improved {SOM} Labeling Methodology for Data Mining Applications}, booktitle = {Soft Computing for Knowledge Discovery and Data Mining}, pages = {45--75}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-69935-6\_3}, doi = {10.1007/978-0-387-69935-6\_3}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/springer/AzcarragaHPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isi/2008w, editor = {Christopher C. Yang and Hsinchun Chen and Michael Chau and Kuiyu Chang and Sheau{-}Dong Lang and Patrick S. Chen and Raymond Hsieh and Daniel Zeng and Fei{-}Yue Wang and Kathleen M. Carley and Wenji Mao and Justin Zhan}, title = {Intelligence and Security Informatics, {IEEE} {ISI} 2008 International Workshops: PAISI, PACCF, and {SOCO} 2008, Taipei, Taiwan, June 17, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5075}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69304-8}, doi = {10.1007/978-3-540-69304-8}, isbn = {978-3-540-69136-5}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isi/2008w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0802-3104, author = {M. C. Hsieh and D. K. Jair and Y. K. Fang and C. S. Lin}, title = {Design and Fabrication of the Suspended High-Q Spiral Inductors with X-Beams}, journal = {CoRR}, volume = {abs/0802.3104}, year = {2008}, url = {http://arxiv.org/abs/0802.3104}, eprinttype = {arXiv}, eprint = {0802.3104}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0802-3104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/DayTSHLWWOH07, author = {Min{-}Yuh Day and Richard Tzong{-}Han Tsai and Cheng{-}Lung Sung and Chiu{-}Chen Hsieh and Cheng{-}Wei Lee and Shih{-}Hung Wu and Kuen{-}Pin Wu and Chorng{-}Shyong Ong and Wen{-}Lian Hsu}, title = {Reference metadata extraction using a hierarchical knowledge representation framework}, journal = {Decis. Support Syst.}, volume = {43}, number = {1}, pages = {152--167}, year = {2007}, url = {https://doi.org/10.1016/j.dss.2006.08.006}, doi = {10.1016/J.DSS.2006.08.006}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dss/DayTSHLWWOH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/efi/VellucciHM07, author = {Sherry L. Vellucci and Ingrid Hsieh{-}Yee and William E. Moen}, title = {The Metadata Education and Research Information Commons {(MERIC):} {A} collaborative teaching and research initiative}, journal = {Educ. Inf.}, volume = {25}, number = {3-4}, pages = {169--178}, year = {2007}, url = {http://content.iospress.com/articles/education-for-information/efi00842}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/efi/VellucciHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HsiehCKCGKTEAJWSM07, author = {M. Ani Hsieh and Anthony Cowley and James F. Keller and Luiz Chaimowicz and Ben Grocholsky and Vijay Kumar and Camillo J. Taylor and Yoichiro Endo and Ronald C. Arkin and Boyoon Jung and Denis F. Wolf and Gaurav S. Sukhatme and Douglas C. MacKenzie}, title = {Adaptive teams of autonomous aerial and ground robots for situational awareness}, journal = {J. Field Robotics}, volume = {24}, number = {11-12}, pages = {991--1014}, year = {2007}, url = {https://doi.org/10.1002/rob.20222}, doi = {10.1002/ROB.20222}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HsiehCKCGKTEAJWSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/CherkasskyHKSV07, author = {Vladimir Cherkassky and William Hsieh and Vladimir M. Krasnopolsky and Dimitri P. Solomatine and Julio J. Vald{\'{e}}s}, title = {Computational intelligence in earth and environmental sciences}, journal = {Neural Networks}, volume = {20}, number = {4}, pages = {433}, year = {2007}, url = {https://doi.org/10.1016/j.neunet.2007.05.001}, doi = {10.1016/J.NEUNET.2007.05.001}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/CherkasskyHKSV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/HsiehT07, author = {Ming Yu Hsieh and Shi{-}Chun Tsai}, title = {On the fairness and complexity of generalized k-in-a-row games}, journal = {Theor. Comput. Sci.}, volume = {385}, number = {1-3}, pages = {88--100}, year = {2007}, url = {https://doi.org/10.1016/j.tcs.2007.05.031}, doi = {10.1016/J.TCS.2007.05.031}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/HsiehT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/PanPCH07, author = {Shan Ling Pan and Gary S. C. Pan and Adela J. W. Chen and Ming H. Hsieh}, title = {The Dynamics of Implementing and Managing Modularity of Organizational Routines During Capability Development: Insights From a Process Model}, journal = {{IEEE} Trans. Engineering Management}, volume = {54}, number = {4}, pages = {800--813}, year = {2007}, url = {https://doi.org/10.1109/TEM.2007.906854}, doi = {10.1109/TEM.2007.906854}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/PanPCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/HsiehCY07, author = {Ming{-}Jyh Hsieh and Ming{-}Syan Chen and Philip S. Yu}, title = {Approximate Query Processing in Cube Streams}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {19}, number = {11}, pages = {1557--1570}, year = {2007}, url = {https://doi.org/10.1109/TKDE.2007.190622}, doi = {10.1109/TKDE.2007.190622}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/HsiehCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/HsiehJ07, author = {Min{-}Hsiung Hsieh and Shuen{-}Lin Jeng}, title = {Accelerated Discrete Degradation Models for Leakage Current of Ultra-Thin Gate Oxides}, journal = {{IEEE} Trans. Reliab.}, volume = {56}, number = {3}, pages = {369--380}, year = {2007}, url = {https://doi.org/10.1109/TR.2007.903276}, doi = {10.1109/TR.2007.903276}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/HsiehJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HsiehHWYLCPJLPHKCH07, author = {Sung{-}Huai Hsieh and Sheau{-}Ling Hsieh and Yung{-}Ching Weng and Tzu{-}Hsiang Yang and Feipei Lai and Po{-}Hsun Cheng and Xiao{-}Ou Ping and Mao{-}yu Jan and Jen{-}Chiun Lin and Chin{-}Hung Peng and K. H. Huang and L. F. Ko and Chi{-}Huang Chen and Kai{-}Ping Hsu}, title = {Middleware based Inpatient Healthcare Information System}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2007, October 14-17, 2007, Harvard Medical School, Boston, MA, {USA}}, pages = {1230--1234}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/BIBE.2007.4375721}, doi = {10.1109/BIBE.2007.4375721}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/HsiehHWYLCPJLPHKCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/WuSCCH07, author = {Jen{-}Her Wu and Shin{-}Shing Shin and Juei{-}Lung Chien and William S. Chao and Ming{-}Che Hsieh}, editor = {Hubert {\"{O}}sterle and Joachim Schelp and Robert Winter}, title = {An Extended {MDA} Method for User Interface Modeling and Transformation}, booktitle = {Proceedings of the Fifteenth European Conference on Information Systems, {ECIS} 2007, St. Gallen, Switzerland, 2007}, pages = {1632--1642}, publisher = {University of St. Gallen}, year = {2007}, url = {http://aisel.aisnet.org/ecis2007/170}, timestamp = {Wed, 24 Jul 2019 16:44:05 +0200}, biburl = {https://dblp.org/rec/conf/ecis/WuSCCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinSCCHH07, author = {Rungtai Lin and Ming{-}Xian Sun and Ya{-}Ping Chang and Yu{-}Ching Chan and Yi{-}Chen Hsieh and Yuan{-}Ching Huang}, editor = {Nuray M. Aykin}, title = {Designing "Culture" into Modern Product: {A} Case Study of Cultural Product Design}, booktitle = {Usability and Internationalization. {HCI} and Culture, Second International Conference on Usability and Internationalization, {UI-HCII} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4559}, pages = {146--153}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73287-7\_19}, doi = {10.1007/978-3-540-73287-7\_19}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinSCCHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/ChenHTW07, author = {Szu{-}Pei Chen and Jieh Hsiang and Hsieh{-}Chang Tu and Micha Wu}, editor = {Dion Hoe{-}Lian Goh and Tru Hoang Cao and Ingeborg S{\o}lvberg and Edie M. Rasmussen}, title = {On Building a Full-Text Digital Library of Historical Documents}, booktitle = {Asian Digital Libraries. Looking Back 10 Years and Forging New Frontiers, 10th International Conference on Asian Digital Libraries, {ICADL} 2007, Hanoi, Vietnam, December 10-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4822}, pages = {49--60}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77094-7\_11}, doi = {10.1007/978-3-540-77094-7\_11}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icadl/ChenHTW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/HsiehCWC07, author = {Sheng{-}Wen Hsieh and Nian{-}Shing Chen and Min{-}Ping Wu and Ying{-}Hsiu Chen}, editor = {J. Michael Spector and Demetrios G. Sampson and Toshio Okamoto and Kinshuk and Stefano A. Cerri and Maomi Ueno and Akihiro Kashihara}, title = {A Study of Using Analytic Hierarchy Process to Explore Critical Success Factors of the {K12} Digital School}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, pages = {133--135}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICALT.2007.38}, doi = {10.1109/ICALT.2007.38}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/HsiehCWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HsiehLK07, author = {Mong{-}ying Ani Hsieh and Savvas G. Loizou and Vijay Kumar}, title = {Stabilization of Multiple Robots on Stable Orbits via Local Sensing}, booktitle = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, pages = {2312--2317}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBOT.2007.363664}, doi = {10.1109/ROBOT.2007.363664}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/HsiehLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/DerenickSH07, author = {Jason C. Derenick and John R. Spletzer and M. Ani Hsieh}, title = {A graph theoretic approach to optimal target tracking for mobile robot teams}, booktitle = {2007 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, {USA}}, pages = {3422--3428}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IROS.2007.4399574}, doi = {10.1109/IROS.2007.4399574}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/DerenickSH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HalaszHBK07, author = {{\'{A}}d{\'{a}}m M. Hal{\'{a}}sz and M. Ani Hsieh and Spring Berman and Vijay Kumar}, title = {Dynamic redistribution of a swarm of robots among multiple sites}, booktitle = {2007 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, {USA}}, pages = {2320--2325}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IROS.2007.4399528}, doi = {10.1109/IROS.2007.4399528}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/HalaszHBK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuHL07, author = {Shun{-}Yen Lu and Ming{-}Ting Hsieh and Jing{-}Jia Liou}, editor = {Jill Sibert and Janusz Rajski}, title = {An efficient SAT-based path delay fault {ATPG} with an unified sensitization model}, booktitle = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara, California, USA, October 21-26, 2007}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/TEST.2007.4437589}, doi = {10.1109/TEST.2007.4437589}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MolyneauxZKAHH07, author = {Robert F. Molyneaux and Thomas A. Ziaja and Hong Kim and Shahryar Aryani and Sungbae Hwang and Alex Hsieh}, editor = {Jill Sibert and Janusz Rajski}, title = {Design for testability features of the {SUN} microsystems niagara2 {CMP/CMT} {SPARC} chip}, booktitle = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara, California, USA, October 21-26, 2007}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/TEST.2007.4437561}, doi = {10.1109/TEST.2007.4437561}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MolyneauxZKAHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwic/BertagnaMSCHHMT07, author = {Francesca Bertagna and Monica Monachini and Claudia Soria and Nicoletta Calzolari and Chu{-}Ren Huang and Shu{-}Kai Hsieh and Andrea Marchetti and Maurizio Tesconi}, editor = {Toru Ishida and Susan R. Fussell and Piek T. J. M. Vossen}, title = {Fostering Intercultural Collaboration: {A} Web Service Architecture for Cross-Fertilization of Distributed Wordnets}, booktitle = {Intercultural Collaboration, First International Workshop, {IWIC} 2007, Kyoto, Japan, January 25-26, 2007, Invited and Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4568}, pages = {146--158}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74000-1\_11}, doi = {10.1007/978-3-540-74000-1\_11}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iwic/BertagnaMSCHHMT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/ChengCCWFLHPCT07, author = {Wen{-}Huang Cheng and Yung{-}Yu Chuang and Bing{-}Yu Chen and Ja{-}Ling Wu and Shao{-}Yen Fang and Yin{-}Tzu Lin and Chi{-}Chang Hsieh and Chen{-}Ming Pan and Wei{-}Ta Chu and Min{-}Chun Tien}, editor = {James Ze Wang and Nozha Boujemaa and Alberto Del Bimbo and Jia Li}, title = {Semantic-event based analysis and segmentation of wedding ceremony videos}, booktitle = {Proceedings of the 9th {ACM} {SIGMM} International Workshop on Multimedia Information Retrieval, {MIR} 2007, Augsburg, Bavaria, Germany, September 24-29, 2007}, pages = {95--104}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1290082.1290098}, doi = {10.1145/1290082.1290098}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mir/ChengCCWFLHPCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/HuangH07, author = {Hsin{-}mei May Huang and Shelley Ching{-}Yu Hsieh}, editor = {Hee{-}Rahk Chae and Jae{-}Woong Choe and Jong Sup Jun and Youngchul Jun and Eun{-}Jung Yoo}, title = {Time-moving Metaphors and Ego-moving Metaphors: Which Is Better Comprehended by Taiwanese?}, booktitle = {Proceedings of the 21st Pacific Asia Conference on Language, Information and Computation, {PACLIC} 21, Seoul, Korea, November 1-3, 2007}, publisher = {The Korean Society for Language and Information / {ACL}}, year = {2007}, url = {https://aclanthology.org/Y07-1017/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/HuangH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/HsiehOJYDAK07, author = {Tung{-}Ju Hsieh and Michael J. Olsen and Elizabeth Johnstone and Adam P. Young and Neal Driscoll and Scott A. Ashford and Falko Kuester}, editor = {Aditi Majumder and Larry F. Hodges and Daniel Cohen{-}Or and Stephen N. Spencer}, title = {VR-based visual analytics of {LIDAR} data for cliff erosion assessment}, booktitle = {Proceedings of the {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2007, Newport Beach, California, USA, November 5-7, 2007}, pages = {249--250}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1315184.1315244}, doi = {10.1145/1315184.1315244}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrst/HsiehOJYDAK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SheuDH07, author = {Jang{-}Ping Sheu and Ming{-}Lung Ding and Kun{-}Ying Hsieh}, title = {Routing with Hexagonal Virtual Coordinates in Wireless Sensor Networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {2929--2934}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.543}, doi = {10.1109/WCNC.2007.543}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SheuDH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/CornwellFHPRTVBCHMRS07, author = {Jason Cornwell and Ian Fette and Gary Hsieh and Madhu K. Prabaker and Jinghai Rao and Karen P. Tang and Kami Vaniea and Lujo Bauer and Lorrie Faith Cranor and Jason I. Hong and Bruce M. McLaren and Mike Reiter and Norman M. Sadeh}, editor = {Eyal de Lara and Nina Bhatti}, title = {User-Controllable Security and Privacy for Pervasive Computing}, booktitle = {Eighth {IEEE} Workshop on Mobile Computing Systems and Applications, HotMobile 2007, Tucson, Arizona, USA, March 8-9, 2007}, pages = {14--19}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/HotMobile.2007.9}, doi = {10.1109/HOTMOBILE.2007.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmcsa/CornwellFHPRTVBCHMRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/LeeYH07, author = {Yue{-}Shi Lee and Show{-}Jane Yen and Min{-}Chi Hsieh}, editor = {Jie Lu and Guangquan Zhang and Da Ruan}, title = {An Incremental Technique for Analyzing User Behaviors in an E-Business Environment}, booktitle = {E-Service Intelligence: Methodologies, Technologies and Applications}, series = {Studies in Computational Intelligence}, volume = {37}, pages = {347--364}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-37017-8\_16}, doi = {10.1007/978-3-540-37017-8\_16}, timestamp = {Fri, 19 Jan 2018 12:52:29 +0100}, biburl = {https://dblp.org/rec/series/sci/LeeYH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wsc/2007, editor = {Shane G. Henderson and Bahar Biller and Ming{-}Hua Hsieh and John Shortle and Jeffrey D. Tew and Russell R. Barton}, title = {Proceedings of the Winter Simulation Conference, {WSC} 2007, Washington, DC, USA, December 9-12, 2007}, publisher = {{WSC}}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4419575/proceeding}, isbn = {1-4244-1306-0}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4798, author = {Ryan Mannion and Harry Hsieh and Susan Cotterell and Frank Vahid}, title = {System Synthesis for Networks of Programmable Blocks}, journal = {CoRR}, volume = {abs/0710.4798}, year = {2007}, url = {http://arxiv.org/abs/0710.4798}, eprinttype = {arXiv}, eprint = {0710.4798}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ShihLLPCWWCSH06, author = {Arthur Chun{-}Chieh Shih and D. T. Lee and Laurent Lin and Chin{-}Lin Peng and Shiang{-}Heng Chen and Yu{-}Wei Wu and Chun{-}Yi Wong and Meng{-}Yuan Chou and Tze{-}Chang Shiao and Mu{-}Fen Hsieh}, title = {SinicView: {A} visualization environment for comparisons of multiple nucleotide sequence alignment tools}, journal = {{BMC} Bioinform.}, volume = {7}, pages = {103}, year = {2006}, url = {https://doi.org/10.1186/1471-2105-7-103}, doi = {10.1186/1471-2105-7-103}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ShihLLPCWWCSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/HsiehHHK06, author = {Sun{-}Yuan Hsieh and Chin{-}Wen Ho and Tsan{-}sheng Hsu and Ming{-}Tat Ko}, title = {The Hamiltonian problem on distance-hereditary graphs}, journal = {Discret. Appl. Math.}, volume = {154}, number = {3}, pages = {508--524}, year = {2006}, url = {https://doi.org/10.1016/j.dam.2005.07.012}, doi = {10.1016/J.DAM.2005.07.012}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/HsiehHHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijait/HsiehWCCYYC06, author = {Ting{-}Ya Hsieh and Morris H. L. Wang and Cheng{-}Wu Chen and Chen{-}Yuan Chen and Shang{-}En Yu and Hsien{-}Chueh Yang and Tsung{-}Hao Chen}, title = {A New Viewpoint of S-curve Regression Model and its Application to Construction Management}, journal = {Int. J. Artif. Intell. Tools}, volume = {15}, number = {2}, pages = {131--142}, year = {2006}, url = {https://doi.org/10.1142/S021821300600259X}, doi = {10.1142/S021821300600259X}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijait/HsiehWCCYYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/TangHNM06, author = {Xiangyang Tang and Jiang Hsieh and Roy A. Nilsen and Scott M. McOlash}, title = {Extending Three-Dimensional Weighted Cone Beam Filtered Backprojection {(CB-FBP)} Algorithm for Image Reconstruction in Volumetric {CT} at Low Helical Pitches}, journal = {Int. J. Biomed. Imaging}, volume = {2006}, pages = {45942:1--45942:8}, year = {2006}, url = {https://doi.org/10.1155/IJBI/2006/45942}, doi = {10.1155/IJBI/2006/45942}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/TangHNM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SuLH06, author = {Mu{-}Chun Su and Jonathan Lee and Kuo{-}Lung Hsieh}, title = {A new ARTMAP-based neural network for incremental learning}, journal = {Neurocomputing}, volume = {69}, number = {16-18}, pages = {2284--2300}, year = {2006}, url = {https://doi.org/10.1016/j.neucom.2005.06.020}, doi = {10.1016/J.NEUCOM.2005.06.020}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SuLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/PanPH06, author = {Shan Ling Pan and Gary S. C. Pan and Ming H. Hsieh}, title = {A dual-level analysis of the capability development process: {A} case study of TT{\&}T}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {57}, number = {13}, pages = {1814--1829}, year = {2006}, url = {https://doi.org/10.1002/asi.20384}, doi = {10.1002/ASI.20384}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/PanPH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/SetionoPHA06, author = {Rudy Setiono and Shan L. Pan and Ming{-}Huei Hsieh and Arnulfo P. Azcarraga}, title = {Knowledge acquisition and revision using neural networks: an application to a cross-national study of brand image perception}, journal = {J. Oper. Res. Soc.}, volume = {57}, number = {3}, pages = {231--240}, year = {2006}, url = {https://doi.org/10.1057/palgrave.jors.2602006}, doi = {10.1057/PALGRAVE.JORS.2602006}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/SetionoPHA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/GilbertTNIPCHMC06, author = {Laura Gilbert and Jeff Tseng and Rhys Newman and Saeed Iqbal and Ronald Pepper and Onur Celebioglu and Jenwei Hsieh and Victor Mashayekhi and Mark Cobban}, title = {Implications of virtualization on Grids for high energy physics applications}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {7}, pages = {922--930}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2005.12.013}, doi = {10.1016/J.JPDC.2005.12.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/GilbertTNIPCHMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HuangHCMC06, author = {Yu{-}Wen Huang and Bing{-}Yu Hsieh and Shao{-}Yi Chien and Shyh{-}Yih Ma and Liang{-}Gee Chen}, title = {Analysis and complexity reduction of multiple reference frames motion estimation in {H.264/AVC}}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {16}, number = {4}, pages = {507--522}, year = {2006}, url = {https://doi.org/10.1109/TCSVT.2006.872783}, doi = {10.1109/TCSVT.2006.872783}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/HuangHCMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChienHHMC06, author = {Shao{-}Yi Chien and Bing{-}Yu Hsieh and Yu{-}Wen Huang and Shyh{-}Yih Ma and Liang{-}Gee Chen}, title = {Hybrid Morphology Processing Unit Architecture for Moving Object Segmentation Systems}, journal = {J. {VLSI} Signal Process.}, volume = {42}, number = {3}, pages = {241--255}, year = {2006}, url = {https://doi.org/10.1007/s11265-006-4185-1}, doi = {10.1007/S11265-006-4185-1}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChienHHMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/BaeMMZHMS06, author = {Soonil Bae and Catherine C. Marshall and Konstantinos A. Meintanis and Anna Zacchi and Hao{-}wei Hsieh and J. Michael Moore and Frank M. Shipman III}, title = {Patterns of reading and organizing information in document triage}, booktitle = {Information Realities: Shaping the Digital Future for All - Proceedings of the 69th ASIS{\&}T Annual Meeting, {ASIST} 2006, Austin, TX, USA, November 3-8, 2006}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {43}, number = {1}, pages = {1--27}, publisher = {Wiley}, year = {2006}, url = {https://doi.org/10.1002/meet.14504301160}, doi = {10.1002/MEET.14504301160}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/BaeMMZHMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/Hsieh-YeeVMMH06, author = {Ingrid Hsieh{-}Yee and Sherry L. Vellucci and William E. Moen and Francis Miksa and Diane Hillmann}, title = {Building a digital teaching commons to enhance teaching, learning and research: The {MERIC} experience and challenges}, booktitle = {Information Realities: Shaping the Digital Future for All - Proceedings of the 69th ASIS{\&}T Annual Meeting, {ASIST} 2006, Austin, TX, USA, November 3-8, 2006}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {43}, number = {1}, pages = {1--7}, publisher = {Wiley}, year = {2006}, url = {https://doi.org/10.1002/meet.14504301101}, doi = {10.1002/MEET.14504301101}, timestamp = {Wed, 24 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asist/Hsieh-YeeVMMH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/TsuH06, author = {Shan Ming Tsu and W. S. Hsieh}, editor = {Ferng{-}Ching Lin and Der{-}Tsai Lee and Bao{-}Shuh Paul Lin and Shiuhpyng Shieh and Sushil Jajodia}, title = {Quadtree based perceptual watermarking scheme}, booktitle = {Proceedings of the 2006 {ACM} Symposium on Information, Computer and Communications Security, {ASIACCS} 2006, Taipei, Taiwan, March 21-24, 2006}, pages = {356}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128817.1128871}, doi = {10.1145/1128817.1128871}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/TsuH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PanCHCLCLLHWLLTYMCCPHCH06, author = {Jyh{-}Shin Pan and Hao{-}Cheng Chen and Bing{-}Yu Hsieh and Hong{-}Ching Chen and Roger Lee and Ching{-}Ho Chu and Yuan{-}Chin Liu and Chuan Liu and Lily Huang and Chang{-}Long Wu and Meng{-}Hsueh Lin and Chun{-}Yiu Lin and Shang{-}Nien Tsai and Jenn{-}Ning Yang and Chang{-}Po Ma and Yung Cheng and Shu{-}Hung Chou and Hsiu{-}Chen Peng and Peng{-}Chuan Huang and Benjamin Chiu and Alex Ho}, editor = {Ellen Sentovich}, title = {A {CMOS} SoC for 56/18/16 CD/DVD-dual/RAM applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {290--291}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146985}, doi = {10.1145/1146909.1146985}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PanCHCLCLLHWLLTYMCCPHCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoop/McDirmidH06, author = {Sean McDirmid and Wilson C. Hsieh}, editor = {Dave Thomas}, title = {SuperGlue: Component Programming with Object-Oriented Signals}, booktitle = {{ECOOP} 2006 - Object-Oriented Programming, 20th European Conference, Nantes, France, July 3-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4067}, pages = {206--229}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11785477\_15}, doi = {10.1007/11785477\_15}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/ecoop/McDirmidH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egice/HsiehL06, author = {Shang{-}Hsien Hsieh and Ming{-}Der Lu}, editor = {Ian F. C. Smith}, title = {Collaborative Engineering Software Development: Ontology-Based Approach}, booktitle = {Intelligent Computing in Engineering and Architecture, 13th {EG-ICE} Workshop 2006, Ascona, Switzerland, June 25-30, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4200}, pages = {328--342}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11888598\_31}, doi = {10.1007/11888598\_31}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egice/HsiehL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {{GDCF:} Grouping {DCF} for the {MAC} layer enhancement of 802.11}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.815}, doi = {10.1109/GLOCOM.2006.815}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/ChenHK06, author = {Nian{-}Shing Chen and Sheng{-}Wen Hsieh and Kinshuk}, title = {Adaptive Language Learning Based on Learner's {STM} Ability in M-learning Environment}, booktitle = {Proceedings of the 6th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2006, Kerkrade, The Netherlands, July 5-7, 2006}, pages = {1174--1175}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICALT.2006.1652679}, doi = {10.1109/ICALT.2006.1652679}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/ChenHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicic/HsiehWC06, author = {Sung{-}Yu Hsieh and Zhao{-}Kai Wu and Mei{-}Yung Chen}, title = {Implementation and Self-Tuning Adaptive Control Design of an Electromagnetic Submicro-positioner}, booktitle = {First International Conference on Innovative Computing, Information and Control {(ICICIC} 2006), 30 August - 1 September 2006, Beijing, China}, pages = {296--299}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICICIC.2006.462}, doi = {10.1109/ICICIC.2006.462}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicic/HsiehWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicic/KuanCKH06, author = {Yu{-}Hsin Kuan and Shih{-}Ting Chen and Chung Ming Kuo and Chaur{-}Heh Hsieh}, title = {A Novel Unsupervised Salient Region Segmentation for Color Images}, booktitle = {First International Conference on Innovative Computing, Information and Control {(ICICIC} 2006), 30 August - 1 September 2006, Beijing, China}, pages = {96--99}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICICIC.2006.216}, doi = {10.1109/ICICIC.2006.216}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicic/KuanCKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KruchtenHMMSE06, author = {Philippe Kruchten and Yvonne Hsieh and Eve MacGregor and Deependra Moitra and Wolfgang Strigel and Christof Ebert}, editor = {Leon J. Osterweil and H. Dieter Rombach and Mary Lou Soffa}, title = {Global software development for the practitioner}, booktitle = {28th International Conference on Software Engineering {(ICSE} 2006), Shanghai, China, May 20-28, 2006}, pages = {1032--1033}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1134285.1134489}, doi = {10.1145/1134285.1134489}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/KruchtenHMMSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KruchtenHMMSE06a, author = {Philippe Kruchten and Yvonne Hsieh and Eve MacGregor and Deependra Moitra and Wolfgang Strigel and Christof Ebert}, editor = {Philippe Kruchten and Deependra Moitra and Wolfgang Strigel and Christof Ebert}, title = {Introduction to the 1ST international workshop on global software development for the practitioner}, booktitle = {Proceedings of the 2006 International Workshop on Global Software Development For the Practitioner, {GSD} '06, Shanghai, China, May 23, 2006}, pages = {1--2}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1138506.1138507}, doi = {10.1145/1138506.1138507}, timestamp = {Mon, 31 Jan 2022 13:22:35 +0100}, biburl = {https://dblp.org/rec/conf/icse/KruchtenHMMSE06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/TsaiDHSDH06, author = {Richard Tzong{-}Han Tsai and Hong{-}Jie Dai and Hsieh{-}Chuan Hung and Cheng{-}Lung Sung and Min{-}Yuh Day and Wen{-}Lian Hsu}, title = {Chinese word segmentation with minimal linguistic knowledge: An improved conditional random fields coupled with character clustering and automatically discovered template matching}, booktitle = {Proceedings of the 2006 {IEEE} International Conference on Information Reuse and Integration, {IRI} - 2006: Heuristic Systems Engineering, September 16-18, 2006, Waikoloa, Hawaii, {USA}}, pages = {274--279}, publisher = {{IEEE} Systems, Man, and Cybernetics Society}, year = {2006}, url = {https://doi.org/10.1109/IRI.2006.252425}, doi = {10.1109/IRI.2006.252425}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iri/TsaiDHSDH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehS06, author = {Ming{-}Ta Hsieh and Gerald E. Sobelman}, title = {Modeling and verification of high-speed wired links with Verilog-AMS}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693032}, doi = {10.1109/ISCAS.2006.1693032}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PanHCCHCTCLCLHL06, author = {Jyh{-}Shin Pan and Tse{-}Hsiang Hsu and Hao{-}Cheng Chen and Jong{-}Woei Chen and Bing{-}Yu Hsieh and Hong{-}Ching Chen and Wei{-}Hsuan Tu and Chi{-}Ming Chang and Roger Lee and Ching{-}Ho Chu and Yuan{-}Chin Liu and Chuan{-}Cheng Hsiao and Chuan Liu and Lily Huang and Chia{-}Hua Chou and Chang{-}Long Wu and Meng{-}Hsueh Lin and Shang{-}Ping Chen and Brian Liu and Heng{-}Shou Hsu and Chun{-}Yiu Lin and Shang{-}Nien Tsai and Jenn{-}Ning Yang and Sean Chien and Kuan{-}Hua Chao and Chang{-}Po Ma and Yung Cheng and Shu{-}Hung Chou and Yih{-}Shin Weng and Ming{-}Shiam Tsai and Kun{-}Hung Hsieh and Kuang{-}Jung Chang and Jin{-}Chuan Hsu and Hsiu{-}Chen Peng and Alex Ho}, title = {Fully Integrated {CMOS} SoC for 56/18/16 CD/DVD-dual/RAM Applications with On-Chip 4-LVDS Channel {WSG} and 1.5Gb/s {SATA} {PHY}}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1022--1031}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696144}, doi = {10.1109/ISSCC.2006.1696144}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PanHCCHCTCLCLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/BadiBMMZHSM06, author = {Rajiv Badi and Soonil Bae and J. Michael Moore and Konstantinos A. Meintanis and Anna Zacchi and Hao{-}wei Hsieh and Frank M. Shipman III and Catherine C. Marshall}, editor = {C{\'{e}}cile Paris and Candace L. Sidner}, title = {Recognizing user interest and document value from reading and organizing activities in document triage}, booktitle = {Proceedings of the 11th International Conference on Intelligent User Interfaces, {IUI} 2006, Sydney, Australia, January 29 - February 1, 2006}, pages = {218--225}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1111449.1111496}, doi = {10.1145/1111449.1111496}, timestamp = {Tue, 06 Nov 2018 11:07:41 +0100}, biburl = {https://dblp.org/rec/conf/iui/BadiBMMZHSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/Meng-FenH06, author = {Hsieh Meng{-}Fen and Shirley J. Ho}, title = {Does Information Technology Always Help? Theory and Evidence from Taiwan's Banking Industry}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.147}, doi = {10.2991/JCIS.2006.147}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/Meng-FenH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/SuHZ06, author = {Mu{-}Chun Su and Yi{-}Zeng Hsieh and Yu{-}Xiang Zhao}, title = {A Simple Approach to Stereo Matching and Its Application in Developing a Travel Aid for the Blind}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.19}, doi = {10.2991/JCIS.2006.19}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/SuHZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jmlc/McDirmidHF06, author = {Sean McDirmid and Wilson C. Hsieh and Matthew Flatt}, editor = {David E. Lightfoot and Clemens A. Szyperski}, title = {A Framework for Modular Linking in {OO} Languages}, booktitle = {Modular Programming Languages, 7th Joint Modular Languages Conference, {JMLC} 2006, Oxford, UK, September 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4228}, pages = {116--135}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11860990\_9}, doi = {10.1007/11860990\_9}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/jmlc/McDirmidHF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobility/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11e}, booktitle = {Proceedings of the 3rd international conference on Mobile technology, applications {\&} systems, Mobility '06, Bangkok, Thailand, October 25-27, 2006}, pages = {7}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1292331.1292340}, doi = {10.1145/1292331.1292340}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobility/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/TingJHLL06, author = {Kuo{-}Chang Ting and Mao{-}yu Jan and Sung{-}Huai Hsieh and Hsiu{-}Hui Lee and Feipei Lai}, editor = {Enrique Alba and Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Renato Lo Cigno}, title = {Design and analysis of grouping-based {DCF} {(GB-DCF)} scheme for the {MAC} layer enhancement of 802.11 and 802.11n}, booktitle = {Proceedings of the 9th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2006, Terromolinos, Spain, October 2-6, 2006}, pages = {255--264}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1164717.1164762}, doi = {10.1145/1164717.1164762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/TingJHLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/ChangDGHWBCFG06, author = {Fay Chang and Jeffrey Dean and Sanjay Ghemawat and Wilson C. Hsieh and Deborah A. Wallach and Michael Burrows and Tushar Chandra and Andrew Fikes and Robert Gruber}, editor = {Brian N. Bershad and Jeffrey C. Mogul}, title = {Bigtable: {A} Distributed Storage System for Structured Data (Awarded Best Paper!)}, booktitle = {7th Symposium on Operating Systems Design and Implementation {(OSDI} '06), November 6-8, Seattle, WA, {USA}}, pages = {205--218}, publisher = {{USENIX} Association}, year = {2006}, url = {http://www.usenix.org/events/osdi06/tech/chang.html}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/osdi/ChangDGHWBCFG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HsiehLKLL06, author = {Hung{-}Yi Hsieh and Sheng{-}Fu Liang and Li{-}Wei Ko and May Lin and Chin{-}Teng Lin}, title = {Development of a Real-Time Wireless Embedded Brain Signal Acquisition/Processing System and its Application on Driver's Drowsiness Estimation}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {4374--4379}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.384822}, doi = {10.1109/ICSMC.2006.384822}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HsiehLKLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/YenHWL06, author = {Shwu{-}Huey Yen and Ming{-}Hsien Hsieh and Chia{-}Jen Wang and Hwei{-}Jen Lin}, title = {A Content-Based Painting Image Retrieval System Based on AdaBoost Algorithm}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {2407--2412}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.385224}, doi = {10.1109/ICSMC.2006.385224}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/YenHWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/SunHH05, author = {Hung{-}Min Sun and Bin{-}Tsan Hsieh and Hsin{-}Jia Hwang}, title = {Secure E-mail protocols providing perfect forward secrecy}, journal = {{IEEE} Commun. Lett.}, volume = {9}, number = {1}, pages = {58--60}, year = {2005}, url = {https://doi.org/10.1109/LCOMM.2005.01004}, doi = {10.1109/LCOMM.2005.01004}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/SunHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChengTH05, author = {Sheng{-}Tzong Cheng and Chih{-}Hsiung Tseng and Ming{-}Tzung Hsieh}, title = {An Integrated Location Management Scheme for Seamless Access in {B3G} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {2}, pages = {716--723}, year = {2005}, url = {https://doi.org/10.1093/ietcom/E88-B.2.716}, doi = {10.1093/IETCOM/E88-B.2.716}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChengTH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwis/LeeYH05, author = {Yue{-}Shi Lee and Show{-}Jane Yen and Min{-}Chi Hsieh}, title = {A Lattice-Based Framework for Interactively and Incrementally Mining Web Traversal Patterns}, journal = {Int. J. Web Inf. Syst.}, volume = {1}, number = {4}, pages = {197--207}, year = {2005}, url = {https://doi.org/10.1108/17440080580000093}, doi = {10.1108/17440080580000093}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwis/LeeYH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/SetionoPHA05, author = {Rudy Setiono and Shan L. Pan and Ming{-}Huei Hsieh and Arnulfo P. Azcarraga}, title = {Automatic knowledge extraction from survey data: learning \emph{M}-of-\emph{N} constructs using a hybrid approach}, journal = {J. Oper. Res. Soc.}, volume = {56}, number = {1}, pages = {3--14}, year = {2005}, url = {https://doi.org/10.1057/palgrave.jors.2601807}, doi = {10.1057/PALGRAVE.JORS.2601807}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/SetionoPHA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/SunHT05, author = {Hung{-}Min Sun and Bin{-}Tsan Hsieh and Shin{-}Mu Tseng}, title = {On the security of some proxy blind signature schemes}, journal = {J. Syst. Softw.}, volume = {74}, number = {3}, pages = {297--302}, year = {2005}, url = {https://doi.org/10.1016/j.jss.2004.02.015}, doi = {10.1016/J.JSS.2004.02.015}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/SunHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/SuLLCH05, author = {Mu{-}Chun Su and Wei{-}Zhe Lu and Jonathan Lee and Gwo{-}Dong Chen and Chen{-}Chiung Hsieh}, title = {The {MSFAM:} a modified fuzzy {ARTMAP} system}, journal = {Pattern Anal. Appl.}, volume = {8}, number = {1-2}, pages = {1--16}, year = {2005}, url = {https://doi.org/10.1007/s10044-004-0229-y}, doi = {10.1007/S10044-004-0229-Y}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/paa/SuLLCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ChengH05, author = {Sheng{-}Tzong Cheng and Ming{-}Tzung Hsieh}, title = {Design and Analysis of Time-Based Code Allocation Schemes in {W-CDMA} Systems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {4}, number = {6}, pages = {604--615}, year = {2005}, url = {https://doi.org/10.1109/TMC.2005.86}, doi = {10.1109/TMC.2005.86}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ChengH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/AzcarragaHPS05, author = {Arnulfo P. Azcarraga and Ming{-}Huei Hsieh and Shan L. Pan and Rudy Setiono}, title = {Extracting salient dimensions for automatic {SOM} labeling}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {35}, number = {4}, pages = {595--600}, year = {2005}, url = {https://doi.org/10.1109/TSMCC.2004.843177}, doi = {10.1109/TSMCC.2004.843177}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/AzcarragaHPS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/SetionoPHA05, author = {Rudy Setiono and Shan L. Pan and Ming{-}Huei Hsieh and Arnulfo P. Azcarraga}, title = {Separating core and noncore knowledge: an application of neural network rule extraction to a cross-national study of brand image perception}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {35}, number = {4}, pages = {465--475}, year = {2005}, url = {https://doi.org/10.1109/TSMCC.2004.843201}, doi = {10.1109/TSMCC.2004.843201}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/SetionoPHA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChenCTTHT05, author = {Jeanne Chen and Tung{-}Shou Chen and Tzu{-}Hsin Tsai and Hui{-}Fang Tsai and Mingli Hsieh and Shih{-}Shan Tang}, title = {Using the {ACM} Technique to Refine Protein Spots in 2DGE Images}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {289--292}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AINA.2005.339}, doi = {10.1109/AINA.2005.339}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChenCTTHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChouHGS05, author = {Shih{-}Chun Chou and Wen{-}Tai Hsieh and Fabien L. Gandon and Norman M. Sadeh}, title = {Semantic Web Technologies for Context-Aware Museum Tour Guide Applications}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {709--714}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AINA.2005.307}, doi = {10.1109/AINA.2005.307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChouHGS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/LiCYSYWPLHT05, author = {Kuan{-}Ching Li and Hsun{-}Chang Chang and Chao{-}Tung Yang and Liria Matsumoto Sato and Chung{-}Yuan Yang and Yin{-}Yi Wu and Mao{-}Yueh Pel and Hsiang{-}Kai Liao and Min{-}Chieh Hsieh and Chia{-}Wen Tsai}, title = {On Construction of a Visualization Toolkit for {MPI} Parallel Programs in Cluster Environments}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {211--214}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AINA.2005.262}, doi = {10.1109/AINA.2005.262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/LiCYSYWPLHT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/NguyenCTHJSMBM05, author = {Bao Q. Nguyen and Yao{-}Ling Chuang and David Tung and Chung H. Hsieh and Zhipu Jin and Ling Shi and Daniel E. Marthaler and Andrea L. Bertozzi and Richard M. Murray}, title = {Virtual attractive-repulsive potentials for cooperative control of second order dynamic vehicles on the Caltech {MVWT}}, booktitle = {American Control Conference, {ACC} 2005, Portland, OR, USA, 8-10 June, 2005}, pages = {1084--1089vol.2}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ACC.2005.1470105}, doi = {10.1109/ACC.2005.1470105}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/NguyenCTHJSMBM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/KimABCH05, author = {Kyung{-}Sun Kim and Robert B. Allen and Laura M. Bartolo and Anita Coleman and Ingrid Hsieh{-}Yee}, title = {Progress in the design and evaluation of digital libraries: Implications for research and education}, booktitle = {Sparking Synergies: Bringing Research and Practice Together - Proceedings of the 68th ASIS{\&}T Annual Meeting, {ASIST} 2005, Charlotte, North Carolina, USA, October 28 - November 2, 2005}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {42}, number = {1}, publisher = {Wiley}, year = {2005}, url = {https://doi.org/10.1002/meet.14504201187}, doi = {10.1002/MEET.14504201187}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/KimABCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HsiehCY05, author = {Ming{-}Jyh Hsieh and Ming{-}Syan Chen and Philip S. Yu}, editor = {Otthein Herzog and Hans{-}J{\"{o}}rg Schek and Norbert Fuhr and Abdur Chowdhury and Wilfried Teiken}, title = {Integrating {DCT} and {DWT} for approximating cube streams}, booktitle = {Proceedings of the 2005 {ACM} {CIKM} International Conference on Information and Knowledge Management, Bremen, Germany, October 31 - November 5, 2005}, pages = {179--186}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1099554.1099588}, doi = {10.1145/1099554.1099588}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/HsiehCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimca/SumodheeHSHC05, author = {C. Sumodhee and J. L. Hsieh and C. T. Sun and C. Y. Huang and Arthur Y. M. Chen}, title = {Impact of Social Behaviors on {HIV} Epidemic: {A} Computer Simulation View}, booktitle = {2005 International Conference on Computational Intelligence for Modelling Control and Automation {(CIMCA} 2005), International Conference on Intelligent Agents, Web Technologies and Internet Commerce {(IAWTIC} 2005), 28-30 November 2005, Vienna, Austria}, pages = {550--556}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CIMCA.2005.1631526}, doi = {10.1109/CIMCA.2005.1631526}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimca/SumodheeHSHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MannionHCV05, author = {Ryan Mannion and Harry Hsieh and Susan Cotterell and Frank Vahid}, title = {System Synthesis for Networks of Programmable Blocks}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {888--893}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.289}, doi = {10.1109/DATE.2005.289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MannionHCV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/HwangHH05, author = {Chih{-}Lyang Hwang and Ming{-}Ching Hsieh and Song{-}Yu Han}, title = {A Trajectory Tracking of Piezo-Driven {X-Y} Table System Using Fuzzy {T-S} Model-Based Variable Structure Decentralized Control}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2005, Reno, Nevada, USA, May 22-25, 2005}, pages = {49--54}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/FUZZY.2005.1452367}, doi = {10.1109/FUZZY.2005.1452367}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/HwangHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/HsiehS05, author = {Hao{-}wei Hsieh and Frank M. Shipman III}, editor = {Siegfried Reich and Manolis Tzagarakis}, title = {Activity links: supporting communication and reflection about action}, booktitle = {{HYPERTEXT} 2005, Proceedings of the 16th {ACM} Conference on Hypertext and Hypermedia, September 6-9, 2005, Salzburg, Austria}, pages = {161--170}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1083356.1083388}, doi = {10.1145/1083356.1083388}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ht/HsiehS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeHY05, author = {Yue{-}Shi Lee and Min{-}Chi Hsieh and Show{-}Jane Yen}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Efficient Approach for Interactively Mining Web Traversal Patterns}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3481}, pages = {1055--1065}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424826\_113}, doi = {10.1007/11424826\_113}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeHY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/YenLH05, author = {Show{-}Jane Yen and Yue{-}Shi Lee and Min{-}Chi Hsieh}, editor = {Francis C. M. Lau and Hui Lei and Xiaofeng Meng and Min Wang}, title = {An Efficient Incremental Algorithm for Mining Web Traversal Patterns}, booktitle = {2005 {IEEE} International Conference on e-Business Engineering {(ICEBE} 2005), 18-21 October 2005, Beijing, China}, pages = {274--281}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICEBE.2005.25}, doi = {10.1109/ICEBE.2005.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/YenLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interact/BaeBMMZHMS05, author = {Soonil Bae and Rajiv Badi and Konstantinos A. Meintanis and J. Michael Moore and Anna Zacchi and Hao{-}wei Hsieh and Catherine C. Marshall and Frank M. Shipman III}, editor = {Maria Francesca Costabile and Fabio Patern{\`{o}}}, title = {Effects of Display Configurations on Document Triage}, booktitle = {Human-Computer Interaction - {INTERACT} 2005, {IFIP} {TC13} International Conference, Rome, Italy, September 12-16, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3585}, pages = {130--143}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11555261\_14}, doi = {10.1007/11555261\_14}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/interact/BaeBMMZHMS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.