Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Kuan-Ta Chen"
@article{DBLP:journals/entcom/TaiHCL24, author = {Kai{-}Hsin Tai and Jon{-}Chao Hong and Kuan{-}Fong Chen and Chan{-}Li Lin}, title = {Practicing drum on {VR} to promote rhythm performance: Exploring the learning progress related to incremental belief of rhythm, gameplay anxiety, flow experience, and perceived learning value}, journal = {Entertain. Comput.}, volume = {48}, pages = {100607}, year = {2024}, url = {https://doi.org/10.1016/j.entcom.2023.100607}, doi = {10.1016/J.ENTCOM.2023.100607}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entcom/TaiHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/TaiC24, author = {Liang Kuang Tai and Arbee L. P. Chen}, title = {Strategies to Selecting Most Profitable Products by Price Settings}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {23}, number = {2}, pages = {885--915}, year = {2024}, url = {https://doi.org/10.1142/S0219622023500438}, doi = {10.1142/S0219622023500438}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitdm/TaiC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/XiaZHTGGLZ24, author = {Chenxing Xia and Wenjun Zhao and Huidan Han and Zhanpeng Tao and Bin Ge and Xiuju Gao and Kuan{-}Ching Li and Yan Zhang}, title = {MonoSAID: Monocular 3D Object Detection based on Scene-Level Adaptive Instance Depth Estimation}, journal = {J. Intell. Robotic Syst.}, volume = {110}, number = {1}, pages = {2}, year = {2024}, url = {https://doi.org/10.1007/s10846-023-02027-6}, doi = {10.1007/S10846-023-02027-6}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jirs/XiaZHTGGLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/ZhangQSPLLZ24, author = {Chenyu Zhang and Haohao Qin and Shiying Sun and Yi Pan and Kuan Liu and Tao Li and Xiaoguang Zhao}, title = {{JPMDP:} Joint base placement and multi-configuration path planning for 3D surface disinfection with a {UV-C} robotic system}, journal = {Robotics Auton. Syst.}, volume = {174}, pages = {104644}, year = {2024}, url = {https://doi.org/10.1016/j.robot.2024.104644}, doi = {10.1016/J.ROBOT.2024.104644}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ras/ZhangQSPLLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ZhuKPZLG24, author = {Taiyu Zhu and Lei Kuang and Chengzhe Piao and Junming Zeng and Kezhi Li and Pantelis Georgiou}, title = {Population-Specific Glucose Prediction in Diabetes Care With Transformer-Based Deep Learning on the Edge}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {18}, number = {2}, pages = {236--246}, year = {2024}, url = {https://doi.org/10.1109/TBCAS.2023.3348844}, doi = {10.1109/TBCAS.2023.3348844}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ZhuKPZLG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/GaoLHXYTL24, author = {Shuhua Gao and Raiyan bin Zulkifli Lee and Zhenhao Huang and Cheng Xiang and Ming Yu and Kuan Tak Tan and Tong Heng Lee}, title = {A Hybrid Approach for Home Energy Management With Imitation Learning and Online Optimization}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {3}, pages = {4527--4539}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3324939}, doi = {10.1109/TII.2023.3324939}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/GaoLHXYTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChenLLYL24, author = {Kuan{-}Fu Chen and Ming{-}Chun Lee and Chia{-}Hung Lin and Wan{-}Chi Yeh and Ta{-}Sung Lee}, title = {Multi-Fault and Severity Diagnosis for Self-Organizing Networks Using Deep Supervised Learning and Unsupervised Transfer Learning}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {1}, pages = {141--157}, year = {2024}, url = {https://doi.org/10.1109/TWC.2023.3276313}, doi = {10.1109/TWC.2023.3276313}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ChenLLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiLZTZKX24, author = {Kexin Li and Chengjiang Long and Shengyu Zhang and Xudong Tang and Zhichao Zhai and Kun Kuang and Jun Xiao}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {CoreRec: {A} Counterfactual Correlation Inference for Next Set Recommendation}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {8661--8669}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i8.28711}, doi = {10.1609/AAAI.V38I8.28711}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiLZTZKX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiCTZYLYYTW24, author = {Mengyang Li and Chuang Chen and Xin Tang and Kuangqi Zhu and Yue Yang and Shijian Luo and Cheng Yao and Fangtian Ying and Ye Tao and Guanyun Wang}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {HydroSkin: Rapid Prototyping On-Skin Interfaces via Low-Cost Hydrographic Printing}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {192:1--192:6}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651052}, doi = {10.1145/3613905.3651052}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LiCTZYLYYTW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YangRCHZLSZJZNW24, author = {Yue Yang and Lei Ren and Chuang Chen and Bin Hu and Zhuoyi Zhang and Xinyan Li and Yanchen Shen and Kuangqi Zhu and Junzhe Ji and Yuyang Zhang and Yongbo Ni and Jiayi Wu and Qi Wang and Jiang Wu and Lingyun Sun and Ye Tao and Guanyun Wang}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {SnapInflatables: Designing Inflatables with Snap-through Instability for Responsive Interaction}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {342:1--342:15}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642933}, doi = {10.1145/3613904.3642933}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YangRCHZLSZJZNW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JhangLSYYCLLDL24, author = {Pei{-}Ci Jhang and Chi{-}Pin Lu and Jung{-}Yu Shieh and Ling{-}Wu Yang and Tahone Yang and Kuang{-}Chao Chen and Hang{-}Ting Lue and Pei{-}Ying Du and Chih{-}Yuan Lu}, title = {Charge Loss Improvement in 3D Flash Memory by Molecular Oxidation of Tunneling Oxide}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {24}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529470}, doi = {10.1109/IRPS48228.2024.10529470}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/JhangLSYYCLLDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KieferZKPTWMYHJKMHSSHZCLXCLWZLRVNHPYFT24, author = {Benjamin Kiefer and Lojze Zust and Matej Kristan and Janez Pers and Matija Tersek and Arnold Wiliem and Martin Messmer and Cheng{-}Yen Yang and Hsiang{-}Wei Huang and Zhongyu Jiang and Heng{-}Cheng Kuo and Jie Mei and Jenq{-}Neng Hwang and Daniel Stadler and Lars Sommer and Kaer Huang and Aiguo Zheng and Weitu Chong and Kanokphan Lertniphonphan and Jun Xie and Feng Chen and Jian Li and Zhepeng Wang and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Tan{-}Sang Ha and Quan{-}Dung Pham and Sai{-}Kit Yeung and Yuan Feng and Nguyen Thanh Thien and Lixin Tian and Sheng{-}Yao Kuan and Yuan{-}Hao Ho and {\'{A}}ngel Bueno Rodr{\'{\i}}guez and Borja Carrillo{-}Perez and Alexander Klein and Antje Alex and Yannik Steiniger and Felix Sattler and Edgardo Solano{-}Carrillo and Matej Fabijanic and Magdalena Sumunec and Nadir Kapetanovic and Andreas Michel and Wolfgang Gross and Martin Weinmann}, title = {2\({}^{\mbox{nd}}\) Workshop on Maritime Computer Vision (MaCVi) 2024: Challenge Results}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACVW} 2024 - Workshops, Waikoloa, HI, USA, January 1-6, 2024}, pages = {869--891}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACVW60836.2024.00099}, doi = {10.1109/WACVW60836.2024.00099}, timestamp = {Tue, 30 Apr 2024 09:16:29 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KieferZKPTWMYHJKMHSSHZCLXCLWZLRVNHPYFT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09372, author = {Jiancheng Yang and Rui Shi and Liang Jin and Xiaoyang Huang and Kaiming Kuang and Donglai Wei and Shixuan Gu and Jianying Liu and Pengfei Liu and Zhizhong Chai and Yongjie Xiao and Hao Chen and Liming Xu and Bang Du and Xiangyi Yan and Hao Tang and Adam M. Alessio and Gregory Holste and Jiapeng Zhang and Xiaoming Wang and Jianye He and Lixuan Che and Hanspeter Pfister and Ming Li and Bingbing Ni}, title = {Deep Rib Fracture Instance Segmentation and Classification from {CT} on the RibFrac Challenge}, journal = {CoRR}, volume = {abs/2402.09372}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09372}, doi = {10.48550/ARXIV.2402.09372}, eprinttype = {arXiv}, eprint = {2402.09372}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09372.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16242, author = {Chao Tao and Dongsheng Kuang and Zhenyang Huang and Chengli Peng and Haifeng Li}, title = {HSONet: {A} Siamese foreground association-driven hard case sample optimization network for high-resolution remote sensing image change detection}, journal = {CoRR}, volume = {abs/2402.16242}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16242}, doi = {10.48550/ARXIV.2402.16242}, eprinttype = {arXiv}, eprint = {2402.16242}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-07987, author = {Ming Li and Taojiannan Yang and Huafeng Kuang and Jie Wu and Zhaoning Wang and Xuefeng Xiao and Chen Chen}, title = {ControlNet++: Improving Conditional Controls with Efficient Consistency Feedback}, journal = {CoRR}, volume = {abs/2404.07987}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.07987}, doi = {10.48550/ARXIV.2404.07987}, eprinttype = {arXiv}, eprint = {2404.07987}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-07987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TanCL23, author = {Kuang{-}Hsiung Tan and Jun{-}Hao Chen and Yih{-}Der Lee}, title = {Intelligent Controlled Dynamic Voltage Restorer for Improving Transient Voltage Quality}, journal = {{IEEE} Access}, volume = {11}, pages = {74686--74701}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3293823}, doi = {10.1109/ACCESS.2023.3293823}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TanCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/GhafoorJCHCC23, author = {Yusra Ghafoor and Shi Jinping and Fernando H. Calderon and Yen{-}Hao Huang and Kuan{-}Ta Chen and Yi{-}Shin Chen}, title = {{TERMS:} textual emotion recognition in multidimensional space}, journal = {Appl. Intell.}, volume = {53}, number = {3}, pages = {2673--2693}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-03567-4}, doi = {10.1007/S10489-022-03567-4}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/GhafoorJCHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ase/ChenT23, author = {Chung{-}Yang Chen and Kuang{-}Yen Tai}, title = {Online ontological quality assessment of converted {UML} class diagrams in {SRE}}, journal = {Autom. Softw. Eng.}, volume = {30}, number = {2}, pages = {18}, year = {2023}, url = {https://doi.org/10.1007/s10515-023-00385-x}, doi = {10.1007/S10515-023-00385-X}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ase/ChenT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/RustiaLLWSCCL23, author = {Dan Jeric Arcega Rustia and Wei{-}Che Lee and Chen{-}Yi Lu and Ya{-}Fang Wu and Pei{-}Yu Shih and Sheng{-}Kuan Chen and Jui{-}Yung Chung and Ta{-}Te Lin}, title = {Edge-based wireless imaging system for continuous monitoring of insect pests in a remote outdoor mango orchard}, journal = {Comput. Electron. Agric.}, volume = {211}, pages = {108019}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.108019}, doi = {10.1016/J.COMPAG.2023.108019}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/RustiaLLWSCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YuKTCCWGC23, author = {Xiaodong Yu and Ta{-}Wen Kuan and Shih{-}Pang Tseng and Ying Chen and Shuo Chen and Jhing{-}Fa Wang and Yuhang Gu and Tuoli Chen}, title = {EnRDeA U-Net Deep Learning of Semantic Segmentation on Intricate Noise Roads}, journal = {Entropy}, volume = {25}, number = {7}, pages = {1085}, year = {2023}, url = {https://doi.org/10.3390/e25071085}, doi = {10.3390/E25071085}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YuKTCCWGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/YehYLLH23, author = {Kuan{-}Cheng Yeh and Chia{-}Hsing Yang and Ming{-}Chun Lee and Ta{-}Sung Lee and Hsiang{-}Hsuan Hung}, title = {Parameter Selection and Radar Fusion for Tracking in Roadside Units}, journal = {{IEICE} Trans. Commun.}, volume = {106}, number = {9}, pages = {855--863}, year = {2023}, url = {https://doi.org/10.1587/transcom.2022ebp3146}, doi = {10.1587/TRANSCOM.2022EBP3146}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/YehYLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/LinTC23, author = {Yun Sheng Lin and Liang Kuang Tai and Arbee L. P. Chen}, title = {The detection of mental health conditions by incorporating external knowledge}, journal = {J. Intell. Inf. Syst.}, volume = {61}, number = {2}, pages = {497--518}, year = {2023}, url = {https://doi.org/10.1007/s10844-022-00774-w}, doi = {10.1007/S10844-022-00774-W}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiis/LinTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/ZhangKCYSXZWWZL23, author = {Fengda Zhang and Kun Kuang and Long Chen and Zhaoyang You and Tao Shen and Jun Xiao and Yin Zhang and Chao Wu and Fei Wu and Yueting Zhuang and Xiaolin Li}, title = {Federated unsupervised representation learning}, journal = {Frontiers Inf. Technol. Electron. Eng.}, volume = {24}, number = {8}, pages = {1181--1193}, year = {2023}, url = {https://doi.org/10.1631/FITEE.2200268}, doi = {10.1631/FITEE.2200268}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/ZhangKCYSXZWWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TsaiTLWC23, author = {Ming{-}Da Tsai and Kuan{-}Wen Tseng and Chia{-}Cheng Lai and Chun{-}Ta Wei and Ken{-}Fa Cheng}, title = {Exploring Airborne LiDAR and Aerial Photographs Using Machine Learning for Land Cover Classification}, journal = {Remote. Sens.}, volume = {15}, number = {9}, pages = {2280}, year = {2023}, url = {https://doi.org/10.3390/rs15092280}, doi = {10.3390/RS15092280}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TsaiTLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangLNCTWK23, author = {Han Zhang and Lin Lei and Weiping Ni and Kenan Cheng and Tao Tang and Peizhong Wang and Gangyao Kuang}, title = {Registration of Large Optical and {SAR} Images with Non-Flat Terrain by Investigating Reliable Sparse Correspondences}, journal = {Remote. Sens.}, volume = {15}, number = {18}, pages = {4458}, year = {2023}, url = {https://doi.org/10.3390/rs15184458}, doi = {10.3390/RS15184458}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangLNCTWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TaiLHTL23, author = {Kuang{-}Yen Tai and Bo{-}Chen Liu and Chiu{-}Han Hsiao and Ming{-}Chi Tsai and Frank Yeong{-}Sung Lin}, title = {A Near-Optimal Energy Management Mechanism Considering QoS and Fairness Requirements in Tree Structure Wireless Sensor Networks}, journal = {Sensors}, volume = {23}, number = {2}, pages = {763}, year = {2023}, url = {https://doi.org/10.3390/s23020763}, doi = {10.3390/S23020763}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TaiLHTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhangLNYTCXK23, author = {Han Zhang and Lin Lei and Weiping Ni and Xiaoliang Yang and Tao Tang and Kenan Cheng and Deliang Xiang and Gangyao Kuang}, title = {Optical and {SAR} Image Dense Registration Using a Robust Deep Optical Flow Framework}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {16}, pages = {1269--1294}, year = {2023}, url = {https://doi.org/10.1109/JSTARS.2023.3235535}, doi = {10.1109/JSTARS.2023.3235535}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ZhangLNYTCXK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LinTHLHTSCYW23, author = {Tay{-}Jyi Lin and Yi{-}Hsuan Ting and Meng{-}Ze Hsu and Kuan{-}Han Lin and Chung{-}Ming Huang and Fu{-}Cheng Tsai and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {A 16 nm 140 {TOPS/W} 5 {\(\mu\)}J/Inference Keyword Spotting Engine Based on 1D-BCNN}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {12}, pages = {4564--4568}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3290230}, doi = {10.1109/TCSII.2023.3290230}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LinTHLHTSCYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/DengZKCGT23, author = {Xiaoheng Deng and Jiahao Zhao and Zhufang Kuang and Xuechen Chen and Qi Guo and Fengxiao Tang}, title = {Computation Efficiency Maximization in Multi-UAV-Enabled Mobile Edge Computing Systems Based on 3D Deployment Optimization}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {11}, number = {3}, pages = {778--790}, year = {2023}, url = {https://doi.org/10.1109/TETC.2023.3268346}, doi = {10.1109/TETC.2023.3268346}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/DengZKCGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YanLKYZCC23, author = {Yan Yan and Dong Li and Qianliang Kuang and Xia Yao and Yan Zhu and Weixing Cao and Tao Cheng}, title = {Integration of Canopy Water Removal and Spectral Triangle Index for Improved Estimations of Leaf Nitrogen and Grain Protein Concentrations in Winter Wheat}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--18}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3277456}, doi = {10.1109/TGRS.2023.3277456}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/YanLKYZCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HuoLCLYKZT23, author = {Mingyi Huo and Hao Luo and Chao Cheng and Kuan Li and Shen Yin and Okyay Kaynak and Jiusi Zhang and Dejia Tang}, title = {Subspace-Aided Sensor Fault Diagnosis and Compensation for Industrial Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {9}, pages = {9474--9482}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3215823}, doi = {10.1109/TIE.2022.3215823}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HuoLCLYKZT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KuangLCZN23, author = {Jian Kuang and Taiyu Li and Qijin Chen and Baoding Zhou and Xiaoji Niu}, title = {Consumer-Grade Inertial Measurement Units Enhanced Indoor Magnetic Field Matching Positioning Scheme}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3221754}, doi = {10.1109/TIM.2022.3221754}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KuangLCZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEwisa/WangHKFWT23, author = {Yuyou Wang and Hao Hu and Hongyu Kuang and Chenyou Fan and Liang Wang and Xianping Tao}, editor = {Long Yuan and Shiyu Yang and Ruixuan Li and Evangelos Kanoulas and Xiang Zhao}, title = {RL-Based {CEP} Operator Placement Method on Edge Networks Using Response Time Feedback}, booktitle = {Web Information Systems and Applications - 20th International Conference, {WISA} 2023, Chengdu, China, September 15-17, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14094}, pages = {559--571}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-6222-8\_47}, doi = {10.1007/978-981-99-6222-8\_47}, timestamp = {Fri, 15 Sep 2023 14:21:40 +0200}, biburl = {https://dblp.org/rec/conf/IEEEwisa/WangHKFWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SmithBKTCC23, author = {Shea Smith and Taylor Barton and Yen{-}Cheng Kuan and Armin Tajalli and Mau{-}Chung Frank Chang and Shiuh{-}Hua Wood Chiang}, title = {A 0.12-V 200-Hz-BW 10-Bit {ADC} Using Quad-Channel {VCO} and Interpolation Linearization}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347931}, doi = {10.1109/A-SSCC58667.2023.10347931}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/SmithBKTCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/HenkelSBTWTMCKFLCHCYC23, author = {J{\"{o}}rg Henkel and Lokesh Siddhu and Lars Bauer and J{\"{u}}rgen Teich and Stefan Wildermann and Mehdi B. Tahoori and Mahta Mayahinia and Jer{\'{o}}nimo Castrill{\'{o}}n and Asif Ali Khan and Hamid Farzaneh and Jo{\~{a}}o Paulo C. de Lima and Jian{-}Jia Chen and Christian Hakert and Kuan{-}Hsun Chen and Chia{-}Lin Yang and Hsiang{-}Yun Cheng}, editor = {Jana Doppa and Swarup Bhunia}, title = {Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications}, booktitle = {International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2023, Hamburg, Germany, September 17-22, 2023}, pages = {11--20}, publisher = {{ACM/IEEE}}, year = {2023}, url = {https://doi.org/10.1145/3607889.3609088}, doi = {10.1145/3607889.3609088}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/HenkelSBTWTMCKFLCHCYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/Gao00TL23, author = {Shuhua Gao and Cheng Xiang and Ming Yu and Kuan Tak Tan and Tong Heng Lee}, title = {On Solar Photovoltaic Parameter Estimation: Global Optimality Analysis and a Simple Efficient Differential Evolution Method}, booktitle = {62nd {IEEE} Conference on Decision and Control, {CDC} 2023, Singapore, December 13-15, 2023}, pages = {2563--2569}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CDC49753.2023.10383303}, doi = {10.1109/CDC49753.2023.10383303}, timestamp = {Mon, 29 Jan 2024 17:30:32 +0100}, biburl = {https://dblp.org/rec/conf/cdc/Gao00TL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangZZGCYPYLWTS23, author = {Guanyun Wang and Kuangqi Zhu and Lingchuan Zhou and Mengyan Guo and Haotian Chen and Zihan Yan and Deying Pan and Yue Yang and Jiaji Li and Jiang Wu and Ye Tao and Lingyun Sun}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {PneuFab: Designing Low-Cost 3D-Printed Inflatable Structures for Blow Molding Artifacts}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {693:1--693:17}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580923}, doi = {10.1145/3544548.3580923}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/WangZZGCYPYLWTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangZZGCYPYLWTS23a, author = {Guanyun Wang and Kuangqi Zhu and Lingchuan Zhou and Mengyan Guo and Haotian Chen and Zihan Yan and Deying Pan and Yue Yang and Jiaji Li and Jiang Wu and Ye Tao and Lingyun Sun}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {Blow Molding Artifacts with PneuFab Method}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {429:1--429:4}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3583938}, doi = {10.1145/3544549.3583938}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/WangZZGCYPYLWTS23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YangRCWFSZLWSTW23, author = {Yue Yang and Lei Ren and Chuang Chen and Xinyue Wang and Yitao Fan and Yilin Shao and Kuangqi Zhu and Jiaji Li and Qi Wang and Lingyun Sun and Ye Tao and Guanyun Wang}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {E-Orthosis: Augmenting Off-the-Shelf Orthoses with Electronics}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {625:1--625:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581471}, doi = {10.1145/3544548.3581471}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/YangRCWFSZLWSTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CuiKGTJ23, author = {Zijun Cui and Chenyi Kuang and Tian Gao and Kartik Talamadupula and Qiang Ji}, title = {Biomechanics-Guided Facial Action Unit Detection Through Force Modeling}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {8694--8703}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00840}, doi = {10.1109/CVPR52729.2023.00840}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CuiKGTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGXGHQLYMPAX23, author = {Chen Wang and Dasong Gao and Kuan Xu and Junyi Geng and Yaoyu Hu and Yuheng Qiu and Bowen Li and Fan Yang and Brady G. Moon and Abhinav Pandey and Aryan and Jiahe Xu and Tianhao Wu and Haonan He and Daning Huang and Zhongqiang Ren and Shibo Zhao and Taimeng Fu and Pranay Reddy and Xiao Lin and Wenshan Wang and Jingnan Shi and Rajat Talak and Kun Cao and Yi Du and Han Wang and Huai Yu and Shanzhao Wang and Siyu Chen and Ananth Kashyap and Rohan Bandaru and Karthik Dantu and Jiajun Wu and Lihua Xie and Luca Carlone and Marco Hutter and Sebastian A. Scherer}, title = {PyPose: {A} Library for Robot Learning with Physics-based Optimization}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {22024--22034}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02109}, doi = {10.1109/CVPR52729.2023.02109}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WangGXGHQLYMPAX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/TangCJLG23, author = {Chen Tang and Zhaole Chu and Peiquan Jin and Yongping Luo and Kuankuan Guo}, editor = {Ali Raza Butt and Ningfang Mi and Kyle Chard}, title = {{HM2:} Efficient Host Memory Management for RDMA-Enabled Distributed Systems}, booktitle = {Proceedings of the 32nd International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2023, Orlando, FL, USA, June 16-23, 2023}, pages = {335--336}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3588195.3595952}, doi = {10.1145/3588195.3595952}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/TangCJLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenWTXKI23, author = {Kuan{-}Lin Chen and Daniel D. E. Wong and Ke Tan and Buye Xu and Anurag Kumar and Vamsi Krishna Ithapu}, title = {Leveraging Heteroscedastic Uncertainty in Learning Complex Spectral Mapping for Single-Channel Speech Enhancement}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096166}, doi = {10.1109/ICASSP49357.2023.10096166}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChenWTXKI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KuangDLWLW23, author = {Cheng Kuang and Meng Duan and Tao Lv and Yingjun Wu and Li Li and Luping Wang}, title = {{ODRL:} Reinforcement Learning in Priority Scheduling for Running Cost Optimization}, booktitle = {29th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2023, Ocean Flower Island, China, December 17-21, 2023}, pages = {2410--2419}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPADS60453.2023.00322}, doi = {10.1109/ICPADS60453.2023.00322}, timestamp = {Sun, 14 Apr 2024 20:48:59 +0200}, biburl = {https://dblp.org/rec/conf/icpads/KuangDLWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YangJWXSCSK23, author = {Taozheng Yang and Ya Jing and Hongtao Wu and Jiafeng Xu and Kuankuan Sima and Guangzeng Chen and Qie Sima and Tao Kong}, title = {MOMA-Force: Visual-Force Imitation for Real-World Mobile Manipulation}, booktitle = {{IROS}}, pages = {6847--6852}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342371}, doi = {10.1109/IROS55552.2023.10342371}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YangJWXSCSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuCKZLG23, author = {Taiyu Zhu and Tianrui Chen and Lei Kuang and Junming Zeng and Kezhi Li and Pantelis Georgiou}, title = {Edge-Based Temporal Fusion Transformer for Multi-Horizon Blood Glucose Prediction}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181448}, doi = {10.1109/ISCAS46773.2023.10181448}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuCKZLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkACENGLKLKRSACZWTCPHA23, author = {Henry Park and Mohammed Abdullatif and Ehung Chen and Ahmed Elmallah and Qaiser Nehal and Miguel Gandara and Tsz{-}Bin Liu and Amr Khashaba and Joonyeong Lee and Chih{-}Yi Kuan and Dhinessh Ramachandran and Ruey{-}Bo Sun and Atharav Atharav and Yusang Chun and Mantian Zhang and Deng{-}Fu Weng and Chung{-}Hsien Tsai and Chen{-}Hao Chang and Chia{-}Sheng Peng and Sheng{-}Tsung Hsu and Tamer A. Ali}, title = {A 4.63pJ/b 112Gb/s DSP-Based {PAM-4} Transceiver for a Large-Scale Switch in 5nm FinFET}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {110--111}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067613}, doi = {10.1109/ISSCC42615.2023.10067613}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkACENGLKLKRSACZWTCPHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BartonKC23, author = {Taylor Barton and Yen{-}Cheng Kuan and Shiuh{-}Hua Wood Chiang}, title = {A Multi-Stage Zero-Crossing-Based Amplifier Using Floating-Inverter Amplifier With Background Offset Calibration and Self-Timed Loop}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10405916}, doi = {10.1109/MWSCAS57524.2023.10405916}, timestamp = {Sat, 24 Feb 2024 20:42:53 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BartonKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HolscherTHSCC23, author = {Nils H{\"{o}}lscher and Minh Duy Truong and Christian Hakert and Tristan Taylan Seidl and Kuan{-}Hsun Chen and Jian{-}Jia Chen}, title = {Rapid {NVM} Simulation and Analysis on Single Bit Granularity Featuring Gem5 and NVMain}, booktitle = {12th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {50--55}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NVMSA58981.2023.00012}, doi = {10.1109/NVMSA58981.2023.00012}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/HolscherTHSCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/KuangCWKYTH23, author = {Mengmeng Kuang and Zhenhong Chen and Weiyan Wang and Lie Kang and Qiang Yang and Min Tang and Penghui Hao}, editor = {Hisashi Kashima and Tsuyoshi Id{\'{e}} and Wen{-}Chih Peng}, title = {Multi-task Learning Based Keywords Weighted Siamese Model for Semantic Retrieval}, booktitle = {Advances in Knowledge Discovery and Data Mining - 27th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2023, Osaka, Japan, May 25-28, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13937}, pages = {86--98}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33380-4\_7}, doi = {10.1007/978-3-031-33380-4\_7}, timestamp = {Wed, 31 May 2023 14:56:57 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/KuangCWKYTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/LinGSSCC23, author = {Ching{-}Chi Lin and Mario G{\"{u}}nzel and Junjie Shi and Tristan Taylan Seidl and Kuan{-}Hsun Chen and Jian{-}Jia Chen}, title = {Scheduling Periodic Segmented Self-Suspending Tasks without Timing Anomalies}, booktitle = {29th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2023, San Antonio, TX, USA, May 9-12, 2023}, pages = {161--173}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RTAS58335.2023.00020}, doi = {10.1109/RTAS58335.2023.00020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtas/LinGSSCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenCC23, author = {Kuan{-}Ting Chen and Bo{-}Heng Chen and Kun{-}Ta Chuang}, editor = {Chao{-}Yang Lee and Chun{-}Li Lin and Hsuan{-}Ting Chang}, title = {Strategic Pairwise Selection for Labeling High-Risk Action from Video-Based Data}, booktitle = {Technologies and Applications of Artificial Intelligence - 28th International Conference, {TAAI} 2023, Yunlin, Taiwan, December 1-2, 2023, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {2074}, pages = {46--60}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-1711-8\_4}, doi = {10.1007/978-981-97-1711-8\_4}, timestamp = {Wed, 03 Apr 2024 15:59:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/ChenCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/HeT0CYTCZKP23, author = {Yunzhong He and Yuxin Tian and Mengjiao Wang and Feier Chen and Licheng Yu and Maolong Tang and Congcong Chen and Ning Zhang and Bin Kuang and Arul Prakash}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Que2Engage: Embedding-based Retrieval for Relevant and Engaging Products at Facebook Marketplace}, booktitle = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {386--390}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543873.3584633}, doi = {10.1145/3543873.3584633}, timestamp = {Mon, 28 Aug 2023 21:17:11 +0200}, biburl = {https://dblp.org/rec/conf/www/HeT0CYTCZKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LvZ0KWW0SYO023, author = {Zheqi Lv and Wenqiao Zhang and Shengyu Zhang and Kun Kuang and Feng Wang and Yongwei Wang and Zhengyu Chen and Tao Shen and Hongxia Yang and Beng Chin Ooi and Fei Wu}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {{DUET:} {A} Tuning-Free Device-Cloud Collaborative Parameters Generation Framework for Efficient Device Model Generalization}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {3077--3085}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583451}, doi = {10.1145/3543507.3583451}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/LvZ0KWW0SYO023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-11052, author = {Yunzhong He and Yuxin Tian and Mengjiao Wang and Feier Chen and Licheng Yu and Maolong Tang and Congcong Chen and Ning Zhang and Bin Kuang and Arul Prakash}, title = {Que2Engage: Embedding-based Retrieval for Relevant and Engaging Products at Facebook Marketplace}, journal = {CoRR}, volume = {abs/2302.11052}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.11052}, doi = {10.48550/ARXIV.2302.11052}, eprinttype = {arXiv}, eprint = {2302.11052}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-11052.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14654, author = {Ken Caluwaerts and Atil Iscen and J. Chase Kew and Wenhao Yu and Tingnan Zhang and Daniel Freeman and Kuang{-}Huei Lee and Lisa Lee and Stefano Saliceti and Vincent Zhuang and Nathan Batchelor and Steven Bohez and Federico Casarini and Jose Enrique Chen and Omar Cortes and Erwin Coumans and Adil Dostmohamed and Gabriel Dulac{-}Arnold and Alejandro Escontrela and Erik Frey and Roland Hafner and Deepali Jain and Bauyrjan Jyenis and Yuheng Kuang and Tsang{-}Wei Edward Lee and Linda Luu and Ofir Nachum and Ken Oslund and Jason Powell and Diego Reyes and Francesco Romano and Fereshteh Sadeghi and Ron Sloat and Baruch Tabanpour and Daniel Zheng and Michael Neunert and Raia Hadsell and Nicolas Heess and Francesco Nori and Jeff Seto and Carolina Parada and Vikas Sindhwani and Vincent Vanhoucke and Jie Tan}, title = {Barkour: Benchmarking Animal-level Agility with Quadruped Robots}, journal = {CoRR}, volume = {abs/2305.14654}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14654}, doi = {10.48550/ARXIV.2305.14654}, eprinttype = {arXiv}, eprint = {2305.14654}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09288, author = {Hugo Touvron and Louis Martin and Kevin Stone and Peter Albert and Amjad Almahairi and Yasmine Babaei and Nikolay Bashlykov and Soumya Batra and Prajjwal Bhargava and Shruti Bhosale and Dan Bikel and Lukas Blecher and Cristian Canton{-}Ferrer and Moya Chen and Guillem Cucurull and David Esiobu and Jude Fernandes and Jeremy Fu and Wenyin Fu and Brian Fuller and Cynthia Gao and Vedanuj Goswami and Naman Goyal and Anthony Hartshorn and Saghar Hosseini and Rui Hou and Hakan Inan and Marcin Kardas and Viktor Kerkez and Madian Khabsa and Isabel Kloumann and Artem Korenev and Punit Singh Koura and Marie{-}Anne Lachaux and Thibaut Lavril and Jenya Lee and Diana Liskovich and Yinghai Lu and Yuning Mao and Xavier Martinet and Todor Mihaylov and Pushkar Mishra and Igor Molybog and Yixin Nie and Andrew Poulton and Jeremy Reizenstein and Rashi Rungta and Kalyan Saladi and Alan Schelten and Ruan Silva and Eric Michael Smith and Ranjan Subramanian and Xiaoqing Ellen Tan and Binh Tang and Ross Taylor and Adina Williams and Jian Xiang Kuan and Puxin Xu and Zheng Yan and Iliyan Zarov and Yuchen Zhang and Angela Fan and Melanie Kambadur and Sharan Narang and Aur{\'{e}}lien Rodriguez and Robert Stojnic and Sergey Edunov and Thomas Scialom}, title = {Llama 2: Open Foundation and Fine-Tuned Chat Models}, journal = {CoRR}, volume = {abs/2307.09288}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09288}, doi = {10.48550/ARXIV.2307.09288}, eprinttype = {arXiv}, eprint = {2307.09288}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-03624, author = {Taozheng Yang and Ya Jing and Hongtao Wu and Jiafeng Xu and Kuankuan Sima and Guangzeng Chen and Qie Sima and Tao Kong}, title = {MOMA-Force: Visual-Force Imitation for Real-World Mobile Manipulation}, journal = {CoRR}, volume = {abs/2308.03624}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.03624}, doi = {10.48550/ARXIV.2308.03624}, eprinttype = {arXiv}, eprint = {2308.03624}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-03624.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-04725, author = {Takahiko Furuya and Zhoujie Chen and Ryutarou Ohbuchi and Zhenzhong Kuang}, title = {Self-supervised Learning of Rotation-invariant 3D Point Set Features using Transformer and its Self-distillation}, journal = {CoRR}, volume = {abs/2308.04725}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.04725}, doi = {10.48550/ARXIV.2308.04725}, eprinttype = {arXiv}, eprint = {2308.04725}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-04725.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13035, author = {Zitong Zhan and Xiangfu Li and Qihang Li and Haonan He and Abhinav Pandey and Haitao Xiao and Yangmengfei Xu and Xiangyu Chen and Kuan Xu and Kun Cao and Zhipeng Zhao and Zihan Wang and Huan Xu and Zihang Fang and Yutian Chen and Wentao Wang and Xu Fang and Yi Du and Tianhao Wu and Xiao Lin and Yuheng Qiu and Fan Yang and Jingnan Shi and Shaoshu Su and Yiren Lu and Taimeng Fu and Karthik Dantu and Jiajun Wu and Lihua Xie and Marco Hutter and Luca Carlone and Sebastian A. Scherer and Daning Huang and Yaoyu Hu and Junyi Geng and Chen Wang}, title = {PyPose v0.6: The Imperative Programming Interface for Robotics}, journal = {CoRR}, volume = {abs/2309.13035}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13035}, doi = {10.48550/ARXIV.2309.13035}, eprinttype = {arXiv}, eprint = {2309.13035}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12079, author = {Yuan Zhang and Tao Huang and Jiaming Liu and Tao Jiang and Kuan Cheng and Shanghang Zhang}, title = {FreeKD: Knowledge Distillation via Semantic Frequency Prompt}, journal = {CoRR}, volume = {abs/2311.12079}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12079}, doi = {10.48550/ARXIV.2311.12079}, eprinttype = {arXiv}, eprint = {2311.12079}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-14762, author = {Benjamin Kiefer and Lojze Zust and Matej Kristan and Janez Pers and Matija Tersek and Arnold Wiliem and Martin Messmer and Cheng{-}Yen Yang and Hsiang{-}Wei Huang and Zhongyu Jiang and Heng{-}Cheng Kuo and Jie Mei and Jenq{-}Neng Hwang and Daniel Stadler and Lars Sommer and Kaer Huang and Aiguo Zheng and Weitu Chong and Kanokphan Lertniphonphan and Jun Xie and Feng Chen and Jian Li and Zhepeng Wang and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Tan{-}Sang Ha and Quan{-}Dung Pham and Sai{-}Kit Yeung and Yuan Feng and Nguyen Thanh Thien and Lixin Tian and Sheng{-}Yao Kuan and Yuan{-}Hao Ho and {\'{A}}ngel Bueno Rodr{\'{\i}}guez and Borja Carrillo{-}Perez and Alexander Klein and Antje Alex and Yannik Steiniger and Felix Sattler and Edgardo Solano{-}Carrillo and Matej Fabijanic and Magdalena Sumunec and Nadir Kapetanovic and Andreas Michel and Wolfgang Gross and Martin Weinmann}, title = {The 2nd Workshop on Maritime Computer Vision (MaCVi) 2024}, journal = {CoRR}, volume = {abs/2311.14762}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.14762}, doi = {10.48550/ARXIV.2311.14762}, eprinttype = {arXiv}, eprint = {2311.14762}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-14762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-18695, author = {Cheng Sun and Wei{-}En Tai and Yu{-}Lin Shih and Kuan{-}Wei Chen and Yong{-}Jing Syu and Kent Selwyn The and Yu{-}Chiang Frank Wang and Hwann{-}Tzong Chen}, title = {Seg2Reg: Differentiable 2D Segmentation to 1D Regression Rendering for 360 Room Layout Reconstruction}, journal = {CoRR}, volume = {abs/2311.18695}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.18695}, doi = {10.48550/ARXIV.2311.18695}, eprinttype = {arXiv}, eprint = {2311.18695}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-18695.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/WangWLCKGHS22, author = {Feng{-}Xia Wang and Zhi{-}Yong Wu and Qi{-}Hang Lin and Tao Chen and Shao{-}Long Kuang and Min{-}Feng Gan and Li{-}Xin Huang and Li{-}Ning Sun}, title = {Novel Force Measurement System for Soft Tissue Balance in Total Knee Arthroplasty Based on Flexible Pressure Sensor Arrays}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {4}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100156}, doi = {10.1002/AISY.202100156}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/WangWLCKGHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/FengGZGBBDGGHHH22, author = {Yifan Feng and Yue Gao and Xibin Zhao and Yandong Guo and Nihar Bagewadi and Nhat{-}Tan Bui and Hieu Dao and Shankar Gangisetty and Ripeng Guan and Xie Han and Cong Hua and Chidambar Hunakunti and Yu Jiang and Shichao Jiao and Yuqi Ke and Liqun Kuang and Anan Liu and Dinh{-}Huan Nguyen and Hai{-}Dang Nguyen and Weizhi Nie and Bang{-}Dang Pham and Karthik Raikar and Qingmei Tang and Minh{-}Triet Tran and Jialong Wan and Chenggang Yan and Haoxuan You and Difei Zhu}, title = {SHREC'22 track: Open-Set 3D Object Retrieval}, journal = {Comput. Graph.}, volume = {107}, pages = {231--240}, year = {2022}, url = {https://doi.org/10.1016/j.cag.2022.07.020}, doi = {10.1016/J.CAG.2022.07.020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/FengGZGBBDGGHHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/WangCLLLHLWC22, author = {Ching{-}Wei Wang and Cheng{-}Chang Chang and Yu{-}Ching Lee and Yi{-}Jia Lin and Shih{-}Chang Lo and Po{-}Chao Hsu and Yi{-}An Liou and Chih{-}Hung Wang and Tai{-}Kuang Chao}, title = {Weakly supervised deep learning for prediction of treatment effectiveness on ovarian cancer from histopathology images}, journal = {Comput. Medical Imaging Graph.}, volume = {99}, pages = {102093}, year = {2022}, url = {https://doi.org/10.1016/j.compmedimag.2022.102093}, doi = {10.1016/J.COMPMEDIMAG.2022.102093}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/WangCLLLHLWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HuoHCTWC22, author = {Xu Huo and Kuangrong Hao and Lei Chen and Xue{-}Song Tang and Tong Wang and Xin Cai}, title = {A dynamic soft sensor of industrial fuzzy time series with propositional linear temporal logic}, journal = {Expert Syst. Appl.}, volume = {201}, pages = {117176}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117176}, doi = {10.1016/J.ESWA.2022.117176}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/HuoHCTWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimFDCTPAXMCBDK22, author = {Sung Kim and Morteza Fayazi and Alhad Daftardar and Kuan{-}Yu Chen and Jielun Tan and Subhankar Pal and Tutu Ajayi and Yan Xiong and Trevor N. Mudge and Chaitali Chakrabarti and David T. Blaauw and Ronald G. Dreslinski and Hun{-}Seok Kim}, title = {Versa: {A} 36-Core Systolic Multiprocessor With Dynamically Reconfigurable Interconnect and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {986--998}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3140241}, doi = {10.1109/JSSC.2022.3140241}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimFDCTPAXMCBDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/LinHCYT22, author = {Chih{-}Hsueh Lin and Guo{-}Hsin Hu and Jie{-}Sheng Chen and Jun{-}Juh Yan and Kuang{-}Hui Tang}, title = {Novel design of cryptosystems for video/audio streaming via dynamic synchronized chaos-based random keys}, journal = {Multim. Syst.}, volume = {28}, number = {5}, pages = {1793--1808}, year = {2022}, url = {https://doi.org/10.1007/s00530-022-00950-6}, doi = {10.1007/S00530-022-00950-6}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/LinHCYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WuTRPHMKSZCSF22, author = {Kuan{-}Cheng Wu and Davide Tamborini and Marco Renna and Adriano Peruch and Yujing Huang and Alyssa Martin and Kutlu Kaya and Zachary Starkweather and Alexander I. Zavriyev and Stefan A. Carp and David H. Salat and Maria Angela Franceschini}, title = {Open-source FlexNIRS: {A} low-cost, wireless and wearable cerebral health tracker}, journal = {NeuroImage}, volume = {256}, pages = {119216}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119216}, doi = {10.1016/J.NEUROIMAGE.2022.119216}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/WuTRPHMKSZCSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/OnerCRJHKATCLTZ22, author = {Mustafa Umit Oner and Jianbin Chen and Egor Revkov and Anne James and Seow Ye Heng and Arife Neslihan Kaya and Jacob Josiah Santiago Alvarez and Angela Takano and Xin Min Cheng and Tony Kiat Hon Lim and Daniel Shao{-}Weng Tan and Weiwei Zhai and Anders Jacobsen Skanderup and Wing{-}Kin Sung and Hwee Kuan Lee}, title = {Obtaining spatially resolved tumor purity maps using deep multiple instance learning in a pan-cancer study}, journal = {Patterns}, volume = {3}, number = {2}, pages = {100399}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2021.100399}, doi = {10.1016/J.PATTER.2021.100399}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/OnerCRJHKATCLTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhuKSCYL22, author = {Hui Zhu and Xinkai Kuang and Tao Su and Ziyu Chen and Biao Yu and Bichun Li}, title = {Dual-Constraint Registration LiDAR {SLAM} Based on Grid Maps Enhancement in Off-Road Environment}, journal = {Remote. Sens.}, volume = {14}, number = {22}, pages = {5705}, year = {2022}, url = {https://doi.org/10.3390/rs14225705}, doi = {10.3390/RS14225705}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhuKSCYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KuangTWHWCZYX22, author = {Jiachen Kuang and Tangfei Tao and Qingqiang Wu and Chengcheng Han and Fan Wei and Shengchao Chen and Wenjie Zhou and Cong Yan and Guanghua Xu}, title = {Domain-Adaptive Prototype-Recalibrated Network with Transductive Learning Paradigm for Intelligent Fault Diagnosis under Various Limited Data Conditions}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6535}, year = {2022}, url = {https://doi.org/10.3390/s22176535}, doi = {10.3390/S22176535}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KuangTWHWCZYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TienLTLLC22, author = {Ching{-}Ho Tien and Kuan{-}Lin Lee and Chun{-}Cheng Tao and Zhan{-}Qi Lin and Zi{-}Hao Lin and Lung{-}Chien Chen}, title = {Two-Dimensional (PEA)2PbBr4 Perovskites Sensors for Highly Sensitive Ethanol Vapor Detection}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8155}, year = {2022}, url = {https://doi.org/10.3390/s22218155}, doi = {10.3390/S22218155}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TienLTLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuTCTS22, author = {Yiwei Wu and Kuan Tao and Qi Chen and Yinsheng Tian and Lixin Sun}, title = {A Comprehensive Analysis of the Validity and Reliability of the Perception Neuron Studio for Upper-Body Motion Capture}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6954}, year = {2022}, url = {https://doi.org/10.3390/s22186954}, doi = {10.3390/S22186954}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WuTCTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/WangPKTC22, author = {Lei Wang and Jiaxin Peng and Linai Kuang and Yihong Tan and Zhiping Chen}, title = {Identification of Essential Proteins Based on Local Random Walk and Adaptive Multi-View Multi-Label Learning}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {19}, number = {6}, pages = {3507--3516}, year = {2022}, url = {https://doi.org/10.1109/TCBB.2021.3128638}, doi = {10.1109/TCBB.2021.3128638}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/WangPKTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/ZhongZRKT22, author = {Yuanhong Zhong and Chenxu Zhang and Fan Ren and Honggang Kuang and Panliang Tang}, title = {Scalable Image Compressed Sensing With Generator Networks}, journal = {{IEEE} Trans. Computational Imaging}, volume = {8}, pages = {1025--1037}, year = {2022}, url = {https://doi.org/10.1109/tci.2022.3224281}, doi = {10.1109/TCI.2022.3224281}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tci/ZhongZRKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChinCCW22, author = {Tai{-}Lin Chin and Kuan{-}Yu Chen and Da{-}Yi Chen and Te{-}Hsiu Wang}, title = {An Attention-Based Hypocenter Estimator for Earthquake Localization}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3103012}, doi = {10.1109/TGRS.2021.3103012}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ChinCCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KuangXTWHW22, author = {Jiachen Kuang and Guanghua Xu and Tangfei Tao and Qingqiang Wu and Chengcheng Han and Fan Wei}, title = {Dual-Weight Consistency-Induced Partial Domain Adaptation Network for Intelligent Fault Diagnosis of Machinery}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3196948}, doi = {10.1109/TIM.2022.3196948}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KuangXTWHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/Gao00TL22, author = {Shuhua Gao and Cheng Xiang and Ming Yu and Kuan Tak Tan and Tong Heng Lee}, title = {Online Optimal Power Scheduling of a Microgrid via Imitation Learning}, journal = {{IEEE} Trans. Smart Grid}, volume = {13}, number = {2}, pages = {861--876}, year = {2022}, url = {https://doi.org/10.1109/TSG.2021.3122570}, doi = {10.1109/TSG.2021.3122570}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/Gao00TL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/WuKTCG22, author = {Qidie Wu and Jinsheng Kuang and Jiyun Tao and Jienan Chen and Warren J. Gross}, title = {DsMLP: {A} Learning-Based Multi-Layer Perception for {MIMO} Detection Implemented by Dynamic Stochastic Computing}, journal = {{IEEE} Trans. Signal Process.}, volume = {70}, pages = {6392--6403}, year = {2022}, url = {https://doi.org/10.1109/TSP.2023.3239170}, doi = {10.1109/TSP.2023.3239170}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/WuKTCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/BelaynehYCBMDT22, author = {Leul Belayneh and Haojie Ye and Kuan{-}Yu Chen and David T. Blaauw and Trevor N. Mudge and Ronald G. Dreslinski and Nishil Talati}, editor = {Andreas Kl{\"{o}}ckner and Jos{\'{e}} Moreira}, title = {Locality-Aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems}, booktitle = {Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2022, Chicago, Illinois, October 8-12, 2022}, pages = {304--316}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3559009.3569649}, doi = {10.1145/3559009.3569649}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/BelaynehYCBMDT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HuangWCZLTCCLM22, author = {Hao Huang and Yongtao Wang and Zhaoyu Chen and Yuze Zhang and Yuheng Li and Zhi Tang and Wei Chu and Jingdong Chen and Weisi Lin and Kai{-}Kuang Ma}, title = {CMUA-Watermark: {A} Cross-Model Universal Adversarial Watermark for Combating Deepfakes}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {989--997}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i1.19982}, doi = {10.1609/AAAI.V36I1.19982}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HuangWCZLTCCLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLLLT22, author = {Liao{-}Chuan Chen and Zhaofang Li and Yi{-}Jhen Lin and Kuan{-}Pei Lee and Kea{-}Tiong Tang}, title = {A 1.93TOPS/W Deep Learning Processor with a Reconfigurable Processing Element Array Based on {SRAM} Access Optimization}, booktitle = {{IEEE} Asia Pacific Conference on Circuit and Systems, {APCCAS} 2022, Shenzhen, China, November 11-13, 2022}, pages = {15--19}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APCCAS55924.2022.10090334}, doi = {10.1109/APCCAS55924.2022.10090334}, timestamp = {Sat, 22 Apr 2023 16:25:51 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLLLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/TangHHKC22, author = {Zhiquan Tang and Qiao Hu and Yupeng Hu and Wenxin Kuang and Jiongyi Chen}, title = {SEVulDet: {A} Semantics-Enhanced Learnable Vulnerability Detector}, booktitle = {52nd Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2022, Baltimore, MD, USA, June 27-30, 2022}, pages = {150--162}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSN53405.2022.00026}, doi = {10.1109/DSN53405.2022.00026}, timestamp = {Fri, 29 Jul 2022 16:33:35 +0200}, biburl = {https://dblp.org/rec/conf/dsn/TangHHKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/LiuGXYT22, author = {Yueyang Liu and Shuhua Gao and Cheng Xiang and Ming Yu and Kuan Tak Tan}, title = {Distributed Optimal Energy Management of a Microgrid Community}, booktitle = {17th {IEEE} International Conference on Control {\&} Automation, {ICCA} 2022, Naples, Italy, June 27-30, 2022}, pages = {832--837}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCA54724.2022.9831969}, doi = {10.1109/ICCA54724.2022.9831969}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icca/LiuGXYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/TaiFLL22, author = {Tsung{-}Ming Tai and Giuseppe Fiameni and Cheng{-}Kuang Lee and Oswald Lanz}, title = {Higher-Order Recurrent Network with Space-Time Attention for Video Early Action Recognition}, booktitle = {2022 {IEEE} International Conference on Image Processing, {ICIP} 2022, Bordeaux, France, 16-19 October 2022}, pages = {1631--1635}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIP46576.2022.9897974}, doi = {10.1109/ICIP46576.2022.9897974}, timestamp = {Fri, 03 Feb 2023 18:11:40 +0100}, biburl = {https://dblp.org/rec/conf/icip/TaiFLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icite/LiZDYBW22, author = {Kuan Li and Ying Zhang and Chenglie Du and Tao You and Lu Bai and Jiaming Wu}, title = {Dynamic Programming-Based Optimal Charging Scheduling for Electric Vehicles}, booktitle = {7th {IEEE} International Conference on Intelligent Transportation Engineering, {ICITE} 2022, Beijing, China, November 11-13, 2022}, pages = {545--550}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICITE56321.2022.10101439}, doi = {10.1109/ICITE56321.2022.10101439}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icite/LiZDYBW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/LiangYYCCL22, author = {Geng{-}Ming Liang and Chuan{-}Yue Yuan and Meng{-}Shiun Yuan and Tai{-}Liang Chen and Kuan{-}Hsun Chen and Jenq{-}Kuen Lee}, title = {The Support of {MLIR} {HLS} Adaptor for {LLVM} {IR}}, booktitle = {Workshop Proceedings of the 51st International Conference on Parallel Processing, {ICPP} Workshops 2022, Bordeaux, France, 29 August 2022 - 1 September 2022}, pages = {12:1--12:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3547276.3548515}, doi = {10.1145/3547276.3548515}, timestamp = {Mon, 16 Jan 2023 12:03:13 +0100}, biburl = {https://dblp.org/rec/conf/icppw/LiangYYCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/TaiFLSL22, author = {Tsung{-}Ming Tai and Giuseppe Fiameni and Cheng{-}Kuang Lee and Simon See and Oswald Lanz}, title = {Unified Recurrence Modeling for Video Action Anticipation}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {3273--3279}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956467}, doi = {10.1109/ICPR56361.2022.9956467}, timestamp = {Thu, 01 Dec 2022 13:56:03 +0100}, biburl = {https://dblp.org/rec/conf/icpr/TaiFLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipec/JiaKCMHH22, author = {Susu Jia and Zheng Kuang and Haihui Cheng and Xinzhu Meng and Tasawar Hayat and Aatef Hobiny}, title = {Analysis and Simulations of the Rock-Paper-Scissors Game with Environmental Feedback}, booktitle = {{IPEC} 2022: 3rd Asia-Pacific Conference on Image Processing, Electronics and Computers, Dalian, China, April 14 - 16, 2022}, pages = {547--551}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544109.3544310}, doi = {10.1145/3544109.3544310}, timestamp = {Thu, 28 Mar 2024 11:42:32 +0100}, biburl = {https://dblp.org/rec/conf/ipec/JiaKCMHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChuKDCLLLFCL22, author = {Yu{-}Lin Chu and Hsi{-}Yu Kuo and Hung{-}Da Dai and Kuan{-}Hung Chen and Pei{-}Jung Lin and Chun{-}Ting Liao and Ta{-}Chun Lin and Ming Feng and Swercy Chiu and Victor Liang}, title = {New RC-Imbalance Failure Mechanism of Well Charging Damage and The Implemented Rule}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764488}, doi = {10.1109/IRPS48227.2022.9764488}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChuKDCLLLFCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/TalatiY0BCBMD22, author = {Nishil Talati and Haojie Ye and Yichen Yang and Leul Belayneh and Kuan{-}Yu Chen and David T. Blaauw and Trevor N. Mudge and Ronald G. Dreslinski}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {NDMiner: accelerating graph pattern mining using near data processing}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {146--159}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527437}, doi = {10.1145/3470496.3527437}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/TalatiY0BCBMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/TalatiYVCCLYBBM22, author = {Nishil Talati and Haojie Ye and Sanketh Vedula and Kuan{-}Yu Chen and Yuhan Chen and Daniel Liu and Yichao Yuan and David T. Blaauw and Alex M. Bronstein and Trevor N. Mudge and Ronald G. Dreslinski}, title = {Mint: An Accelerator For Mining Temporal Motifs}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {1270--1287}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00089}, doi = {10.1109/MICRO56248.2022.00089}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/TalatiYVCCLYBBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ml4cs/TanQGN22, author = {Lijing Tan and Kuangxuan Qing and Chen Guo and Ben Niu}, editor = {Yuan Xu and Hongyang Yan and Huang Teng and Jun Cai and Jin Li}, title = {Evolutionary Factor-Driven Concise Bacterial Foraging Optimization Algorithm for Solving Customer Clustering Problems}, booktitle = {Machine Learning for Cyber Security - 4th International Conference, {ML4CS} 2022, Guangzhou, China, December 2-4, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13657}, pages = {309--320}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20102-8\_24}, doi = {10.1007/978-3-031-20102-8\_24}, timestamp = {Mon, 30 Jan 2023 08:02:06 +0100}, biburl = {https://dblp.org/rec/conf/ml4cs/TanQGN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/TangSFLWH22, author = {Sheng{-}Ming Tang and Yuan{-}Chun Sun and Jia{-}Wei Fang and Kuan{-}Yu Lee and Ching{-}Ting Wang and Cheng{-}Hsin Hsu}, editor = {Hadi Amirpour and Irene Viola and Maria Torres Vega}, title = {Optimal Camera Placement for 6 Degree-of-Freedom Immersive Video Streaming Without Accessing 3D Scenes}, booktitle = {IXR@MM 2022: Proceedings of the 1st Workshop on Interactive eXtended Reality, Lisboa, Portugal, 14 October 2022}, pages = {31--39}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3552483.3556459}, doi = {10.1145/3552483.3556459}, timestamp = {Sun, 18 Dec 2022 19:02:43 +0100}, biburl = {https://dblp.org/rec/conf/mm/TangSFLWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfidta/OliveiraDTCKLTK22, author = {Paulo Olavo Lima Neves Oliveira and Lwena Susana Benr{\'{o}}s Delgado and Kam{-}Weng Tam and Chi{-}Hou Chio and Wai{-}Son Kuan and Huawei Lin and Cheng Teng and Ngai Kong and Eng Hock Lim}, title = {3d Printed {RFID} Sensor Based Structural Health Monitoring For Distributed Solar Photovoltaic}, booktitle = {12th {IEEE} International Conference on {RFID} Technology and Applications, {RFID-TA} 2022, Cagliari, Italy, September 12-14, 2022}, pages = {131--134}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RFID-TA54958.2022.9924153}, doi = {10.1109/RFID-TA54958.2022.9924153}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rfidta/OliveiraDTCKLTK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/GuLLTNKLLLJ22, author = {Taotao Gu and Xiang Li and Shuaibing Lu and Jianwen Tian and Yuanping Nie and Xiaohui Kuang and Zhechao Lin and Chenyifan Liu and Jie Liang and Yu Jiang}, editor = {Abhik Roychoudhury and Cristian Cadar and Miryung Kim}, title = {Group-based corpus scheduling for parallel fuzzing}, booktitle = {Proceedings of the 30th {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/FSE} 2022, Singapore, Singapore, November 14-18, 2022}, pages = {1521--1532}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3540250.3560885}, doi = {10.1145/3540250.3560885}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/GuLLTNKLLLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04236, author = {Kuan{-}Cheng Lee and Hong{-}Tzer Yang and Wen{-}jun Tang}, title = {Data-Driven Online Interactive Bidding Strategy for Demand Response}, journal = {CoRR}, volume = {abs/2202.04236}, year = {2022}, url = {https://arxiv.org/abs/2202.04236}, eprinttype = {arXiv}, eprint = {2202.04236}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07073, author = {Di Wu and Cheng Chen and Xiujun Chen and Junwei Pan and Xun Yang and Qing Tan and Jian Xu and Kuang{-}Chih Lee}, title = {Impression Allocation and Policy Search in Display Advertising}, journal = {CoRR}, volume = {abs/2203.07073}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07073}, doi = {10.48550/ARXIV.2203.07073}, eprinttype = {arXiv}, eprint = {2203.07073}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07073.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-07649, author = {Miriam Cha and Kuan Wei Huang and Morgan Schmidt and Gregory Angelides and Mark Hamilton and Sam Goldberg and Armando Cabrera and Phillip Isola and Taylor Perron and Bill Freeman and Yen{-}Chen Lin and Brandon Swenson and Jean E. Piou}, title = {MultiEarth 2022 - Multimodal Learning for Earth and Environment Workshop and Challenge}, journal = {CoRR}, volume = {abs/2204.07649}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.07649}, doi = {10.48550/ARXIV.2204.07649}, eprinttype = {arXiv}, eprint = {2204.07649}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-07649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-01009, author = {Tsung{-}Ming Tai and Giuseppe Fiameni and Cheng{-}Kuang Lee and Simon See and Oswald Lanz}, title = {Unified Recurrence Modeling for Video Action Anticipation}, journal = {CoRR}, volume = {abs/2206.01009}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.01009}, doi = {10.48550/ARXIV.2206.01009}, eprinttype = {arXiv}, eprint = {2206.01009}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-01009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-10869, author = {Tsung{-}Ming Tai and Oswald Lanz and Giuseppe Fiameni and Yi{-}Kwan Wong and Sze{-}Sen Poon and Cheng{-}Kuang Lee and Ka Chun Cheung and Simon See}, title = {{NVIDIA-UNIBZ} Submission for {EPIC-KITCHENS-100} Action Anticipation Challenge 2022}, journal = {CoRR}, volume = {abs/2206.10869}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.10869}, doi = {10.48550/ARXIV.2206.10869}, eprinttype = {arXiv}, eprint = {2206.10869}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-10869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07033, author = {Vijay Gadepally and Gregory Angelides and Andrei Barbu and Andrew Bowne and Laura J. Brattain and Tamara Broderick and Armando Cabrera and Glenn Carl and Ronisha Carter and Miriam Cha and Emilie Cowen and Jesse Cummings and Bill Freeman and James R. Glass and Sam Goldberg and Mark Hamilton and Thomas Heldt and Kuan Wei Huang and Phillip Isola and Boris Katz and Jamie Koerner and Yen{-}Chen Lin and David Mayo and Kyle McAlpin and Taylor Perron and Jean E. Piou and Hrishikesh M. Rao and Hayley Reynolds and Kaira Samuel and Siddharth Samsi and Morgan Schmidt and Leslie Shing and Olga Simek and Brandon Swenson and Vivienne Sze and Jonathan Taylor and Paul Tylkin and Mark Veillette and Matthew L. Weiss and Allan B. Wollaber and Sophia Yuditskaya and Jeremy Kepner}, title = {Developing a Series of {AI} Challenges for the United States Department of the Air Force}, journal = {CoRR}, volume = {abs/2207.07033}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07033}, doi = {10.48550/ARXIV.2207.07033}, eprinttype = {arXiv}, eprint = {2207.07033}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05227, author = {Zheqi Lv and Feng Wang and Kun Kuang and Yongwei Wang and Zhengyu Chen and Tao Shen and Hongxia Yang and Fei Wu}, title = {MetaNetwork: {A} Task-agnostic Network Parameters Generation Framework for Improving Device Model Generalization}, journal = {CoRR}, volume = {abs/2209.05227}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05227}, doi = {10.48550/ARXIV.2209.05227}, eprinttype = {arXiv}, eprint = {2209.05227}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-15428, author = {Chen Wang and Dasong Gao and Kuan Xu and Junyi Geng and Yaoyu Hu and Yuheng Qiu and Bowen Li and Fan Yang and Brady G. Moon and Abhinav Pandey and Aryan and Jiahe Xu and Tianhao Wu and Haonan He and Daning Huang and Zhongqiang Ren and Shibo Zhao and Taimeng Fu and Pranay Reddy and Xiao Lin and Wenshan Wang and Jingnan Shi and Rajat Talak and Han Wang and Huai Yu and Shanzhao Wang and Ananth Kashyap and Rohan Bandaru and Karthik Dantu and Jiajun Wu and Luca Carlone and Marco Hutter and Sebastian A. Scherer}, title = {PyPose: {A} Library for Robot Learning with Physics-based Optimization}, journal = {CoRR}, volume = {abs/2209.15428}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.15428}, doi = {10.48550/ARXIV.2209.15428}, eprinttype = {arXiv}, eprint = {2209.15428}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-15428.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08624, author = {Kuan{-}Lin Chen and Daniel D. E. Wong and Ke Tan and Buye Xu and Anurag Kumar and Vamsi Krishna Ithapu}, title = {Leveraging Heteroscedastic Uncertainty in Learning Complex Spectral Mapping for Single-channel Speech Enhancement}, journal = {CoRR}, volume = {abs/2211.08624}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08624}, doi = {10.48550/ARXIV.2211.08624}, eprinttype = {arXiv}, eprint = {2211.08624}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08624.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09949, author = {Tzu{-}Quan Lin and Tsung{-}Huan Yang and Chun{-}Yao Chang and Kuang{-}Ming Chen and Tzu{-}hsun Feng and Hung{-}yi Lee and Hao Tang}, title = {Compressing Transformer-based self-supervised models for speech processing}, journal = {CoRR}, volume = {abs/2211.09949}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09949}, doi = {10.48550/ARXIV.2211.09949}, eprinttype = {arXiv}, eprint = {2211.09949}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08830, author = {Tsung{-}Ming Tai and Giuseppe Fiameni and Cheng{-}Kuang Lee and Simon See and Oswald Lanz}, title = {Inductive Attention for Video Action Anticipation}, journal = {CoRR}, volume = {abs/2212.08830}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08830}, doi = {10.48550/ARXIV.2212.08830}, eprinttype = {arXiv}, eprint = {2212.08830}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenTC21, author = {Chung{-}Yang Chen and Kuang{-}Yen Tai and Sin{-}Sian Chong}, title = {Quality Evaluation of Structural Design in Software Reverse Engineering: {A} Focus On Cohesion}, journal = {{IEEE} Access}, volume = {9}, pages = {109569--109583}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3102295}, doi = {10.1109/ACCESS.2021.3102295}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/PiFLLKSQCT21, author = {Xuehui Pi and Lian Feng and Weifeng Li and Junguo Liu and Xingxing Kuang and Kun Shi and Wei Qi and Deliang Chen and Jing Tang}, title = {Chlorophyll-a concentrations in 82 large alpine lakes on the Tibetan Plateau during 2003-2017: temporal-spatial variations and influencing factors}, journal = {Int. J. Digit. Earth}, volume = {14}, number = {6}, pages = {714--735}, year = {2021}, url = {https://doi.org/10.1080/17538947.2021.1872722}, doi = {10.1080/17538947.2021.1872722}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/PiFLLKSQCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dpd/TaiWC21, author = {Liang Kuang Tai and En Tzu Wang and Arbee L. P. Chen}, title = {Finding the most profitable candidate product by dynamic skyline and parallel processing}, journal = {Distributed Parallel Databases}, volume = {39}, number = {4}, pages = {979--1008}, year = {2021}, url = {https://doi.org/10.1007/s10619-021-07323-4}, doi = {10.1007/S10619-021-07323-4}, timestamp = {Fri, 29 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dpd/TaiWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfcs/WangLZT21, author = {Yihong Wang and Cheng{-}Kuan Lin and Shuming Zhou and Tao Tian}, title = {Subgraph-based Strong Menger Connectivity of Hypercube and Exchanged Hypercube}, journal = {Int. J. Found. Comput. Sci.}, volume = {32}, number = {3}, pages = {305--330}, year = {2021}, url = {https://doi.org/10.1142/S0129054121500179}, doi = {10.1142/S0129054121500179}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfcs/WangLZT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itsm/ChenHSWT21, author = {Lieu{-}Hen Chen and Hao{-}Ming Hung and Cheng{-}Yu Sun and Eric Hsiao{-}Kuang Wu and Yasufumi Takama}, title = {CANavi: Synthesizing Cartoon-Like Animation for Street Navigation Based on Google Maps}, journal = {{IEEE} Intell. Transp. Syst. Mag.}, volume = {13}, number = {4}, pages = {227--238}, year = {2021}, url = {https://doi.org/10.1109/MITS.2019.2953545}, doi = {10.1109/MITS.2019.2953545}, timestamp = {Tue, 09 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itsm/ChenHSWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/ChenKT21, author = {Yaping Chen and Yangyu Kuang and Huazhong Tang}, title = {Second-order accurate {BGK} schemes for the special relativistic hydrodynamics with the Synge equation of state}, journal = {J. Comput. Phys.}, volume = {442}, pages = {110438}, year = {2021}, url = {https://doi.org/10.1016/j.jcp.2021.110438}, doi = {10.1016/J.JCP.2021.110438}, timestamp = {Wed, 14 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/ChenKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/ShiauCCLT21, author = {Wen{-}Lung Shiau and Hao Chen and Kuanchin Chen and Yi{-}Hung Liu and Felix Ter Chian Tan}, title = {A Cross-Cultural Perspective on the Blended Service Quality for Ride-Sharing Continuance}, journal = {J. Glob. Inf. Manag.}, volume = {29}, number = {6}, pages = {1--25}, year = {2021}, url = {https://doi.org/10.4018/jgim.287602}, doi = {10.4018/JGIM.287602}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jgim/ShiauCCLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TalkhoonchehYAK21, author = {Arian Hashemi Talkhooncheh and You Yu and Abhinav Agarwal and William Wei{-}Ting Kuo and Kuan{-}Chang Xavier Chen and Minwo Wang and Gudrun Hoskuldsdottir and Wei Gao and Azita Emami}, title = {A Biofuel-Cell-Based Energy Harvester With 86{\%} Peak Efficiency and 0.25-V Minimum Input Voltage Using Source-Adaptive {MPPT}}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {3}, pages = {715--728}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3035491}, doi = {10.1109/JSSC.2020.3035491}, timestamp = {Wed, 08 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TalkhoonchehYAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZhaoHTCW21, author = {Yudi Zhao and Kuangrong Hao and Xue{-}Song Tang and Lei Chen and Bing Wei}, title = {A conditional variational autoencoder based self-transferred algorithm for imbalanced classification}, journal = {Knowl. Based Syst.}, volume = {218}, pages = {106756}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.106756}, doi = {10.1016/J.KNOSYS.2021.106756}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/ZhaoHTCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TaiT21, author = {Kuan{-}Chen Tai and Chih{-}Wei Tang}, title = {Siamese Networks-Based People Tracking Using Template Update for 360-Degree Videos Using {EAC} Format}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1682}, year = {2021}, url = {https://doi.org/10.3390/s21051682}, doi = {10.3390/S21051682}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TaiT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LiuNKCZC21, author = {Tao Liu and Xiaoji Niu and Jian Kuang and Shuai Cao and Lei Zhang and Xiang Chen}, title = {Doppler Shift Mitigation in Acoustic Positioning Based on Pedestrian Dead Reckoning for Smartphone}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--11}, year = {2021}, url = {https://doi.org/10.1109/tim.2020.3010384}, doi = {10.1109/TIM.2020.3010384}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LiuNKCZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KuoCLL21, author = {Tai{-}Haur Kuo and Kuan{-}Yu Chen and Hsiao{-}Ping Lin and Shang{-}Jung Liu}, title = {Self-powered light sensor for simultaneous intensity-and-direction sensing and maximum-energy harvesting with shared photodiodes}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634781}, doi = {10.1109/A-SSCC53895.2021.9634781}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KuoCLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeTCCCH21, author = {Yao{-}Chih Lee and Kuan{-}Wei Tseng and Yu{-}Ta Chen and Chien{-}Cheng Chen and Chu{-}Song Chen and Yi{-}Ping Hung}, title = {3D Video Stabilization With Depth Estimation by CNN-Based Optimization}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {10621--10630}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Lee\_3D\_Video\_Stabilization\_With\_Depth\_Estimation\_by\_CNN-Based\_Optimization\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01048}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeTCCCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/Cheng0HJ0RSZ21, author = {Kuan Cheng and Alireza Farhadi and MohammadTaghi Hajiaghayi and Zhengzhong Jin and Xin Li and Aviad Rubinstein and Saeed Seddighin and Yu Zheng}, editor = {Nikhil Bansal and Emanuela Merelli and James Worrell}, title = {Streaming and Small Space Approximation Algorithms for Edit Distance and Longest Common Subsequence}, booktitle = {48th International Colloquium on Automata, Languages, and Programming, {ICALP} 2021, July 12-16, 2021, Glasgow, Scotland (Virtual Conference)}, series = {LIPIcs}, volume = {198}, pages = {54:1--54:20}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.ICALP.2021.54}, doi = {10.4230/LIPICS.ICALP.2021.54}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icalp/Cheng0HJ0RSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenLLL21, author = {Kuan{-}Fu Chen and Chia{-}Hung Lin and Ming{-}Chun Lee and Ta{-}Sung Lee}, title = {Deep Learning-Based Multi-Fault Diagnosis for Self-Organizing Networks}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500296}, doi = {10.1109/ICC42927.2021.9500296}, timestamp = {Mon, 09 Aug 2021 11:13:44 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/0035CCPYTXL21, author = {Di Wu and Cheng Chen and Xiujun Chen and Junwei Pan and Xun Yang and Qing Tan and Jian Xu and Kuang{-}Chih Lee}, editor = {James Bailey and Pauli Miettinen and Yun Sing Koh and Dacheng Tao and Xindong Wu}, title = {Impression Allocation and Policy Search in Display Advertising}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2021, Auckland, New Zealand, December 7-10, 2021}, pages = {749--756}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDM51629.2021.00086}, doi = {10.1109/ICDM51629.2021.00086}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/0035CCPYTXL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenTLCH21, author = {Yu{-}Ta Chen and Kuan{-}Wei Tseng and Yao{-}Chih Lee and Chun{-}Yu Chen and Yi{-}Ping Hung}, title = {PixStabNet: Fast Multi-Scale Deep Online Video Stabilization with Pixel-Based Warping}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {1929--1933}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506801}, doi = {10.1109/ICIP42928.2021.9506801}, timestamp = {Thu, 03 Feb 2022 08:15:41 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChenTLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HuangWCTZM21, author = {Hao Huang and Yongtao Wang and Zhaoyu Chen and Zhi Tang and Wenqiang Zhang and Kai{-}Kuang Ma}, title = {Rpattack: Refined Patch Attack on General Object Detectors}, booktitle = {2021 {IEEE} International Conference on Multimedia and Expo, {ICME} 2021, Shenzhen, China, July 5-9, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICME51207.2021.9428443}, doi = {10.1109/ICME51207.2021.9428443}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/HuangWCTZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/KuangTZLC21, author = {Li{-}Dan Kuang and Jiajun Tao and Jianming Zhang and Feng Li and Xi Chen}, editor = {Teddy Mantoro and Minho Lee and Media Anugerah Ayu and Kok Wai Wong and Achmad Nizar Hidayanto}, title = {A Novel Multi-scale Key-Point Detector Using Residual Dense Block and Coordinate Attention}, booktitle = {Neural Information Processing - 28th International Conference, {ICONIP} 2021, Sanur, Bali, Indonesia, December 8-12, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13110}, pages = {235--246}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92238-2\_20}, doi = {10.1007/978-3-030-92238-2\_20}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/KuangTZLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsai/ChenWYGT21, author = {Lieu{-}Hen Chen and Eric Hsiao{-}Kuang Wu and Chih{-}Chieh Yang and Ting{-}Yu Gao and Li{-}Hsien Tang}, editor = {Yasufumi Takama and Naohiro Matsumura and Katsutoshi Yada and Mitsunori Matsushita and Daisuke Katagami and Akinori Abe and Hisashi Kashima and Toshihiro Hiraoka and Takahiro Uchiya and Rafal Rzepka}, title = {Anti-Drug Buddy: {A} Chatbot for Advocating the Awareness of Anti-Drug}, booktitle = {Advances in Artificial Intelligence - Selected Papers from the Annual Conference of Japanese Society of Artificial Intelligence, {JSAI} 2021, Virtual Event, Japan, 8-11 June 2021}, series = {Advances in Intelligent Systems and Computing}, volume = {1423}, pages = {201--212}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96451-1\_18}, doi = {10.1007/978-3-030-96451-1\_18}, timestamp = {Tue, 21 Mar 2023 20:54:29 +0100}, biburl = {https://dblp.org/rec/conf/jsai/ChenWYGT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/TangKCYHZC21, author = {Wen Tang and Han Kang and Ying Cao and Pengxin Yu and Hu Han and Rongguo Zhang and Kuan Chen}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {{M-SEAM-NAM:} Multi-instance Self-supervised Equivalent Attention Mechanism with Neighborhood Affinity Module for Double Weakly Supervised Segmentation of {COVID-19}}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {12907}, pages = {262--272}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87234-2\_25}, doi = {10.1007/978-3-030-87234-2\_25}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/TangKCYHZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/YuWRTWCK21, author = {Fan Yu and Haonan Wang and Tongwei Ren and Jinhui Tang and Gangshan Wu and Jingjing Chen and Zhenzhong Kuang}, editor = {Heng Tao Shen and Yueting Zhuang and John R. Smith and Yang Yang and Pablo C{\'{e}}sar and Florian Metze and Balakrishnan Prabhakaran}, title = {Reproducibility Companion Paper: Visual Relation of Interest Detection}, booktitle = {{MM} '21: {ACM} Multimedia Conference, Virtual Event, China, October 20 - 24, 2021}, pages = {3633--3637}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474085.3477940}, doi = {10.1145/3474085.3477940}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/YuWRTWCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ZhuXCLTL21, author = {Jiantao Zhu and Chenyang Xue and Zhao Cai and Eric T. K. Lim and Chee{-}Wee Tan and Hefu Liu}, editor = {Doug Vogel and Kathy Ning Shen and Pan Shan Ling and M. N. Ravishankar and Jacky Xi Zhang}, title = {Disentangling E-Sports Team Tactical Recipes: {A} Configurational Perspective}, booktitle = {25th Pacific Asia Conference on Information Systems, {PACIS} 2021, Virtual Event / Dubai, UAE, July 12-14, 2021}, pages = {88}, year = {2021}, url = {https://aisel.aisnet.org/pacis2021/88}, timestamp = {Tue, 20 Sep 2022 19:48:12 +0200}, biburl = {https://dblp.org/rec/conf/pacis/ZhuXCLTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LiuTCKLDZ21, author = {Gan Liu and Ying Tan and Lingfeng Chen and Wenchuan Kuang and Binghua Li and Feng Duan and Chi Zhu}, title = {The Development of a {UAV} Target Tracking System Based on YOLOv3-Tiny Object Detection Algorithm}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2021, Sanya, China, December 27-31, 2021}, pages = {1636--1641}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ROBIO54168.2021.9739612}, doi = {10.1109/ROBIO54168.2021.9739612}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LiuTCKLDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimFDCTPAXMCBDK21, author = {Sung Kim and Morteza Fayazi and Alhad Daftardar and Kuan{-}Yu Chen and Jielun Tan and Subhankar Pal and Tutu Ajayi and Yan Xiong and Trevor N. Mudge and Chaitali Chakrabarti and David T. Blaauw and Ronald G. Dreslinski and Hun{-}Seok Kim}, title = {Versa: {A} Dataflow-Centric Multiprocessor with 36 Systolic {ARM} Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492391}, doi = {10.23919/VLSICIRCUITS52068.2021.9492391}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimFDCTPAXMCBDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-12469, author = {Hao Huang and Yongtao Wang and Zhaoyu Chen and Zhi Tang and Wenqiang Zhang and Kai{-}Kuang Ma}, title = {{RPATTACK:} Refined Patch Attack on General Object Detectors}, journal = {CoRR}, volume = {abs/2103.12469}, year = {2021}, url = {https://arxiv.org/abs/2103.12469}, eprinttype = {arXiv}, eprint = {2103.12469}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-12469.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-08665, author = {Tsung{-}Ming Tai and Giuseppe Fiameni and Cheng{-}Kuang Lee and Oswald Lanz}, title = {Higher Order Recurrent Space-Time Transformer}, journal = {CoRR}, volume = {abs/2104.08665}, year = {2021}, url = {https://arxiv.org/abs/2104.08665}, eprinttype = {arXiv}, eprint = {2104.08665}, timestamp = {Mon, 26 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-08665.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-10872, author = {Hao Huang and Yongtao Wang and Zhaoyu Chen and Yuheng Li and Zhi Tang and Wei Chu and Jingdong Chen and Weisi Lin and Kai{-}Kuang Ma}, title = {CMUA-Watermark: {A} Cross-Model Universal Adversarial Watermark for Combating Deepfakes}, journal = {CoRR}, volume = {abs/2105.10872}, year = {2021}, url = {https://arxiv.org/abs/2105.10872}, eprinttype = {arXiv}, eprint = {2105.10872}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-10872.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03024, author = {Sung Kim and Morteza Fayazi and Alhad Daftardar and Kuan{-}Yu Chen and Jielun Tan and Subhankar Pal and Tutu Ajayi and Yan Xiong and Trevor N. Mudge and Chaitali Chakrabarti and David T. Blaauw and Ronald G. Dreslinski and Hun{-}Seok Kim}, title = {Versa: {A} Dataflow-Centric Multiprocessor with 36 Systolic {ARM} Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm}, journal = {CoRR}, volume = {abs/2109.03024}, year = {2021}, url = {https://arxiv.org/abs/2109.03024}, eprinttype = {arXiv}, eprint = {2109.03024}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12612, author = {Yanqing Liu and Zhihang Xu and Gang Wang and Kuan Chen and Bohan Li and Xu Tan and Jinzhu Li and Lei He and Sheng Zhao}, title = {DelightfulTTS: The Microsoft Speech Synthesis System for Blizzard Challenge 2021}, journal = {CoRR}, volume = {abs/2110.12612}, year = {2021}, url = {https://arxiv.org/abs/2110.12612}, eprinttype = {arXiv}, eprint = {2110.12612}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12612.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengKSLTL20, author = {Jianxin Cheng and Qiuming Kuang and Chenkai Shen and Jin Liu and Xicheng Tan and Wang Liu}, title = {ResLap: Generating High-Resolution Climate Prediction Through Image Super-Resolution}, journal = {{IEEE} Access}, volume = {8}, pages = {39623--39634}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2974785}, doi = {10.1109/ACCESS.2020.2974785}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChengKSLTL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DingHCTCZ20, author = {Xin Ding and Kuangrong Hao and Xin Cai and Xue{-}Song Tang and Lei Chen and Haichao Zhang}, title = {A Novel Similarity Measurement and Clustering Framework for Time Series Based on Convolution Neural Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {173158--173168}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3025048}, doi = {10.1109/ACCESS.2020.3025048}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DingHCTCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/JinGMTKCCCZLHXT20, author = {Jun Jin and Dehong Gao and Xin Mo and Si{-}ping Tan and Zhen{-}xia Kou and Yi{-}bo Chen and Jin{-}bo Cao and Wen{-}Jing Chen and Ya{-}ming Zhang and Bingqing Liu and Kuan{-}long Huang and Bing{-}ren Xu and Xiao{-}li Tang and Yu{-}li Wang}, title = {Analysis of 4 imaging features in patients with {COVID-19}}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {84}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00484-1}, doi = {10.1186/S12880-020-00484-1}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/JinGMTKCCCZLHXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChenTZHHZWL20, author = {Mingyou Chen and Yunchao Tang and Xiangjun Zou and Kuangyu Huang and Zhaofeng Huang and Hao Zhou and Chenglin Wang and Guoping Lian}, title = {Three-dimensional perception of orchard banana central stock enhanced by adaptive multi-vision technology}, journal = {Comput. Electron. Agric.}, volume = {174}, pages = {105508}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105508}, doi = {10.1016/J.COMPAG.2020.105508}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/ChenTZHHZWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ChenTKW20, author = {Che{-}Wen Chen and Shih{-}Pang Tseng and Ta{-}Wen Kuan and Jhing{-}Fa Wang}, title = {Outpatient Text Classification Using Attention-Based Bidirectional {LSTM} for Robot-Assisted Servicing in Hospital}, journal = {Inf.}, volume = {11}, number = {2}, pages = {106}, year = {2020}, url = {https://doi.org/10.3390/info11020106}, doi = {10.3390/INFO11020106}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/ChenTKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/TaiSC20, author = {Liang Kuang Tai and Winny Setyonugroho and Arbee L. P. Chen}, title = {Finding discriminatory features from electronic health records for depression prediction}, journal = {J. Intell. Inf. Syst.}, volume = {55}, number = {2}, pages = {371--396}, year = {2020}, url = {https://doi.org/10.1007/s10844-020-00611-y}, doi = {10.1007/S10844-020-00611-Y}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/TaiSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkPFGTRXZAWBC20, author = {Dong{-}Hyeon Park and Subhankar Pal and Siying Feng and Paul Gao and Jielun Tan and Austin Rovinski and Shaolin Xie and Chun Zhao and Aporva Amarnath and Timothy Wesley and Jonathan Beaumont and Kuan{-}Yu Chen and Chaitali Chakrabarti and Michael Bedford Taylor and Trevor N. Mudge and David T. Blaauw and Hun{-}Seok Kim and Ronald G. Dreslinski}, title = {A 7.3 {M} Output Non-Zeros/J, 11.7 {M} Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {933--944}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960480}, doi = {10.1109/JSSC.2019.2960480}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkPFGTRXZAWBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/DongLTWWCCZL20, author = {Suyu Dong and Gongning Luo and Clara M. Tam and Wei Wang and Kuanquan Wang and Shaodong Cao and Bo Chen and Henggui Zhang and Shuo Li}, title = {Deep Atlas Network for Efficient 3D Left Ventricle Segmentation on Echocardiography}, journal = {Medical Image Anal.}, volume = {61}, pages = {101638}, year = {2020}, url = {https://doi.org/10.1016/j.media.2020.101638}, doi = {10.1016/J.MEDIA.2020.101638}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/DongLTWWCCZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/LuoWTWCZCL20, author = {Gongning Luo and Wei Wang and Clara M. Tam and Kuanquan Wang and Shaodong Cao and Henggui Zhang and Bo Chen and Shuo Li}, title = {Dynamically constructed network with error correction for accurate ventricle volume estimation}, journal = {Medical Image Anal.}, volume = {64}, pages = {101723}, year = {2020}, url = {https://doi.org/10.1016/j.media.2020.101723}, doi = {10.1016/J.MEDIA.2020.101723}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/LuoWTWCZCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/GuoKWZTCYLHWCWL20, author = {Zhonglong Guo and Zheng Kuang and Ying Wang and Yongxin Zhao and Yihan Tao and Chen Cheng and Jing Yang and Xiayang Lu and Chen Hao and Tianxin Wang and Xiaoyan Cao and Jianhua Wei and Lei Li and Xiaozeng Yang}, title = {PmiREN: a comprehensive encyclopedia of plant miRNAs}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D1114--D1121}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz894}, doi = {10.1093/NAR/GKZ894}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/GuoKWZTCYLHWCWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KuoWTWCCCTCOTNW20, author = {Po{-}Han Kuo and Oi{-}Ying Wong and Chi{-}Kuan Tzeng and Pu{-}Wei Wu and Chuan{-}Chin Chiao and Po{-}Hung Chen and Po{-}Chun Chen and Yueh{-}Chun Tsai and Fang{-}Liang Chu and Jun Ohta and Takashi Tokuda and Toshihiko Noda and Chung{-}Yu Wu}, title = {Improved Charge Pump Design and Ex Vivo Experimental Validation of {CMOS} 256-Pixel Photovoltaic-Powered Subretinal Prosthetic Chip}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {5}, pages = {1490--1504}, year = {2020}, url = {https://doi.org/10.1109/TBME.2019.2938807}, doi = {10.1109/TBME.2019.2938807}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/KuoWTWCCCTCOTNW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChinCCL20, author = {Tai{-}Lin Chin and Kuan{-}Yu Chen and Da{-}Yi Chen and De{-}En Lin}, title = {Intelligent Real-Time Earthquake Detection by Recurrent Neural Networks}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {58}, number = {8}, pages = {5440--5449}, year = {2020}, url = {https://doi.org/10.1109/TGRS.2020.2966012}, doi = {10.1109/TGRS.2020.2966012}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ChinCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WuGAHBBRKNXLFXT20, author = {Dufan Wu and Kuang Gong and Chiara Daniela Arru and Fatemeh Homayounieh and Bernardo Bizzo and Varun Buch and Hui Ren and Kyung Sang Kim and Nir Neumark and Pengcheng Xu and Zhiyuan Liu and Wei Fang and Nuobei Xie and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Alessandro Carriero and Luca Saba and Mahsa Masjedi and Hamidreza Talari and Rosa Babaei and Hadi Karimi Mobin and Shadi Ebrahimian and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Severity and Consolidation Quantification of {COVID-19} From {CT} Images Using Deep Learning Based on Hybrid Weak Labels}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {24}, number = {12}, pages = {3529--3538}, year = {2020}, url = {https://doi.org/10.1109/JBHI.2020.3030224}, doi = {10.1109/JBHI.2020.3030224}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/WuGAHBBRKNXLFXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/TsengCKHW20, author = {Shih{-}Pang Tseng and Che{-}Wen Chen and Ta{-}Wen Kuan and Yao{-}Tsung Hsu and Jhing{-}Fa Wang}, title = {Fuzzy Obstacle Avoidance for the Mobile System of Service Robots}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2020}, pages = {8887547:1--8887547:11}, year = {2020}, url = {https://doi.org/10.1155/2020/8887547}, doi = {10.1155/2020/8887547}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wicomm/TsengCKHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TaiCCSLL20, author = {Kuang{-}Yen Tai and Dai{-}Lun Chiang and Tzer{-}Shyong Chen and Victor R. L. Shen and Feipei Lai and Frank Yeong{-}Sung Lin}, title = {Smart Fall Prediction for Elderly Care Using iPhone and Apple Watch}, journal = {Wirel. Pers. Commun.}, volume = {114}, number = {1}, pages = {347--365}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07366-3}, doi = {10.1007/S11277-020-07366-3}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TaiCCSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/RenZWLDCXW20, author = {Ao Ren and Tao Zhang and Yuhao Wang and Sheng Lin and Peiyan Dong and Yen{-}Kuang Chen and Yuan Xie and Yanzhi Wang}, title = {{DARB:} {A} Density-Adaptive Regular-Block Pruning for Deep Neural Networks}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {5495--5502}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.6000}, doi = {10.1609/AAAI.V34I04.6000}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/RenZWLDCXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/TaiCLHT20, author = {Kuang{-}Yen Tai and Tzer{-}Shyong Chen and Frank Yeong{-}Sung Lin and Po{-}Min Huang and Jing{-}Chen Tu}, editor = {Leonard Barolli and Flora Amato and Francesco Moscato and Tomoya Enokido and Makoto Takizawa}, title = {Dynamic Hierarchical Security Access Control with Time-Limited Method in Electronic Medical Record}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 34th International Conference on Advanced Information Networking and Applications, AINA-2020, Caserta, Italy, 15-17 April}, series = {Advances in Intelligent Systems and Computing}, volume = {1151}, pages = {1251--1264}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44041-1\_107}, doi = {10.1007/978-3-030-44041-1\_107}, timestamp = {Thu, 04 Apr 2024 17:08:28 +0200}, biburl = {https://dblp.org/rec/conf/aina/TaiCLHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/TalkhoonchehYAK20, author = {Arian Hashemi Talkhooncheh and You Yu and Abhinav Agarwal and William Wei{-}Ting Kuo and Kuan{-}Chang Xavier Chen and Minwo Wang and Gudrun Hoskuldsdottir and Wei Gao and Azita Emami}, title = {A Fully-Integrated Biofuel-Cell-Based Energy Harvester with 86{\%} Peak Efficiency and 0.25V Minimum Input Voltage Using Source-Adaptive {MPPT}}, booktitle = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CICC48029.2020.9075912}, doi = {10.1109/CICC48029.2020.9075912}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/TalkhoonchehYAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csia/XiLWWLCK20, author = {Tao Xi and Jiancheng Li and Jun Wei and Heng Wang and Junfeng Li and Shuang Chen and Dongmei Kuang}, editor = {Zheng Xu and Reza M. Parizi and Mohammad Hammoudeh and Octavio Loyola{-}Gonz{\'{a}}lez}, title = {A Method for Attitude Guidance Law Generation Based on High Precision Space-Ground Integrated Calibration}, booktitle = {Cyber Security Intelligence and Analytics - Proceedings of the 2020 International Conference on Cyber Security Intelligence and Analytics, {CSIA} 2020, Haikou, China, 28-29 February 2020, Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {1146}, pages = {409--416}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-43306-2\_58}, doi = {10.1007/978-3-030-43306-2\_58}, timestamp = {Mon, 11 Jan 2021 10:06:59 +0100}, biburl = {https://dblp.org/rec/conf/csia/XiLWWLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/LiuTC20, author = {Helen K. Liu and Muhchyun Tang and Kuang{-}hua Chen}, editor = {Seok{-}Jin Eom and Jooho Lee}, title = {Public Decision Making: Connecting Artificial Intelligence and Crowds}, booktitle = {dg.o '20: The 21st Annual International Conference on Digital Government Research, Seoul, Republic of Korea, June 15-19, 2020}, pages = {214--222}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3396956.3396965}, doi = {10.1145/3396956.3396965}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgo/LiuTC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/HungCT20, author = {Jen{-}Jou Hung and Kuang{-}hua Chen and Muh{-}Chyun Tang}, editor = {Laura Estill and Jennifer Guiliano}, title = {Linking digitalized Buddhist scripts and Buddhist studies publications}, booktitle = {15th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2020, Ottawa, Canada, July 20-25, 2020, Conference Abstracts}, year = {2020}, url = {https://dh2020.adho.org/wp-content/uploads/2020/07/283\_LinkingdigitalizedBuddhistscriptsandBuddhiststudiespublications.html}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dihu/HungCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LinTS20, author = {Faa{-}Jeng Lin and Kuang{-}Hsiung Tan and Cheng{-}Ming Shih}, title = {Distributed Generator with Virtual Inertia Using Intelligent Controller for Grid-Connected Microgrid}, booktitle = {29th {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2020, Glasgow, UK, July 19-24, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FUZZ48607.2020.9177857}, doi = {10.1109/FUZZ48607.2020.9177857}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LinTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinWCL20, author = {Chia{-}Hung Lin and Chao{-}Chin Wu and Kuan{-}Fu Chen and Ta{-}Sung Lee}, title = {A Variational Autoencoder-Based Secure Transceiver Design Using Deep Learning}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9348041}, doi = {10.1109/GLOBECOM42002.2020.9348041}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LinWCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/TaiT20, author = {Kuan{-}Chen Tai and Chih{-}Wei Tang}, title = {Siamese Networks Based People Tracking for 360-degree Videos with Equi-angular Cubemap Format}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258313}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258313}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/TaiT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/TangKLH20, author = {Chenwei Tang and Yangzhu Kuang and Jiancheng Lv and Jinglu Hu}, editor = {Haiqin Yang and Kitsuchart Pasupa and Andrew Chi{-}Sing Leung and James T. Kwok and Jonathan H. Chan and Irwin King}, title = {{SAN:} Sampling Adversarial Networks for Zero-Shot Learning}, booktitle = {Neural Information Processing - 27th International Conference, {ICONIP} 2020, Bangkok, Thailand, November 23-27, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12533}, pages = {626--638}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-63833-7\_53}, doi = {10.1007/978-3-030-63833-7\_53}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/TangKLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/HuangWHBCCBCCDG20, author = {Yunfeng Huang and Fang{-}Jing Wu and Christian Hakert and Georg von der Br{\"{u}}ggen and Kuan{-}Hsun Chen and Jian{-}Jia Chen and Patrick B{\"{o}}cker and Petr Chernikov and Luis Cruz and Zeyi Duan and Ahmed Gheith and Yantao Gong and Anand Gopalan and Karthik Prakash and Ammar Tauqir and Yue Wang}, title = {Demo Abstract: Perception vs. Reality - Never Believe in What You See}, booktitle = {19th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2020, Sydney, Australia, April 21-24, 2020}, pages = {363--364}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPSN48710.2020.000-5}, doi = {10.1109/IPSN48710.2020.000-5}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/HuangWHBCCBCCDG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChenL20b, author = {Kuan{-}Hung Chen and Yu{-}Ta Lu}, title = {A Distance-Aware Technique for Object Detection Used in Self-Driving Vehicles}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {197--198}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333071}, doi = {10.1109/ISOCC50952.2020.9333071}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChenL20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/QiuCDZYDWT20, author = {Jiezhong Qiu and Qibin Chen and Yuxiao Dong and Jing Zhang and Hongxia Yang and Ming Ding and Kuansan Wang and Jie Tang}, editor = {Rajesh Gupta and Yan Liu and Jiliang Tang and B. Aditya Prakash}, title = {{GCC:} Graph Contrastive Coding for Graph Neural Network Pre-Training}, booktitle = {{KDD} '20: The 26th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, CA, USA, August 23-27, 2020}, pages = {1150--1160}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394486.3403168}, doi = {10.1145/3394486.3403168}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/QiuCDZYDWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LiuLCLLXSW20, author = {Zihao Liu and Sicheng Li and Yen{-}Kuang Chen and Tao Liu and Qi Liu and Xiaowei Xu and Yiyu Shi and Wujie Wen}, editor = {Anne L. Martel and Purang Abolmaesumi and Danail Stoyanov and Diana Mateus and Maria A. Zuluaga and S. Kevin Zhou and Daniel Racoceanu and Leo Joskowicz}, title = {Orchestrating Medical Image Compression and Remote Segmentation Networks}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2020 - 23rd International Conference, Lima, Peru, October 4-8, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12264}, pages = {406--416}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59719-1\_40}, doi = {10.1007/978-3-030-59719-1\_40}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LiuLCLLXSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/GuhaHSG0LMSNCMA20, author = {Tanaya Guha and Vlad Hosu and Dietmar Saupe and Bastian Goldl{\"{u}}cke and Naveen Kumar and Weisi Lin and Victor R. Martinez and Krishna Somandepalli and Shrikanth Narayanan and Wen{-}Huang Cheng and Kree McLaughlin and Hartwig Adam and John See and Lai{-}Kuan Wong}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {ATQAM/MAST'20: Joint Workshop on Aesthetic and Technical Quality Assessment of Multimedia and Media Analytics for Societal Trends}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {4758--4760}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3421895}, doi = {10.1145/3394171.3421895}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/GuhaHSG0LMSNCMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/YiCTCH20, author = {Da{-}Chung Yi and Kuan{-}Ning Chang and Yun{-}Hsuan Tai and I{-}Cheng Chen and Yi{-}Ping Hung}, title = {Elastic-Move: Passive Haptic Device with Force Feedback for Virtual Reality Locomotion}, booktitle = {2020 {IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops, Atlanta, GA, USA, March 22-26, 2020}, pages = {40--45}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VRW50115.2020.00015}, doi = {10.1109/VRW50115.2020.00015}, timestamp = {Tue, 19 May 2020 13:38:21 +0200}, biburl = {https://dblp.org/rec/conf/vr/YiCTCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/YiCTCH20a, author = {Da{-}Chung Yi and Kuan{-}Ning Chang and Yun{-}Hsuan Tai and I{-}Cheng Chen and Yi{-}Ping Hung}, title = {Elastic-Move: Passive Force Feedback Devices for Virtual Reality Locomotion}, booktitle = {2020 {IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops, Atlanta, GA, USA, March 22-26, 2020}, pages = {767--768}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VRW50115.2020.00234}, doi = {10.1109/VRW50115.2020.00234}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/YiCTCH20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-12314, author = {Zhaohan Xiong and Qing Xia and Zhiqiang Hu and Ning Huang and Cheng Bian and Yefeng Zheng and Sulaiman Vesal and Nishant Ravikumar and Andreas K. Maier and Xin Yang and Pheng{-}Ann Heng and Dong Ni and Caizi Li and Qianqian Tong and Weixin Si and {\'{E}}lodie Puybareau and Younes Khoudli and Thierry G{\'{e}}raud and Chen Chen and Wenjia Bai and Daniel Rueckert and Lingchao Xu and Xiahai Zhuang and Xinzhe Luo and Shuman Jia and Maxime Sermesant and Yashu Liu and Kuanquan Wang and Davide Borra and Alessandro Masci and Cristiana Corsi and Coen de Vente and Mitko Veta and Rashed Karim and Chandrakanth Jayachandran Preetha and Sandy Engelhardt and Mengyun Qiao and Yuanyuan Wang and Qian Tao and Marta Nu{\~{n}}ez Garcia and Oscar Camara and Nicol{\'{o}} Savioli and Pablo Lamata and Jichao Zhao}, title = {A Global Benchmark of Algorithms for Segmenting Late Gadolinium-Enhanced Cardiac Magnetic Resonance Imaging}, journal = {CoRR}, volume = {abs/2004.12314}, year = {2020}, url = {https://arxiv.org/abs/2004.12314}, eprinttype = {arXiv}, eprint = {2004.12314}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-12314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-08649, author = {Chih{-}Fan Hsu and Chia{-}Ching Lin and Ting{-}Yang Hung and Chin{-}Laung Lei and Kuan{-}Ta Chen}, title = {A Detailed Look At CNN-based Approaches In Facial Landmark Detection}, journal = {CoRR}, volume = {abs/2005.08649}, year = {2020}, url = {https://arxiv.org/abs/2005.08649}, eprinttype = {arXiv}, eprint = {2005.08649}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-08649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-09963, author = {Jiezhong Qiu and Qibin Chen and Yuxiao Dong and Jing Zhang and Hongxia Yang and Ming Ding and Kuansan Wang and Jie Tang}, title = {{GCC:} Graph Contrastive Coding for Graph Neural Network Pre-Training}, journal = {CoRR}, volume = {abs/2006.09963}, year = {2020}, url = {https://arxiv.org/abs/2006.09963}, eprinttype = {arXiv}, eprint = {2006.09963}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-09963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-00820, author = {Yaping Chen and Yangyu Kuang and Huazhong Tang}, title = {Second-order accurate {BGK} schemes for the special relativistic hydrodynamics with the Synge equation of state}, journal = {CoRR}, volume = {abs/2011.00820}, year = {2020}, url = {https://arxiv.org/abs/2011.00820}, eprinttype = {arXiv}, eprint = {2011.00820}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-00820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12114, author = {Shuhua Gao and Cheng Xiang and Yu Ming and Tan Kuan Tak and Tong Heng Lee}, title = {Rethinking solar photovoltaic parameter estimation: global optimality analysis and a simple efficient differential evolution method}, journal = {CoRR}, volume = {abs/2011.12114}, year = {2020}, url = {https://arxiv.org/abs/2011.12114}, eprinttype = {arXiv}, eprint = {2011.12114}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiKWXZXLGWLYQJ19, author = {Chen Li and Fanjie Kong and Kai Wang and Aidong Xu and Gexiang Zhang and Ning Xu and Zhihua Liu and Haifeng Guo and Xue Wang and Kuan Liang and Jianying Yuan and Shouliang Qi and Tao Jiang}, title = {Microscopic Machine Vision Based Degradation Monitoring of Low-Voltage Electromagnetic Coil Insulation Using Ensemble Learning in a Membrane Computing Framework}, journal = {{IEEE} Access}, volume = {7}, pages = {97216--97241}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2928025}, doi = {10.1109/ACCESS.2019.2928025}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiKWXZXLGWLYQJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TaiCLHYCLWC19, author = {Kuang{-}Han Tai and Mei{-}Juan Chen and Jie{-}Ru Lin and Ren{-}Yuan Huang and Chia{-}Hung Yeh and Chia{-}Yen Chen and Shinfeng D. Lin and Ro{-}Min Weng and Chuan{-}Yu Chang}, title = {Acceleration for {HEVC} Encoder by Bimodal Segmentation of Rate-Distortion Cost and Accurate Determination of Early Termination and Early Split}, journal = {{IEEE} Access}, volume = {7}, pages = {45259--45273}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2900517}, doi = {10.1109/ACCESS.2019.2900517}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TaiCLHYCLWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TaiWLHW19, author = {Tzu{-}Chun Tai and Hung{-}Wei Wu and Kuan{-}Jen Lin and Cheng{-}Yuan Hung and Yeong{-}Her Wang}, title = {New Transparent Bandpass Filter Using Aluminum Thin-Film Micromesh Structure}, journal = {{IEEE} Access}, volume = {7}, pages = {130215--130220}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939954}, doi = {10.1109/ACCESS.2019.2939954}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TaiWLHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouHJCTC19, author = {Wenshuo Zhou and Kuangrong Hao and Chunli Jiang and Lei Chen and Xue{-}Song Tang and Xin Cai}, title = {A New Cross Clustering Algorithm for Improving Performance of Supervised Learning}, journal = {{IEEE} Access}, volume = {7}, pages = {56713--56723}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2909926}, doi = {10.1109/ACCESS.2019.2909926}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhouHJCTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WangZKYTLCY19, author = {Wufan Wang and Jihong Zhu and Minchi Kuang and Xiaming Yuan and Yunfei Tang and Yaqing Lai and Lyujie Chen and Yunjie Yang}, title = {Design and hovering control of a twin rotor tail-sitter {UAV}}, journal = {Sci. China Inf. Sci.}, volume = {62}, number = {9}, pages = {194202:1--194202:3}, year = {2019}, url = {https://doi.org/10.1007/s11432-018-9733-8}, doi = {10.1007/S11432-018-9733-8}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/WangZKYTLCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinHKLCCLL19, author = {Pei{-}Chen Lin and Hsu{-}Cheng Huang and Matthieu Komorowski and Wei{-}Kai Lin and Chun{-}Min Chang and Kuan{-}Ta Chen and Yu{-}Chuan Li and Ming{-}Chin Lin}, title = {A machine learning approach for predicting urine output after fluid administration}, journal = {Comput. Methods Programs Biomed.}, volume = {177}, pages = {155--159}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.05.009}, doi = {10.1016/J.CMPB.2019.05.009}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LinHKLCCLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsa/TengOC19, author = {Shan{-}Yun Teng and Cheng{-}Kuan Ou and Kun{-}Ta Chuang}, title = {On the discovery of spatial-temporal fluctuating patterns}, journal = {Int. J. Data Sci. Anal.}, volume = {8}, number = {1}, pages = {57--75}, year = {2019}, url = {https://doi.org/10.1007/s41060-018-0159-1}, doi = {10.1007/S41060-018-0159-1}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsa/TengOC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangJLCC19, author = {Xiaoyu Zhang and Tao Jiang and Kuan{-}Ching Li and Aniello Castiglione and Xiaofeng Chen}, title = {New publicly verifiable computation for batch matrix multiplication}, journal = {Inf. Sci.}, volume = {479}, pages = {664--678}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2017.11.063}, doi = {10.1016/J.INS.2017.11.063}, timestamp = {Fri, 29 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ZhangJLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/BaowalyLLC19, author = {Mrinal Kanti Baowaly and Chia{-}Ching Lin and Chao{-}Lin Liu and Kuan{-}Ta Chen}, title = {Synthesizing electronic health records using improved generative adversarial networks}, journal = {J. Am. Medical Informatics Assoc.}, volume = {26}, number = {3}, pages = {228--241}, year = {2019}, url = {https://doi.org/10.1093/jamia/ocy142}, doi = {10.1093/JAMIA/OCY142}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/BaowalyLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/BaowalyTC19, author = {Mrinal Kanti Baowaly and Yi{-}Pei Tu and Kuan{-}Ta Chen}, title = {Predicting the helpfulness of game reviews: {A} case study on the Steam store}, journal = {J. Intell. Fuzzy Syst.}, volume = {36}, number = {5}, pages = {4731--4742}, year = {2019}, url = {https://doi.org/10.3233/JIFS-179022}, doi = {10.3233/JIFS-179022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/BaowalyTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/TangHL19, author = {Kuang{-}Hui Tang and Chuan{-}Kuei Huang and Cheng{-}Jian Lin}, title = {Automatic Text Recognition in Natural Scene Using Neural Network Classifier with Dynamic-group-based Hybrid Particle Swarm Optimization}, journal = {J. Inf. Sci. Eng.}, volume = {35}, number = {3}, pages = {555--575}, year = {2019}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=168\_2238}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/TangHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehLCYLT19, author = {Chih{-}Hsuan Yeh and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee and Kuang{-}Han Tai}, title = {Fast prediction for quality scalability of High Efficiency Video Coding Scalable Extension}, journal = {J. Vis. Commun. Image Represent.}, volume = {58}, pages = {462--476}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2018.12.021}, doi = {10.1016/J.JVCIR.2018.12.021}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/YehLCYLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KuoTC19, author = {Kuang{-}Ming Kuo and Paul C. Talley and Tain{-}Junn Cheng}, title = {Deterrence approach on the compliance with electronic medical records privacy policy: the moderating role of computer monitoring}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {254}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0957-y}, doi = {10.1186/S12911-019-0957-Y}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KuoTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KuoTHC19, author = {Kuang{-}Ming Kuo and Paul C. Talley and Chi Hsien Huang and Liang Chih Cheng}, title = {Predicting hospital-acquired pneumonia among schizophrenic patients: a machine learning approach}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {42:1--42:8}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0792-1}, doi = {10.1186/S12911-019-0792-1}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KuoTHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KuoCLLCCHSYC19, author = {Chin{-}Chi Kuo and Chun{-}Min Chang and Kuan{-}Ting Liu and Wei{-}Kai Lin and Hsiu{-}Yin Chiang and Chih{-}Wei Chung and Meng{-}Ru Ho and Pei{-}Ran Sun and Rong{-}Lin Yang and Kuan{-}Ta Chen}, title = {Automation of the kidney function prediction and classification through ultrasound-based kidney imaging using deep learning}, journal = {npj Digit. Medicine}, volume = {2}, year = {2019}, url = {https://doi.org/10.1038/s41746-019-0104-2}, doi = {10.1038/S41746-019-0104-2}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KuoCLLCCHSYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChengCRJTLYLP19, author = {Eric{-}Juwei Cheng and Kuang{-}Pen Chou and Shantanu Rajora and Bo{-}Hao Jin and Muhammad Tanveer and Chin{-}Teng Lin and Kuu{-}Young Young and Wen{-}Chieh Lin and Mukesh Prasad}, title = {Deep Sparse Representation Classifier for facial recognition and detection system}, journal = {Pattern Recognit. Lett.}, volume = {125}, pages = {71--77}, year = {2019}, url = {https://doi.org/10.1016/j.patrec.2019.03.006}, doi = {10.1016/J.PATREC.2019.03.006}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/ChengCRJTLYLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/TangLWCFZH19, author = {Yunchao Tang and Lijuan Li and Chenglin Wang and Mingyou Chen and Wenxian Feng and Xiangjun Zou and Kuangyu Huang}, title = {Real-time detection of surface deformation and strain in recycled aggregate concrete-filled steel tubular columns via four-ocular vision}, journal = {Robotics Comput. Integr. Manuf.}, volume = {59}, pages = {36--46}, year = {2019}, url = {https://doi.org/10.1016/j.rcim.2019.03.001}, doi = {10.1016/J.RCIM.2019.03.001}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rcim/TangLWCFZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PanKHZZLC19, author = {Tao Pan and Wenhui Kuang and Rafiq Hamdi and Chi Zhang and Shu Zhang and Zhili Li and Xin Chen}, title = {City-Level Comparison of Urban Land-Cover Configurations from 2000-2015 across 65 Countries within the Global Belt and Road}, journal = {Remote. Sens.}, volume = {11}, number = {13}, pages = {1515}, year = {2019}, url = {https://doi.org/10.3390/rs11131515}, doi = {10.3390/RS11131515}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PanKHZZLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/TangC19, author = {Hui{-}Chin Tang and Kuan{-}Sheng Cheng}, title = {Counterintuitive Test Problems for Transformed Fuzzy Number-Based Similarity Measures between Intuitionistic Fuzzy Sets}, journal = {Symmetry}, volume = {11}, number = {5}, pages = {612}, year = {2019}, url = {https://doi.org/10.3390/sym11050612}, doi = {10.3390/SYM11050612}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/TangC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/HsuWLC19, author = {Chih{-}Fan Hsu and Yu{-}Shuen Wang and Chin{-}Laung Lei and Kuan{-}Ta Chen}, title = {Look at Me! Correcting Eye Gaze in Live Video Communication}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {15}, number = {2}, pages = {38:1--38:21}, year = {2019}, url = {https://doi.org/10.1145/3311784}, doi = {10.1145/3311784}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/HsuWLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LeeCLIKCO19, author = {Chia{-}Hsuan Lee and Hsin{-}Chi Chang and Jui{-}Han Liu and Hiroyuki Ito and Young{-}Suk Kim and Kuan{-}Neng Chen and Takayuki Ohba}, title = {Temperature Cycling Reliability of {WOW} Bumpless Through Silicon Vias}, booktitle = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/3DIC48104.2019.9058776}, doi = {10.1109/3DIC48104.2019.9058776}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/LeeCLIKCO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/BaowalyLC19, author = {Mrinal Kanti Baowaly and Chao{-}Lin Liu and Kuan{-}Ta Chen}, title = {Realistic Data Synthesis Using Enhanced Generative Adversarial Networks}, booktitle = {2nd {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2019, Sardinia, Italy, June 3-5, 2019}, pages = {289--292}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIKE.2019.00057}, doi = {10.1109/AIKE.2019.00057}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aike/BaowalyLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TaiCCCL19, author = {Kuang{-}Yen Tai and Dai{-}Lun Chiang and Chun{-}Yen Chuang and Tzer{-}Shyong Chen and Frank Yeong{-}Sung Lin}, editor = {Leonard Barolli and Peter Hellinckx and Tomoya Enokido}, title = {Apply Lagrange Interpolation Based Access Control Mechanism in Personal Health Record Medical System}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 14th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {97}, pages = {327--337}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33506-9\_29}, doi = {10.1007/978-3-030-33506-9\_29}, timestamp = {Thu, 12 Aug 2021 13:48:41 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/TaiCCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caasafeproc/WangZHTW19, author = {Weikai Wang and Chenwei Zhao and Kuangrong Hao and Xue{-}Song Tang and Tong Wang}, title = {A Real-Time Anomaly Detection Approach Based on Sparse Distributed Representation}, booktitle = {{CAA} Symposium on Fault Detection, Supervision and Safety for Technical Processes, {SAFEPROCESS} 2019, Xiamen, China, July 5-7, 2019}, pages = {454--459}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAFEPROCESS45799.2019.9213357}, doi = {10.1109/SAFEPROCESS45799.2019.9213357}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/caasafeproc/WangZHTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/ChiKTCMLWC19, author = {Yaojia Chi and Ningyuan Kuang and Yahua Tang and Wenjie Chen and Xinying Ma and Zhuoxun Li and Qiye Wen and Zhi Chen}, title = {Modulator Design for THz Communication Based on Vanadium Dioxide Metasurface}, booktitle = {2019 {IEEE/CIC} International Conference on Communications in China - Workshops, {ICCC} Workshops 2019, Changchun, China, August 11-13, 2019}, pages = {142--146}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCChinaW.2019.8849947}, doi = {10.1109/ICCCHINAW.2019.8849947}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/ChiKTCMLWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChenSCLTC19, author = {Chi{-}Ying Chen and Zon{-}Ying Shae and Chien{-}Jen Chang and Kuan{-}Yuh Lin and Shu{-}Mei Tan and Shao{-}Liang Chang}, editor = {Sanjay Misra and Osvaldo Gervasi and Beniamino Murgante and Elena N. Stankova and Vladimir Korkhov and Carmelo Maria Torre and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan and Eufemia Tarantino}, title = {A Trusting News Ecosystem Against Fake News from Humanity and Technology Perspectives}, booktitle = {19th International Conference on Computational Science and Its Applications, {ICCSA} 2019, Saint Petersburg, Russia, July 1-4, 2019, Part {VII}}, pages = {132--137}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCSA.2019.00011}, doi = {10.1109/ICCSA.2019.00011}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChenSCLTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LinWLC19, author = {Chia{-}Ching Lin and Yu{-}Chiang Frank Wang and Chin{-}Laung Lei and Kuan{-}Ta Chen}, title = {Semantics-Guided Data Hallucination for Few-Shot Visual Classification}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {3302--3306}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803420}, doi = {10.1109/ICIP.2019.8803420}, timestamp = {Wed, 11 Dec 2019 16:30:23 +0100}, biburl = {https://dblp.org/rec/conf/icip/LinWLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/YehTWK19, author = {Jui{-}Feng Yeh and Jian{-}Cheng Tsai and Bo{-}Wei Wu and Tai{-}You Kuang}, title = {Deep Learning-based Emotion Spatial Regression in Speech Recognition for Human-computer Interaction}, booktitle = {{IEEE} 2nd International Conference on Knowledge Innovation and Invention, {ICKII} 2019, Seoul, Korea (South), July 12-15, 2019}, pages = {549--552}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICKII46306.2019.9042682}, doi = {10.1109/ICKII46306.2019.9042682}, timestamp = {Thu, 09 Apr 2020 18:05:21 +0200}, biburl = {https://dblp.org/rec/conf/ickii/YehTWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/TangCC19, author = {Tsun{-}Hsien Tang and Kuan{-}Ta Chen and Hsin{-}Hsi Chen}, title = {Truncated SVD-based Feature Engineering for Short Video Understanding and Recommendation}, booktitle = {{IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2019, Shanghai, China, July 8-12, 2019}, pages = {695--700}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMEW.2019.00136}, doi = {10.1109/ICMEW.2019.00136}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/TangCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WuYSWGKNCY19, author = {Tan Wu and Xi Yang and Bin Song and Nannan Wang and Xinbo Gao and Liyang Kuang and Xiaoting Nan and Yuwen Chen and Dong Yang}, title = {{T-SCNN:} {A} Two-Stage Convolutional Neural Network for Space Target Recognition}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {1334--1337}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8900185}, doi = {10.1109/IGARSS.2019.8900185}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/WuYSWGKNCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/WuLT19, author = {Yi{-}Leh Wu and Kuan{-}lin Lee and Cheng{-}Yuan Tang}, title = {Low Visibility Street Scenes Recognition with Augmented Image Sets}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {438--443}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00096}, doi = {10.1109/IIAI-AAI.2019.00096}, timestamp = {Tue, 25 Feb 2020 17:16:42 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/WuLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ChangLC19, author = {Chun{-}Min Chang and Chia{-}Ching Lin and Kuan{-}Ta Chen}, title = {Efficient and Robust Convolutional Neural Networks via Channel Prioritization and Path Ensemble}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8851922}, doi = {10.1109/IJCNN.2019.8851922}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ChangLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YehCSHLTTLCTTLL19, author = {Sung{-}Lin Yeh and Gao{-}Yi Chao and Bo{-}Hao Su and Yu{-}Lin Huang and Meng{-}Han Lin and Yin{-}Chun Tsai and Yu{-}Wen Tai and Zheng{-}Chi Lu and Chieh{-}Yu Chen and Tsung{-}Ming Tai and Chiu{-}Wang Tseng and Cheng{-}Kuang Lee and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Using Attention Networks and Adversarial Augmentation for Styrian Dialect Continuous Sleepiness and Baby Sound Recognition}, booktitle = {Interspeech 2019, 20th Annual Conference of the International Speech Communication Association, Graz, Austria, 15-19 September 2019}, pages = {2398--2402}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2110}, doi = {10.21437/INTERSPEECH.2019-2110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/YehCSHLTTLCTTLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isica/TangCWHWZH19, author = {Yunchao Tang and Mingyou Chen and Xiangguo Wu and Kuangyu Huang and Fengyun Wu and Xiangjun Zou and Yuxin He}, editor = {Kangshun Li and Wei Li and Hui Wang and Yong Liu}, title = {Three-Dimensional Reconstruction and Monitoring of Large-Scale Structures via Real-Time Multi-vision System}, booktitle = {Artificial Intelligence Algorithms and Applications - 11th International Symposium, {ISICA} 2019, Guangzhou, China, November 16-17, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1205}, pages = {442--457}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-5577-0\_35}, doi = {10.1007/978-981-15-5577-0\_35}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isica/TangCWHWZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/FangZT019, author = {Juan Fang and Kuan Zhou and Chen Tan and Hui Zhao}, title = {Dynamic Block Size Adjustment and Workload Balancing Strategy Based on {CPU-GPU} Heterogeneous Platform}, booktitle = {2019 {IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2019, Xiamen, China, December 16-18, 2019}, pages = {999--1006}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00144}, doi = {10.1109/ISPA-BDCLOUD-SUSTAINCOM-SOCIALCOM48970.2019.00144}, timestamp = {Fri, 03 Apr 2020 09:58:46 +0200}, biburl = {https://dblp.org/rec/conf/ispa/FangZT019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliYPCWHLWHLWTT19, author = {Tamer A. Ali and Ramy Yousry and Henry Park and Ehung Chen and Po{-}Shuan Weng and Yi{-}Chieh Huang and Chun{-}Cheng Liu and Chien{-}Hua Wu and Shih{-}Hao Huang and Chungshi Lin and Ke{-}Chung Wu and Kun{-}Hung Tsai and Kai{-}Wen Tan and Ahmed ElShater and Kuang{-}Ren Chen and Wei{-}Hao Tsai and Huan{-}Sheng Chen and Weiyu Leng and Mazen Soliman}, title = {A 180mW 56Gb/s DSP-Based Transceiver for High Density IOs in Data Center Switches in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {118--120}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662523}, doi = {10.1109/ISSCC.2019.8662523}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliYPCWHLWHLWTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/JhangCTHCL19, author = {Yun{-}Jie Jhang and Yen{-}Cheng Chu and Tsung{-}Ming Tai and Wen{-}Jyi Hwang and Po{-}Wen Cheng and Cheng{-}Kuang Lee}, title = {Sensor Based Dynamic Hand Gesture Recognition by PairNet}, booktitle = {2019 International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2019, Atlanta, GA, USA, July 14-17, 2019}, pages = {994--1001}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iThings/GreenCom/CPSCom/SmartData.2019.00174}, doi = {10.1109/ITHINGS/GREENCOM/CPSCOM/SMARTDATA.2019.00174}, timestamp = {Thu, 24 Oct 2019 15:55:06 +0200}, biburl = {https://dblp.org/rec/conf/ithings/JhangCTHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/TaiLCWSWL19, author = {Tzu{-}Chun Tai and Kuan{-}Jen Lin and Yung{-}Wei Chen and Hung{-}Wei Wu and Yan{-}Kuin Su and Yeong{-}Her Wang and Hong{-}Yue Lai}, title = {A Compact Multi Transmission Zero Dual-Passband Filter Using Dual Stepped Impedance Resonators}, booktitle = {{IEEE} Radio and Wireless Symposium, {RWS} 2019, Orlando, FL, USA, January 20-23, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RWS.2019.8714411}, doi = {10.1109/RWS.2019.8714411}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/rws/TaiLCWSWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PalPFGTRXZAWBCC19, author = {Subhankar Pal and Dong{-}Hyeon Park and Siying Feng and Paul Gao and Jielun Tan and Austin Rovinski and Shaolin Xie and Chun Zhao and Aporva Amarnath and Timothy Wesley and Jonathan Beaumont and Kuan{-}Yu Chen and Chaitali Chakrabarti and Michael B. Taylor and Trevor N. Mudge and David T. Blaauw and Hun{-}Seok Kim and Ronald G. Dreslinski}, title = {A 7.3 {M} Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {150}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778147}, doi = {10.23919/VLSIC.2019.8778147}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/PalPFGTRXZAWBCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-00366, author = {Shitao Tang and Litong Feng and Wenqi Shao and Zhanghui Kuang and Wei Zhang and Yimin Chen}, title = {Learning Efficient Detector with Semi-supervised Adaptive Distillation}, journal = {CoRR}, volume = {abs/1901.00366}, year = {2019}, url = {http://arxiv.org/abs/1901.00366}, eprinttype = {arXiv}, eprint = {1901.00366}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-00366.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-08295, author = {Jonathan Shen and Patrick Nguyen and Yonghui Wu and Zhifeng Chen and Mia Xu Chen and Ye Jia and Anjuli Kannan and Tara N. Sainath and Yuan Cao and Chung{-}Cheng Chiu and Yanzhang He and Jan Chorowski and Smit Hinsu and Stella Laurenzo and James Qin and Orhan Firat and Wolfgang Macherey and Suyog Gupta and Ankur Bapna and Shuyuan Zhang and Ruoming Pang and Ron J. Weiss and Rohit Prabhavalkar and Qiao Liang and Benoit Jacob and Bowen Liang and HyoukJoong Lee and Ciprian Chelba and S{\'{e}}bastien Jean and Bo Li and Melvin Johnson and Rohan Anil and Rajat Tibrewal and Xiaobing Liu and Akiko Eriguchi and Navdeep Jaitly and Naveen Ari and Colin Cherry and Parisa Haghani and Otavio Good and Youlong Cheng and Raziel Alvarez and Isaac Caswell and Wei{-}Ning Hsu and Zongheng Yang and Kuan{-}Chieh Wang and Ekaterina Gonina and Katrin Tomanek and Ben Vanik and Zelin Wu and Llion Jones and Mike Schuster and Yanping Huang and Dehao Chen and Kazuki Irie and George F. Foster and John Richardson and Klaus Macherey and Antoine Bruguier and Heiga Zen and Colin Raffel and Shankar Kumar and Kanishka Rao and David Rybach and Matthew Murray and Vijayaditya Peddinti and Maxim Krikun and Michiel Bacchiani and Thomas B. Jablin and Robert Suderman and Ian Williams and Benjamin Lee and Deepti Bhatia and Justin Carlson and Semih Yavuz and Yu Zhang and Ian McGraw and Max Galkin and Qi Ge and Golan Pundak and Chad Whipkey and Todd Wang and Uri Alon and Dmitry Lepikhin and Ye Tian and Sara Sabour and William Chan and Shubham Toshniwal and Baohua Liao and Michael Nirschl and Pat Rondon}, title = {Lingvo: a Modular and Scalable Framework for Sequence-to-Sequence Modeling}, journal = {CoRR}, volume = {abs/1902.08295}, year = {2019}, url = {http://arxiv.org/abs/1902.08295}, eprinttype = {arXiv}, eprint = {1902.08295}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-08295.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07386, author = {Kong Aik Lee and Ville Hautam{\"{a}}ki and Tomi Kinnunen and Hitoshi Yamamoto and Koji Okabe and Ville Vestman and Jing Huang and Guohong Ding and Hanwu Sun and Anthony Larcher and Rohan Kumar Das and Haizhou Li and Mickael Rouvier and Pierre{-}Michel Bousquet and Wei Rao and Qing Wang and Chunlei Zhang and Fahimeh Bahmaninezhad and H{\'{e}}ctor Delgado and Jose Patino and Qiongqiong Wang and Ling Guo and Takafumi Koshinaka and Jiacen Zhang and Koichi Shinoda and Trung Ngo Trong and Md. Sahidullah and Fan Lu and Yun Tang and Ming Tu and Kah Kuan Teh and Tran Huy Dat and Kuruvachan K. George and Ivan Kukanov and Florent Desnous and Jichen Yang and Emre Yilmaz and Longting Xu and Jean{-}Fran{\c{c}}ois Bonastre and Chenglin Xu and Zhi Hao Lim and Eng Siong Chng and Shivesh Ranjan and John H. L. Hansen and Massimiliano Todisco and Nicholas W. D. Evans}, title = {{I4U} Submission to {NIST} {SRE} 2018: Leveraging from a Decade of Shared Experiences}, journal = {CoRR}, volume = {abs/1904.07386}, year = {2019}, url = {http://arxiv.org/abs/1904.07386}, eprinttype = {arXiv}, eprint = {1904.07386}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-08020, author = {Ao Ren and Tao Zhang and Yuhao Wang and Sheng Lin and Peiyan Dong and Yen{-}Kuang Chen and Yuan Xie and Yanzhi Wang}, title = {{DARB:} {A} Density-Aware Regular-Block Pruning for Deep Neural Networks}, journal = {CoRR}, volume = {abs/1911.08020}, year = {2019}, url = {http://arxiv.org/abs/1911.08020}, eprinttype = {arXiv}, eprint = {1911.08020}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-08020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinCSLY18, author = {Yi{-}Bing Lin and Li{-}Kuan Chen and Min{-}Zheng Shieh and Yun{-}Wei Lin and Tai{-}Hsiang Yen}, title = {CampusTalk: IoT Devices and Their Interesting Features on Campus Applications}, journal = {{IEEE} Access}, volume = {6}, pages = {26036--26046}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2832222}, doi = {10.1109/ACCESS.2018.2832222}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinCSLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TanLC18, author = {Kuang{-}Hsiung Tan and Faa{-}Jeng Lin and Jun Hao Chen}, title = {DC-Link Voltage Regulation Using {RPFNN-AMF} for Three-Phase Active Power Filter}, journal = {{IEEE} Access}, volume = {6}, pages = {37454--37463}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2851250}, doi = {10.1109/ACCESS.2018.2851250}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TanLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/KuangTGFCW18, author = {Kaiyuan Kuang and Zhanyong Tang and Xiaoqing Gong and Dingyi Fang and Xiaojiang Chen and Zheng Wang}, title = {Enhance virtual-machine-based code obfuscation security through dynamic bytecode scheduling}, journal = {Comput. Secur.}, volume = {74}, pages = {202--220}, year = {2018}, url = {https://doi.org/10.1016/j.cose.2018.01.008}, doi = {10.1016/J.COSE.2018.01.008}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/KuangTGFCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KuoCTH18, author = {Kuang{-}Ming Kuo and Yu Chang Chen and Paul C. Talley and Chi Hsien Huang}, title = {Continuance compliance of privacy policy of electronic medical records: the roles of both motivation and habit}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {135:1--135:12}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0722-7}, doi = {10.1186/S12911-018-0722-7}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KuoCTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenCTWCL18, author = {Pin{-}Guang Chen and Kuan{-}Ting Chen and Ming Tang and Zheng{-}Ying Wang and Yu{-}Chen Chou and Min{-}Hung Lee}, title = {Steep Switching of In\({}_{\mbox{0.18}}\)Al\({}_{\mbox{0.82}}\)N/AlN/GaN {MIS-HEMT} (Metal Insulator Semiconductor High Electron Mobility Transistors) on Si for Sensor Applications}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2795}, year = {2018}, url = {https://doi.org/10.3390/s18092795}, doi = {10.3390/S18092795}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenCTWCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/YingZHCT18, author = {Josh Jia{-}Ching Ying and Ji Zhang and Che{-}Wei Huang and Kuan{-}Ta Chen and Vincent S. Tseng}, title = {\emph{FrauDetector}\({}^{\mbox{+}}\): An Incremental Graph-Mining Approach for Efficient Fraudulent Phone Call Detection}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {12}, number = {6}, pages = {68:1--68:35}, year = {2018}, url = {https://doi.org/10.1145/3234943}, doi = {10.1145/3234943}, timestamp = {Sun, 19 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/YingZHCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChangHHC18, author = {Haw{-}Shiuan Chang and Chih{-}Fan Hsu and Tobias Ho{\ss}feld and Kuan{-}Ta Chen}, title = {Active Learning for Crowdsourced QoE Modeling}, journal = {{IEEE} Trans. Multim.}, volume = {20}, number = {12}, pages = {3337--3352}, year = {2018}, url = {https://doi.org/10.1109/TMM.2018.2831639}, doi = {10.1109/TMM.2018.2831639}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ChangHHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/AbdallahCGH18, author = {Maha Abdallah and Kuan{-}Ta Chen and Carsten Griwodz and Cheng{-}Hsin Hsu}, title = {Introduction to the Special Issue on Delay-Sensitive Video Computing in the Cloud}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {14}, number = {3s}, pages = {53:1--53:3}, year = {2018}, url = {https://doi.org/10.1145/3214698}, doi = {10.1145/3214698}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/AbdallahCGH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/AbdallahGCSWH18, author = {Maha Abdallah and Carsten Griwodz and Kuan{-}Ta Chen and Gwendal Simon and Pin{-}Chun Wang and Cheng{-}Hsin Hsu}, title = {Delay-Sensitive Video Computing in the Cloud: {A} Survey}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {14}, number = {3s}, pages = {54:1--54:29}, year = {2018}, url = {https://doi.org/10.1145/3212804}, doi = {10.1145/3212804}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomccap/AbdallahGCSWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/Skorin-KapovVHC18, author = {Lea Skorin{-}Kapov and Mart{\'{\i}}n Varela and Tobias Ho{\ss}feld and Kuan{-}Ta Chen}, title = {Guest Editorial: Special Issue on "QoE Management for Multimedia Services"}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {14}, number = {2s}, pages = {28:1--28:3}, year = {2018}, url = {https://doi.org/10.1145/3192332}, doi = {10.1145/3192332}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/Skorin-KapovVHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/Skorin-KapovVHC18a, author = {Lea Skorin{-}Kapov and Mart{\'{\i}}n Varela and Tobias Ho{\ss}feld and Kuan{-}Ta Chen}, title = {A Survey of Emerging Concepts and Challenges for QoE Management of Multimedia Services}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {14}, number = {2s}, pages = {29:1--29:29}, year = {2018}, url = {https://doi.org/10.1145/3176648}, doi = {10.1145/3176648}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/Skorin-KapovVHC18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/TangFKCZ18, author = {Shitao Tang and Litong Feng and Zhanghui Kuang and Yimin Chen and Wei Zhang}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Fast Video Shot Transition Localization with Deep Structured Models}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11361}, pages = {577--592}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20887-5\_36}, doi = {10.1007/978-3-030-20887-5\_36}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/accv/TangFKCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/TaiYCT18, author = {Kuang{-}Yen Tai and Shun{-}Li Yen and Tzer{-}Shyong Chen and Yu{-}Hsuan Tseng}, editor = {Leonard Barolli and Fang{-}Yie Leu and Tomoya Enokido and Hsing{-}Chung Chen}, title = {An Implementation of Interactive {RFID} Positioning Healthcare System on Mobile Platform}, booktitle = {Advances on Broadband and Wireless Computing, Communication and Applications, Proceedings of the 13th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2018, Taichung, Taiwan, October 27-29, 2018}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {25}, pages = {350--360}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02613-4\_31}, doi = {10.1007/978-3-030-02613-4\_31}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/TaiYCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/TangFHCC18, author = {Tsun{-}Hsien Tang and Min{-}Huan Fu and Hen{-}Hsen Huang and Kuan{-}Ta Chen and Hsin{-}Hsi Chen}, editor = {Linda Cappellato and Nicola Ferro and Jian{-}Yun Nie and Laure Soulier}, title = {Visual Concept Selection with Textual Knowledge for Understanding Activities of Daily Living and Life Moment Retrieval}, booktitle = {Working Notes of {CLEF} 2018 - Conference and Labs of the Evaluation Forum, Avignon, France, September 10-14, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2125}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2125/paper\_124.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:36 +0100}, biburl = {https://dblp.org/rec/conf/clef/TangFHCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/LeeCYHWHC18, author = {Wei Lee and Chien{-}Wei Chang and Po{-}An Yang and Chi{-}Hsuan Huang and Ming{-}Kuang Wu and Chu{-}Cheng Hsieh and Kun{-}Ta Chuang}, editor = {Michael H. B{\"{o}}hlen and Reinhard Pichler and Norman May and Erhard Rahm and Shan{-}Hung Wu and Katja Hose}, title = {Effective Quality Assurance for Data Labels through Crowdsourcing and Domain Expert Collaboration}, booktitle = {Proceedings of the 21st International Conference on Extending Database Technology, {EDBT} 2018, Vienna, Austria, March 26-29, 2018}, pages = {646--649}, publisher = {OpenProceedings.org}, year = {2018}, url = {https://doi.org/10.5441/002/edbt.2018.75}, doi = {10.5441/002/EDBT.2018.75}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edbt/LeeCYHWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LiuSCYYLHLL18, author = {Cheng{-}Tsung Liu and Pei{-}Chun Shih and Zhe{-}Hong Cai and Kuan Yang and Sheng{-}Chan Yen and Hsin{-}Nan Lin and Yu{-}Wei Hsu and Ta{-}Yin Luo and Sheng{-}Yang Lin}, title = {Designs of a Four-in-one Laminated Electrical Steel Rotor Structure for Application-oriented Synchronous Reluctance Motors}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2018, Portland, OR, USA, September 23-27, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IAS.2018.8544699}, doi = {10.1109/IAS.2018.8544699}, timestamp = {Tue, 06 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iasam/LiuSCYYLHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/ZhouRZKZZLCC18, author = {Huabing Zhou and Shiqiang Ren and Yong Zhou and Yuyu Kuang and Yanduo Zhang and Wei Zhang and Tao Lu and Hanwen Chen and Deng Chen}, editor = {Jaideep Vaidya and Jin Li}, title = {Facial Shape and Expression Transfer via Non-rigid Image Deformation}, booktitle = {Algorithms and Architectures for Parallel Processing - 18th International Conference, {ICA3PP} 2018, Guangzhou, China, November 15-17, 2018, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11336}, pages = {257--269}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-05057-3\_21}, doi = {10.1007/978-3-030-05057-3\_21}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/ZhouRZKZZLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/ZhangSJHLMHCKTX18, author = {Yaru Zhang and Huali Sun and Yue Jia and Dan Huang and Ruyu Li and Zhuolin Mao and Yida Hu and Jianbo Chen and Shaolong Kuang and Jie Tang and Xinru Xiao and Baiquan Su}, title = {A Continuum Robot with Contractible and Extensible Length for Neurosurgery}, booktitle = {14th {IEEE} International Conference on Control and Automation, {ICCA} 2018, Anchorage, AK, USA, June 12-15, 2018}, pages = {1150--1155}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCA.2018.8444220}, doi = {10.1109/ICCA.2018.8444220}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icca/ZhangSJHLMHCKTX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/LiaoWLL18, author = {Yi{-}Cheng Liao and Tao{-}Hua Wang and Hao{-}Chiang Koong Lin and Kuan{-}Yu Lin}, editor = {Ting{-}Ting Wu and Yueh{-}Min Huang and Rustam Shadiev and Lin Lin and Andreja Istenic Starcic}, title = {Augmented Reality Applied to Smartphones and Wearable Devices - Virtual Furniture Simulation System}, booktitle = {Innovative Technologies and Learning - First International Conference, {ICITL} 2018, Portoroz, Slovenia, August 27-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11003}, pages = {473--481}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99737-7\_50}, doi = {10.1007/978-3-319-99737-7\_50}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icitl/LiaoWLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChenSLT18, author = {Kuang{-}Hsuan Chen and Tawei David Shou and John Kun{-}Han Li and Chun{-}Ming Tsai}, title = {Vehicles Detection On Expressway Via Deep Learning: Single Shot Multibox Object Detector}, booktitle = {2018 International Conference on Machine Learning and Cybernetics, {ICMLC} 2018, Chengdu, China, July 15-18, 2018}, pages = {467--473}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICMLC.2018.8526958}, doi = {10.1109/ICMLC.2018.8526958}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChenSLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChangCCHHHHLLSS18, author = {Andy R. K. Chang and Yu{-}Ling Chen and Po{-}Yu Chou and Yen{-}Zhou Huang and Hung{-}Chang Hsiao and Tsung{-}Ting Hsieh and Michael Hsu and Chia{-}Chee Lee and Hsin{-}Yin Lee and Yun{-}Chi Shih and Wei{-}An Shih and Chien{-}Hsiang Tang and Chia{-}Ping Tsai and Kuan{-}Po Tseng}, title = {The Case of Big Data Platform Services for Semiconductor Wafer Fabrication Foundries}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {41--45}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539541}, doi = {10.1109/ICTC.2018.8539541}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChangCCHHHHLLSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/Chen0DTHT18, author = {Shi{-}Yong Chen and Yang Yu and Qing Da and Jun Tan and Hai{-}Kuan Huang and Hai{-}Hong Tang}, editor = {Yike Guo and Faisal Farooq}, title = {Stabilizing Reinforcement Learning in Dynamic Environment with Application to Online Recommendation}, booktitle = {Proceedings of the 24th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2018, London, UK, August 19-23, 2018}, pages = {1187--1196}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3219819.3220122}, doi = {10.1145/3219819.3220122}, timestamp = {Wed, 21 Nov 2018 12:44:27 +0100}, biburl = {https://dblp.org/rec/conf/kdd/Chen0DTHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HsuCWLC18, author = {Chih{-}Fan Hsu and Yu{-}Cheng Chen and Yu{-}Shuen Wang and Chin{-}Laung Lei and Kuan{-}Ta Chen}, editor = {Pablo C{\'{e}}sar and Michael Zink and Niall Murray}, title = {Realizing the real-time gaze redirection system with convolutional neural network}, booktitle = {Proceedings of the 9th {ACM} Multimedia Systems Conference, MMSys 2018, Amsterdam, The Netherlands, June 12-15, 2018}, pages = {509--512}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3204949.3209618}, doi = {10.1145/3204949.3209618}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/HsuCWLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/LeeHCTC18, author = {Pei{-}Ju Lee and Ya{-}Han Hu and Kuanchin Chen and J. Michael Tarn and Lien{-}En Cheng}, editor = {Masaaki Hirano and Michael D. Myers and Kyoichi Kijima and Motonari Tanabu and Dai Senoo}, title = {Cyberbullying Detection on Social Network Services}, booktitle = {22nd Pacific Asia Conference on Information Systems, {PACIS} 2018, Yokohama, Japan, June 26-30, 2018}, pages = {61}, year = {2018}, url = {https://aisel.aisnet.org/pacis2018/61}, timestamp = {Wed, 07 Nov 2018 09:42:06 +0100}, biburl = {https://dblp.org/rec/conf/pacis/LeeHCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/TanDWLQZ18, author = {Fei Tan and Kuang Du and Zhi Wei and Haoran Liu and Chenguang Qin and Ran Zhu}, editor = {Martin Ester and Dino Pedreschi}, title = {Modeling Item-specific Effects for Video Click}, booktitle = {Proceedings of the 2018 {SIAM} International Conference on Data Mining, {SDM} 2018, May 3-5, 2018, San Diego Marriott Mission Valley, San Diego, CA, {USA}}, pages = {639--647}, publisher = {{SIAM}}, year = {2018}, url = {https://doi.org/10.1137/1.9781611975321.72}, doi = {10.1137/1.9781611975321.72}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sdm/TanDWLQZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/mc/18/ChenCSHLLH18, author = {Kuan{-}Ta Chen and Wei Cai and Ryan Shea and Chun{-}Ying Huang and Jiangchuan Liu and Victor C. M. Leung and Cheng{-}Hsin Hsu}, editor = {Shih{-}Fu Chang}, title = {Cloud gaming}, booktitle = {Frontiers of Multimedia Research}, pages = {287--314}, publisher = {{ACM} / Morgan {\&} Claypool}, year = {2018}, url = {https://doi.org/10.1145/3122865.3122877}, doi = {10.1145/3122865.3122877}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/mc/18/ChenCSHLLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-04234, author = {Shitao Tang and Litong Feng and Zhanghui Kuang and Yimin Chen and Wei Zhang}, title = {Fast Video Shot Transition Localization with Deep Structured Models}, journal = {CoRR}, volume = {abs/1808.04234}, year = {2018}, url = {http://arxiv.org/abs/1808.04234}, eprinttype = {arXiv}, eprint = {1808.04234}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-04234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/WangCCL17, author = {Ming{-}Hung Wang and Alex Chuan{-}Hsien Chang and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Estimating Ideological Scores of Facebook Pages: An Empirical Study in Taiwan}, journal = {Comput. J.}, volume = {60}, number = {11}, pages = {1675--1686}, year = {2017}, url = {https://doi.org/10.1093/comjnl/bxx045}, doi = {10.1093/COMJNL/BXX045}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/WangCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/KuangLTC17, author = {Zhufang Kuang and Gongqiang Li and Junshan Tan and Zhigang Chen}, title = {High Throughput and Acceptance Ratio Multipath Routing Algorithm in Cognitive Wireless Mesh Network}, journal = {Future Internet}, volume = {9}, number = {4}, pages = {91}, year = {2017}, url = {https://doi.org/10.3390/fi9040091}, doi = {10.3390/FI9040091}, timestamp = {Fri, 20 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/KuangLTC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/KaoCLLSCLL17, author = {Jui{-}Hung Kao and Ta{-}Chien Chan and Feipei Lai and Bo{-}Cheng Lin and Wei{-}Zen Sun and Kuan{-}Wu Chang and Fang{-}Yie Leu and Jeng{-}Wei Lin}, title = {Spatial analysis and data mining techniques for identifying risk factors of Out-of-Hospital Cardiac Arrest}, journal = {Int. J. Inf. Manag.}, volume = {37}, number = {1}, pages = {1528--1538}, year = {2017}, url = {https://doi.org/10.1016/j.ijinfomgt.2016.04.008}, doi = {10.1016/J.IJINFOMGT.2016.04.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/KaoCLLSCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/ChenKT17, author = {Yaping Chen and Yangyu Kuang and Huazhong Tang}, title = {Second-order accurate genuine {BGK} schemes for the ultra-relativistic flow simulations}, journal = {J. Comput. Phys.}, volume = {349}, pages = {300--327}, year = {2017}, url = {https://doi.org/10.1016/j.jcp.2017.08.022}, doi = {10.1016/J.JCP.2017.08.022}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/ChenKT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/KuoTHC17, author = {Kuang{-}Ming Kuo and Paul C. Talley and Ming{-}Chien Hung and Yen{-}Liang Chen}, title = {A Deterrence Approach to Regulate Nurses' Compliance with Electronic Medical Records Privacy Policy}, journal = {J. Medical Syst.}, volume = {41}, number = {12}, pages = {198:1--198:10}, year = {2017}, url = {https://doi.org/10.1007/s10916-017-0833-1}, doi = {10.1007/S10916-017-0833-1}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/KuoTHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PanLZCSKCLDC17, author = {Tao Pan and Dengsheng Lu and Chi Zhang and Xi Chen and Hua Shao and Wenhui Kuang and Wenfeng Chi and Zhengjia Liu and Guoming Du and Liangzhong Cao}, title = {Urban Land-Cover Dynamics in Arid China Based on High-Resolution Urban Land Mapping Products}, journal = {Remote. Sens.}, volume = {9}, number = {7}, pages = {730}, year = {2017}, url = {https://doi.org/10.3390/rs9070730}, doi = {10.3390/RS9070730}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/PanLZCSKCLDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/TangCC17, author = {Muh{-}Chyun Tang and Yun Jen Cheng and Kuang{-}hua Chen}, title = {A longitudinal study of intellectual cohesion in digital humanities using bibliometric analyses}, journal = {Scientometrics}, volume = {113}, number = {2}, pages = {985--1008}, year = {2017}, url = {https://doi.org/10.1007/s11192-017-2496-6}, doi = {10.1007/S11192-017-2496-6}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scientometrics/TangCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehYWTWCXCYL17, author = {Kun{-}Ying Yeh and Chao{-}Chi Yeh and Chun{-}Chang Wu and Kuan Tang and Jyun{-}Yi Wu and Yun{-}Ting Chen and Ming{-}Xin Xu and Yunn{-}Jy Chen and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {A Wireless Monitoring System Using a Tunneling Sensor Array in a Smart Oral Appliance for Sleep Apnea Treatment}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2358}, year = {2017}, url = {https://doi.org/10.3390/s17102358}, doi = {10.3390/S17102358}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YehYWTWCXCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WuCTLC17, author = {Shan{-}Hung Wu and Man{-}Ju Chou and Chun{-}Hsiung Tseng and Yuh{-}Jye Lee and Kuan{-}Ta Chen}, title = {Detecting In Situ Identity Fraud on Social Network Services: {A} Case Study With Facebook}, journal = {{IEEE} Syst. J.}, volume = {11}, number = {4}, pages = {2432--2443}, year = {2017}, url = {https://doi.org/10.1109/JSYST.2015.2504102}, doi = {10.1109/JSYST.2015.2504102}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WuCTLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LoCKLC17, author = {Chi{-}Lun Lo and Chi{-}Hua Chen and Ta{-}Sheng Kuan and Kuen{-}Rong Lo and Hsun{-}Jung Cho}, title = {Fuel Consumption Estimation System and Method with Lower Cost}, journal = {Symmetry}, volume = {9}, number = {7}, pages = {105}, year = {2017}, url = {https://doi.org/10.3390/sym9070105}, doi = {10.3390/SYM9070105}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/LoCKLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/TaiHCCY17, author = {Kuang{-}Han Tai and Min{-}Yuan Hsieh and Mei{-}Juan Chen and Chia{-}Yen Chen and Chia{-}Hung Yeh}, title = {A Fast {HEVC} Encoding Method Using Depth Information of Collocated CUs and {RD} Cost Characteristics of {PU} Modes}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {4}, pages = {680--692}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2722239}, doi = {10.1109/TBC.2017.2722239}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/TaiHCCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/GohATMWG17, author = {Sim Kuan Goh and Hussein A. Abbass and Kay Chen Tan and Abdullah Al Mamun and Chuanchu Wang and Cuntai Guan}, title = {Automatic {EEG} Artifact Removal Techniques by Detecting Influential Independent Components}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {1}, number = {4}, pages = {270--279}, year = {2017}, url = {https://doi.org/10.1109/TETCI.2017.2690913}, doi = {10.1109/TETCI.2017.2690913}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/GohATMWG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/HuangLLTC17, author = {Pin{-}Cheng Huang and Jie{-}Ru Lin and Gwo{-}Long Li and Kuang{-}Han Tai and Mei{-}Juan Chen}, title = {Improved Depth-Assisted Error Concealment Algorithm for 3D Video Transmission}, journal = {{IEEE} Trans. Multim.}, volume = {19}, number = {11}, pages = {2625--2632}, year = {2017}, url = {https://doi.org/10.1109/TMM.2017.2694218}, doi = {10.1109/TMM.2017.2694218}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/HuangLLTC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/KuoCC17, author = {Nathan Kuo and Chun{-}Ming Chang and Kuan{-}Ta Chen}, editor = {Ngoc Thanh Nguyen and Satoshi Tojo and Le Minh Nguyen and Bogdan Trawinski}, title = {Exploring Spatial and Social Factors of Crime: {A} Case Study of Taipei City}, booktitle = {Intelligent Information and Database Systems - 9th Asian Conference, {ACIIDS} 2017, Kanazawa, Japan, April 3-5, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10192}, pages = {3--13}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-54430-4\_1}, doi = {10.1007/978-3-319-54430-4\_1}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/KuoCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/TangC17, author = {Muh{-}Chyun Tang and Kuang{-}hua Chen}, editor = {Rhian Lewis and Cecily Raynor and Dominic Forest and Michael Sinatra and St{\'{e}}fan Sinclair}, title = {A cross-language co-word network comparison of Buddhist literature in Digital Library and Museum of Buddhist study}, booktitle = {12th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2017, Montr{\'{e}}al, Canada, August 8-11, 2017, Conference Abstracts}, publisher = {Alliance of Digital Humanities Organizations {(ADHO)}}, year = {2017}, url = {https://dh2017.adho.org/abstracts/293/293.pdf}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dihu/TangC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ComiterCKTTWLCK17, author = {Marcus Z. Comiter and Michael B. Crouse and H. T. Kung and Jenn{-}Hwan Tarng and Zuo{-}Min Tsai and Wei{-}Ting Wu and Ta{-}Sung Lee and M.{-}C. Frank Chang and Yen{-}Cheng Kuan}, title = {Millimeter-Wave Field Experiments with Many Antenna Configurations for Indoor Multipath Environments}, booktitle = {2017 {IEEE} Globecom Workshops, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOMW.2017.8269033}, doi = {10.1109/GLOCOMW.2017.8269033}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ComiterCKTTWLCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/ZhangJL017, author = {Xiaoyu Zhang and Tao Jiang and Kuan{-}Ching Li and Xiaofeng Chen}, editor = {Man Ho Allen Au and Arcangelo Castiglione and Kim{-}Kwang Raymond Choo and Francesco Palmieri and Kuan{-}Ching Li}, title = {New Publicly Verifiable Computation for Batch Matrix Multiplication}, booktitle = {Green, Pervasive, and Cloud Computing - 12th International Conference, {GPC} 2017, Cetara, Italy, May 11-14, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10232}, pages = {53--65}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57186-7\_5}, doi = {10.1007/978-3-319-57186-7\_5}, timestamp = {Wed, 06 Jan 2021 11:52:38 +0100}, biburl = {https://dblp.org/rec/conf/gpc/ZhangJL017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/HsuCTHC17, author = {Chi{-}Yueh Hsu and Jong{-}Shin Chen and Kuan{-}Chuan Tao and Bo{-}Jun He and Han Guo Ciang}, title = {A survey of the distribution of tea in Taiwan by the well-known social network}, booktitle = {{IEEE} 8th International Conference on Awareness Science and Technology, iCAST 2017, Taichung, Taiwan, November 8-10, 2017}, pages = {176--181}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICAwST.2017.8256440}, doi = {10.1109/ICAWST.2017.8256440}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/HsuCTHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/ChengCLT17, author = {Jieren Cheng and Kuanqi Cai and Boyi Liu and Xiangyan Tang}, editor = {Xingming Sun and Han{-}Chieh Chao and Xingang You and Elisa Bertino}, title = {Design and Test of the Intelligent Rubber Tapping Technology Evaluation Equipment Based on Cloud Model}, booktitle = {Cloud Computing and Security - Third International Conference, {ICCCS} 2017, Nanjing, China, June 16-18, 2017, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10602}, pages = {275--287}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68505-2\_24}, doi = {10.1007/978-3-319-68505-2\_24}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/ChengCLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/YehTWK17, author = {Jui{-}Feng Yeh and Jian{-}Cheng Tsai and Bo{-}Wei Wu and Tai{-}You Kuang}, editor = {Chao{-}Hong Liu and Preslav Nakov and Nianwen Xue}, title = {{NCYU} at {IJCNLP-2017} Task 2: Dimensional Sentiment Analysis for Chinese Phrases using Vector Representations}, booktitle = {Proceedings of the {IJCNLP} 2017, Shared Tasks, Taipei, Taiwan, November 27 - December 1, 2017, Shared Tasks}, pages = {112--117}, publisher = {Asian Federation of Natural Language Processing}, year = {2017}, url = {https://aclanthology.org/I17-4018/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/YehTWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsuCHHLC17, author = {Chih{-}Fan Hsu and Anthony Chen and Cheng{-}Hsin Hsu and Chun{-}Ying Huang and Chin{-}Laung Lei and Kuan{-}Ta Chen}, editor = {Qiong Liu and Rainer Lienhart and Haohong Wang and Sheng{-}Wei "Kuan{-}Ta" Chen and Susanne Boll and Yi{-}Ping Phoebe Chen and Gerald Friedland and Jia Li and Shuicheng Yan}, title = {Is Foveated Rendering Perceivable in Virtual Reality?: Exploring the Efficiency and Consistency of Quality Assessment Methods}, booktitle = {Proceedings of the 2017 {ACM} on Multimedia Conference, {MM} 2017, Mountain View, CA, USA, October 23-27, 2017}, pages = {55--63}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123266.3123434}, doi = {10.1145/3123266.3123434}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HsuCHHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HsuC17, author = {Hwai{-}Jung Hsu and Kuan{-}Ta Chen}, title = {DroneFace: An Open Dataset for Drone Research}, booktitle = {Proceedings of the 8th {ACM} on Multimedia Systems Conference, MMSys 2017, Taipei, Taiwan, June 20-23, 2017}, pages = {187--192}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083187.3083214}, doi = {10.1145/3083187.3083214}, timestamp = {Tue, 06 Nov 2018 11:07:10 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/HsuC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/LoFLHCH17, author = {Wen{-}Chih Lo and Ching{-}Ling Fan and Jean Lee and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, title = {360{\textdegree} Video Viewing Dataset in Head-Mounted Virtual Reality}, booktitle = {Proceedings of the 8th {ACM} on Multimedia Systems Conference, MMSys 2017, Taipei, Taiwan, June 20-23, 2017}, pages = {211--216}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083187.3083219}, doi = {10.1145/3083187.3083219}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/LoFLHCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nctcs/LiuCCST17, author = {Boyi Liu and Jieren Cheng and Kuanqi Cai and Pengchao Shi and Xiangyan Tang}, editor = {Ding{-}Zhu Du and Lian Li and En Zhu and Kun He}, title = {Singular Point Probability Improve {LSTM} Network Performance for Long-term Traffic Flow Prediction}, booktitle = {Theoretical Computer Science - 35th National Conference, {NCTCS} 2017, Wuhan, China, October 14-15, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {768}, pages = {328--340}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6893-5\_24}, doi = {10.1007/978-981-10-6893-5\_24}, timestamp = {Mon, 09 Jul 2018 14:29:10 +0200}, biburl = {https://dblp.org/rec/conf/nctcs/LiuCCST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/FanLLHCH17, author = {Ching{-}Ling Fan and Jean Lee and Wen{-}Chih Lo and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, title = {Fixation Prediction for 360{\textdegree} Video Streaming in Head-Mounted Virtual Reality}, booktitle = {Proceedings of the 27th Workshop on Network and Operating Systems Support for Digital Audio and Video, {NOSSDAV} 2017, Taipei, Taiwan, June 20-23, 2017}, pages = {67--72}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083165.3083180}, doi = {10.1145/3083165.3083180}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nossdav/FanLLHCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/TengOC17, author = {Shan{-}Yun Teng and Cheng{-}Kuan Ou and Kun{-}Ta Chuang}, editor = {Jinho Kim and Kyuseok Shim and Longbing Cao and Jae{-}Gil Lee and Xuemin Lin and Yang{-}Sae Moon}, title = {Mining Temporal Fluctuating Patterns}, booktitle = {Advances in Knowledge Discovery and Data Mining - 21st Pacific-Asia Conference, {PAKDD} 2017, Jeju, South Korea, May 23-26, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10234}, pages = {773--785}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57454-7\_60}, doi = {10.1007/978-3-319-57454-7\_60}, timestamp = {Mon, 16 Mar 2020 17:44:09 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/TengOC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenHCWTY17, author = {Lieu{-}Hen Chen and Hao{-}Ming Hung and Chun{-}Yu Chen and Hsiao{-}Kuang Wu and Yasufumi Takama and Toru Yamaguchi}, title = {3D Visualization of Earthquake Big Data}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2017, Taipei, Taiwan, December 1-3, 2017}, pages = {72--75}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/TAAI.2017.45}, doi = {10.1109/TAAI.2017.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/ChenHCWTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/TangKWXGCFLW17, author = {Zhanyong Tang and Kaiyuan Kuang and Lei Wang and Chao Xue and Xiaoqing Gong and Xiaojiang Chen and Dingyi Fang and Jie Liu and Zheng Wang}, title = {{SEEAD:} {A} Semantic-Based Approach for Automatic Binary Code De-obfuscation}, booktitle = {2017 {IEEE} Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017}, pages = {261--268}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/Trustcom/BigDataSE/ICESS.2017.246}, doi = {10.1109/TRUSTCOM/BIGDATASE/ICESS.2017.246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/TangKWXGCFLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KuangTGFCZLW17, author = {Kaiyuan Kuang and Zhanyong Tang and Xiaoqing Gong and Dingyi Fang and Xiaojiang Chen and Heng Zhang and Jie Liu and Zheng Wang}, title = {Exploit dynamic data flows to protect software against semantic attacks}, booktitle = {2017 {IEEE} SmartWorld, Ubiquitous Intelligence {\&} Computing, Advanced {\&} Trusted Computed, Scalable Computing {\&} Communications, Cloud {\&} Big Data Computing, Internet of People and Smart City Innovation, SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI 2017, San Francisco, CA, USA, August 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/UIC-ATC.2017.8397540}, doi = {10.1109/UIC-ATC.2017.8397540}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uic/KuangTGFCZLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ParkCKC17, author = {Kunwoo Park and Meeyoung Cha and Haewoon Kwak and Kuan{-}Ta Chen}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {Achievement and Friends: Key Factors of Player Retention Vary Across Player Levels in Online Multiplayer Games}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {445--453}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3054176}, doi = {10.1145/3041021.3054176}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ParkCKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2017, editor = {Qiong Liu and Rainer Lienhart and Haohong Wang and Sheng{-}Wei "Kuan{-}Ta" Chen and Susanne Boll and Yi{-}Ping Phoebe Chen and Gerald Friedland and Jia Li and Shuicheng Yan}, title = {Proceedings of the 2017 {ACM} on Multimedia Conference, {MM} 2017, Mountain View, CA, USA, October 23-27, 2017}, publisher = {{ACM}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3123266}, isbn = {978-1-4503-4906-2}, timestamp = {Fri, 20 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ParkCKC17, author = {Kunwoo Park and Meeyoung Cha and Haewoon Kwak and Kuan{-}Ta Chen}, title = {Achievement and Friends: Key Factors of Player Retention Vary Across Player Levels in Online Multiplayer Games}, journal = {CoRR}, volume = {abs/1702.08005}, year = {2017}, url = {http://arxiv.org/abs/1702.08005}, eprinttype = {arXiv}, eprint = {1702.08005}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ParkCKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CaiSHCLLH16, author = {Wei Cai and Ryan Shea and Chun{-}Ying Huang and Kuan{-}Ta Chen and Jiangchuan Liu and Victor C. M. Leung and Cheng{-}Hsin Hsu}, title = {A Survey on Cloud Gaming: Future of Computer Games}, journal = {{IEEE} Access}, volume = {4}, pages = {7605--7620}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2590500}, doi = {10.1109/ACCESS.2016.2590500}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CaiSHCLLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/KaoCC16, author = {Lie{-}Jane Kao and Tai{-}Yuan Chen and Kuang{-}Chao Chang}, title = {Efficient Mixture Design Fitting Quadratic Surface with Quantile Responses Using First-degree Polynomial}, journal = {Commun. Stat. Simul. Comput.}, volume = {45}, number = {4}, pages = {1365--1380}, year = {2016}, url = {https://doi.org/10.1080/03610918.2013.833228}, doi = {10.1080/03610918.2013.833228}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/KaoCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/LaiTWAC16, author = {Wei Kuang Lai and Chih Kun Tai and Tin{-}Yu Wu and Alagan Anpalagan and Jian Zhi Chen}, title = {{PBMP:} priority-based multi-path packet routing for vehicular ad hoc network system in city environment}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {27}, number = {10}, pages = {1331--1344}, year = {2016}, url = {https://doi.org/10.1002/ett.2909}, doi = {10.1002/ETT.2909}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/LaiTWAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ChenLKL16, author = {Chi{-}Hua Chen and Jia{-}Hong Lin and Ta{-}Sheng Kuan and Kuen{-}Rong Lo}, title = {A High-Efficiency Method of Mobile Positioning Based on Commercial Vehicle Operation Data}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {5}, number = {6}, pages = {82}, year = {2016}, url = {https://doi.org/10.3390/ijgi5060082}, doi = {10.3390/IJGI5060082}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ChenLKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/DingZRJHC16, author = {Yongsheng Ding and Tao Zhang and Lihong Ren and Yaochu Jin and Kuangrong Hao and Lei Chen}, title = {Immune-inspired self-adaptive collaborative control allocation for multi-level stretching processes}, journal = {Inf. Sci.}, volume = {342}, pages = {81--95}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2015.12.029}, doi = {10.1016/J.INS.2015.12.029}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/DingZRJHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCSHLLYHWTTH16, author = {Chih{-}Hung Chou and Nai{-}Wen Chang and Sirjana Shrestha and Sheng{-}Da Hsu and Yu{-}Ling Lin and Wei{-}Hsiang Lee and Chi{-}Dung Yang and Hsiao{-}Chin Hong and Ting{-}Yen Wei and Siang{-}Jyun Tu and Tzi{-}Ren Tsai and Shu{-}Yi Ho and Ting{-}Yan Jian and Hsin{-}Yi Wu and Pin{-}Rong Chen and Nai{-}Chieh Lin and Hsin{-}Tzu Huang and Tzu{-}Ling Yang and Chung{-}Yuan Pai and Chun{-}San Tai and Wen{-}Liang Chen and Chia{-}Yen Huang and Chun{-}Chi Liu and Shun{-}Long Weng and Kuang{-}Wen Liao and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase 2016: updates to the experimentally validated miRNA-target interactions database}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {239--247}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1258}, doi = {10.1093/NAR/GKV1258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCSHLLYHWTTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/CaiSHCLLH16, author = {Wei Cai and Ryan Shea and Chun{-}Ying Huang and Kuan{-}Ta Chen and Jiangchuan Liu and Victor C. M. Leung and Cheng{-}Hsin Hsu}, title = {The Future of Cloud Gaming [Point of View]}, journal = {Proc. {IEEE}}, volume = {104}, number = {4}, pages = {687--691}, year = {2016}, url = {https://doi.org/10.1109/JPROC.2016.2539418}, doi = {10.1109/JPROC.2016.2539418}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/CaiSHCLLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/GohATM16, author = {Sim Kuan Goh and Hussein A. Abbass and Kay Chen Tan and Abdullah Al Mamun}, title = {Decompositional independent component analysis using multi-objective optimization}, journal = {Soft Comput.}, volume = {20}, number = {4}, pages = {1289--1304}, year = {2016}, url = {https://doi.org/10.1007/s00500-015-1587-7}, doi = {10.1007/S00500-015-1587-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/GohATM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/ChenALK16, author = {Kuan{-}Ta Chen and Omar Alonso and Martha A. Larson and Irwin King}, title = {Introduction to the Special Issue on Crowd in Intelligent Systems}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {7}, number = {4}, pages = {44:1--44:2}, year = {2016}, url = {https://doi.org/10.1145/2920522}, doi = {10.1145/2920522}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/ChenALK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/HsuFTHHC16, author = {Chih{-}Fan Hsu and Ching{-}Ling Fan and Tsung{-}Han Tsai and Chun{-}Ying Huang and Cheng{-}Hsin Hsu and Kuan{-}Ta Chen}, title = {Toward an Adaptive Screencast Platform: Measurement and Optimization}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {12}, number = {5s}, pages = {79:1--79:23}, year = {2016}, url = {https://doi.org/10.1145/2886778}, doi = {10.1145/2886778}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/HsuFTHHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChouKBCJPW16, author = {Chih{-}Hung Chou and Ta{-}Wen Kuan and Shovan Barma and Bo{-}Wei Chen and Wen Ji and Chih{-}Hsiang Peng and Jhing{-}Fa Wang}, title = {A New Binary-Halved Clustering Method and {ERT} Processor for {ASSR} System}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {5}, pages = {1871--1884}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2479259}, doi = {10.1109/TVLSI.2015.2479259}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChouKBCJPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinCT16, author = {Kuan{-}Ting Lin and Yu{-}Wei Cheng and Kea{-}Tiong Tang}, title = {A 0.5 {V} 1.28-MS/s 4.68-fJ/Conversion-Step {SAR} {ADC} With Energy-Efficient {DAC} and Trilevel Switching Scheme}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {4}, pages = {1441--1449}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2448575}, doi = {10.1109/TVLSI.2015.2448575}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/TangCCH16, author = {Muh{-}Chyun Tang and Yun Jen Cheng and Kuang{-}hua Chen and Jieh Hsiang}, editor = {Maciej Eder and Jan Rybicki}, title = {A Study of Knowledge Integration in Digital Humanities Based on Bibliographic Analysis}, booktitle = {11th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2016, Krakow, Poland, July 11-16, 2016, Conference Abstracts}, pages = {690--692}, publisher = {Alliance of Digital Humanities Organizations {(ADHO)}}, year = {2016}, url = {http://dh2016.adho.org/abstracts/312}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dihu/TangCCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/ChenTC16, author = {Po{-}Heng Chen and Yi{-}Pei Tu and Kuan{-}Ta Chen}, title = {On the Tiny Yet Real Happiness Phenomenon in the Mobile Games Market}, booktitle = {2016 {IEEE} International Conference on Data Science and Advanced Analytics, {DSAA} 2016, Montreal, QC, Canada, October 17-19, 2016}, pages = {672--681}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/DSAA.2016.76}, doi = {10.1109/DSAA.2016.76}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/ChenTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenTLZZ16, author = {Ting Chen and Haiyang Tang and Xiaodong Lin and Kuang Zhou and Xiaosong Zhang}, title = {Silent Battery Draining Attack against Android Systems by Subverting Doze Mode}, booktitle = {2016 {IEEE} Global Communications Conference, {GLOBECOM} 2016, Washington, DC, USA, December 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GLOCOM.2016.7842268}, doi = {10.1109/GLOCOM.2016.7842268}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenTLZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenLJWHH16, author = {Hou{-}Ming Chen and Kuang{-}Hao Lin and Shih{-}Han Jheng and Tai{-}Jhen Wang and Yi{-}Rong Huang and Xian{-}Ji Huang}, title = {An accuracy temperature sensor for 3D printing technology}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7520992}, doi = {10.1109/ICCE-TW.2016.7520992}, timestamp = {Mon, 29 Nov 2021 08:26:42 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenLJWHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/YingZHCT16, author = {Josh Jia{-}Ching Ying and Ji Zhang and Che{-}Wei Huang and Kuan{-}Ta Chen and Vincent S. Tseng}, title = {PFrauDetector: {A} Parallelized Graph Mining Approach for Efficient Fraudulent Phone Call Detection}, booktitle = {22nd {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2016, Wuhan, China, December 13-16, 2016}, pages = {1059--1066}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICPADS.2016.0140}, doi = {10.1109/ICPADS.2016.0140}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/YingZHCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/GohATMGW16, author = {Sim Kuan Goh and Hussein A. Abbass and Kay Chen Tan and Abdullah Al Mamun and Cuntai Guan and Chuanchu Wang}, title = {Multiway analysis of {EEG} artifacts based on Block Term Decomposition}, booktitle = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {913--920}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IJCNN.2016.7727296}, doi = {10.1109/IJCNN.2016.7727296}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/GohATMGW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHWCSL16, author = {Gwo Giun Chris Lee and Shi{-}Yu Hung and Tai{-}Ping Wang and Chun{-}Fu (Richard) Chen and Chi{-}Kuang Sun and Yi{-}Hua Liao}, title = {Efficient nuclei segmentation based on spectral graph partitioning}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2723--2726}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539155}, doi = {10.1109/ISCAS.2016.7539155}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHWCSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/ChongONGEC16, author = {Zan{-}Kai Chong and Hiroyuki Ohsaki and Cheng{-}Kuan Bryan Ng and Bok{-}Min Goi and Hong{-}Tat Ewe and Sin{-}Ran Chong}, title = {Improving Reliable Transmission Throughput with Systematic Random Code}, booktitle = {41st {IEEE} Conference on Local Computer Networks, {LCN} 2016, Dubai, United Arab Emirates, November 7-10, 2016}, pages = {539--542}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/LCN.2016.87}, doi = {10.1109/LCN.2016.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/ChongONGEC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChangHHC16, author = {Chun{-}Ming Chang and Cheng{-}Hsin Hsu and Chih{-}Fan Hsu and Kuan{-}Ta Chen}, editor = {Alan Hanjalic and Cees Snoek and Marcel Worring and Dick C. A. Bulterman and Benoit Huet and Aisling Kelliher and Yiannis Kompatsiaris and Jin Li}, title = {Performance Measurements of Virtual Reality Systems: Quantifying the Timing and Positioning Accuracy}, booktitle = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, pages = {655--659}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2964284.2967303}, doi = {10.1145/2964284.2967303}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChangHHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HuangFHCTCH16, author = {Chun{-}Ying Huang and Ching{-}Ling Fan and Chih{-}Fan Hsu and Hsin{-}Yu Chang and Tsung{-}Han Tsai and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, editor = {Alan Hanjalic and Cees Snoek and Marcel Worring and Dick C. A. Bulterman and Benoit Huet and Aisling Kelliher and Yiannis Kompatsiaris and Jin Li}, title = {Smart Beholder: An Extensible Smart Lens Platform}, booktitle = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, pages = {1164--1168}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2964284.2973793}, doi = {10.1145/2964284.2973793}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HuangFHCTCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WangFHCH16, author = {Pin{-}Chun Wang and Ching{-}Ling Fan and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, editor = {Alan Hanjalic and Cees Snoek and Marcel Worring and Dick C. A. Bulterman and Benoit Huet and Aisling Kelliher and Yiannis Kompatsiaris and Jin Li}, title = {Towards Ultra-Low-Bitrate Video Conferencing Using Facial Landmarks}, booktitle = {Proceedings of the 2016 {ACM} Conference on Multimedia Conference, {MM} 2016, Amsterdam, The Netherlands, October 15-19, 2016}, pages = {561--565}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2964284.2967284}, doi = {10.1145/2964284.2967284}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/WangFHCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/HuLCTD16, author = {Ya{-}Han Hu and Pei{-}Ju Lee and Kuanchin Chen and J. Michael Tarn and Duyen{-}Vi Dang}, editor = {Ting{-}Peng Liang and Shin{-}Yuan Hung and Patrick Y. K. Chau and She{-}I Chang}, title = {Hotel Recommendation System based on Review and Context Information: a Collaborative filtering Appro}, booktitle = {20th Pacific Asia Conference on Information Systems, {PACIS} 2016, Chiayi, Taiwan, June 27 - July 1, 2016}, pages = {221}, year = {2016}, url = {http://aisel.aisnet.org/pacis2016/221}, timestamp = {Tue, 08 Nov 2016 09:28:09 +0100}, biburl = {https://dblp.org/rec/conf/pacis/HuLCTD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/HungHLCT16, author = {Shin{-}Yuan Hung and Yu{-}Wen Huang and Ching{-}Chieh Lin and Kuanchin Chen and J. Michael Tarn}, editor = {Ting{-}Peng Liang and Shin{-}Yuan Hung and Patrick Y. K. Chau and She{-}I Chang}, title = {Factors Influencing Business Intelligence Systems Implementation Success in the Enterprises}, booktitle = {20th Pacific Asia Conference on Information Systems, {PACIS} 2016, Chiayi, Taiwan, June 27 - July 1, 2016}, pages = {297}, year = {2016}, url = {http://aisel.aisnet.org/pacis2016/297}, timestamp = {Tue, 08 Nov 2016 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pacis/HungHLCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/KuangTGFCXYZW16, author = {Kaiyuan Kuang and Zhanyong Tang and Xiaoqing Gong and Dingyi Fang and Xiaojiang Chen and Tianzhang Xing and Guixin Ye and Jie Zhang and Zheng Wang}, title = {Exploiting Dynamic Scheduling for VM-Based Code Obfuscation}, booktitle = {2016 {IEEE} Trustcom/BigDataSE/ISPA, Tianjin, China, August 23-26, 2016}, pages = {489--496}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TrustCom.2016.0101}, doi = {10.1109/TRUSTCOM.2016.0101}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/KuangTGFCXYZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/OlenskyTC16, author = {Marlies Olensky and Tsung{-}Han Tsai and Kuan{-}Ta Chen}, editor = {Jacqueline Bourdeau and Jim Hendler and Roger Nkambou and Ian Horrocks and Ben Y. Zhao}, title = {H-index Sequences across Fields: {A} Comparative Analysis}, booktitle = {Proceedings of the 25th International Conference on World Wide Web, {WWW} 2016, Montreal, Canada, April 11-15, 2016, Companion Volume}, pages = {407--412}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2872518.2890520}, doi = {10.1145/2872518.2890520}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/OlenskyTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisys/2016dronet, editor = {Kuan{-}Ta Chen and Karin Anna Hummel and Claudio E. Palazzi}, title = {Proceedings of the 2nd Workshop on Micro Aerial Vehicle Networks, Systems, and Applications for Civilian Use, DroNet@MobiSys 2016, Singapore, June 26, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2935620}, doi = {10.1145/2935620}, isbn = {978-1-4503-4405-0}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/2016dronet.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/ChengLHTL15, author = {Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k and Lih{-}Hsing Hsu and Jimmy J. M. Tan and Cheng{-}Kuan Lin}, title = {Conditional Matching Preclusion For The Star Graphs}, journal = {Ars Comb.}, volume = {120}, pages = {369--382}, year = {2015}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arscom/ChengLHTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChongGONE15, author = {Zan{-}Kai Chong and Bok{-}Min Goi and Hiroyuki Ohsaki and Cheng{-}Kuan Bryan Ng and Hong{-}Tat Ewe}, title = {Systematic rateless erasure code for short messages transmission}, journal = {Comput. Electr. Eng.}, volume = {45}, pages = {55--67}, year = {2015}, url = {https://doi.org/10.1016/j.compeleceng.2015.06.003}, doi = {10.1016/J.COMPELECENG.2015.06.003}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/ChongGONE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenLCTW15, author = {Chiu{-}Hung Chen and Tung{-}Kuan Liu and Jyh{-}Horng Chou and Chung{-}Hung Tasi and Hsiu Wang}, title = {Optimization of teacher volunteer transferring problems using greedy genetic algorithms}, journal = {Expert Syst. Appl.}, volume = {42}, number = {1}, pages = {668--678}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2014.08.020}, doi = {10.1016/J.ESWA.2014.08.020}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenLCTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/ChouKLCW15, author = {Chih{-}Hung Chou and Ta{-}Wen Kuan and Po{-}Chuan Lin and Bo{-}Wei Chen and Jhing{-}Fa Wang}, title = {Memory-efficient buffering method and enhanced reference template for embedded automatic speech recognition system}, journal = {{IET} Comput. Digit. Tech.}, volume = {9}, number = {3}, pages = {153--164}, year = {2015}, url = {https://doi.org/10.1049/iet-cdt.2014.0008}, doi = {10.1049/IET-CDT.2014.0008}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/ChouKLCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/ChongGONE15, author = {Zan{-}Kai Chong and Bok{-}Min Goi and Hiroyuki Ohsaki and Cheng{-}Kuan Bryan Ng and Hong{-}Tat Ewe}, title = {Improving the probability of complete decoding of random code by trading-off computational complexity}, journal = {{IET} Commun.}, volume = {9}, number = {18}, pages = {2281--2286}, year = {2015}, url = {https://doi.org/10.1049/iet-com.2015.0295}, doi = {10.1049/IET-COM.2015.0295}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/ChongGONE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/LiCYT15, author = {Mian{-}Shiuan Li and Mei{-}Juan Chen and Chia{-}Hung Yeh and Kuang{-}Han Tai}, title = {Performance improvement of multi-view video coding based on geometric prediction and human visual system}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {1}, pages = {41--49}, year = {2015}, url = {https://doi.org/10.1002/ima.22119}, doi = {10.1002/IMA.22119}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/LiCYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChenHWC15, author = {Tsung{-}Teng Chen and Chun{-}Ying Huang and Chen{-}Chi Wu and Kuan{-}Ta Chen}, title = {Physical Presence Verification: {A} Test to Detect Whether {A} Computer Is Remotely Controlled}, journal = {J. Inf. Sci. Eng.}, volume = {31}, number = {3}, pages = {943--963}, year = {2015}, url = {http://www.iis.sinica.edu.tw/page/jise/2015/201505\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChenHWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/TzengKTCW15, author = {Wen{-}Sheng Tzeng and Kuang{-}Ming Kuo and Paul C. Talley and Hsiu{-}Chin Chen and Jhi{-}Joung Wang}, title = {Do ePortfolios Contribute to Learners' Reflective Thinking Activities? : {A} Preliminary Study of Nursing Staff Users}, journal = {J. Medical Syst.}, volume = {39}, number = {9}, pages = {100:1--100:10}, year = {2015}, url = {https://doi.org/10.1007/s10916-015-0281-8}, doi = {10.1007/S10916-015-0281-8}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/TzengKTCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/WuTLCT15, author = {Jian{-}Sheng Wu and Kuang{-}Han Tai and Gwo{-}Long Li and Mei{-}Juan Chen and Yung{-}Hsiang Tang}, title = {Effective computation-aware algorithm by inter-layer motion analysis for scalable video coding}, journal = {J. Vis. Commun. Image Represent.}, volume = {32}, pages = {107--119}, year = {2015}, url = {https://doi.org/10.1016/j.jvcir.2015.07.015}, doi = {10.1016/J.JVCIR.2015.07.015}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/WuTLCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/TianHDZLLZ15, author = {Ye Tian and Cheng Hu and Xichao Dong and Tao Zeng and Teng Long and Kuan Lin and Xinyu Zhang}, title = {Theoretical Analysis and Verification of Time Variation of Background Ionosphere on Geosynchronous {SAR} Imaging}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {12}, number = {4}, pages = {721--725}, year = {2015}, url = {https://doi.org/10.1109/LGRS.2014.2360235}, doi = {10.1109/LGRS.2014.2360235}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/TianHDZLLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenLZZLLZKGTL15, author = {Hanbo Chen and Tao Liu and Yu Zhao and Tuo Zhang and Yujie Li and Meng Li and Hongmiao Zhang and Hui Kuang and Lei Guo and Joe Z. Tsien and Tianming Liu}, title = {Optimization of large-scale mouse brain connectome via joint evaluation of {DTI} and neuron tracing data}, journal = {NeuroImage}, volume = {115}, pages = {202--213}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.04.050}, doi = {10.1016/J.NEUROIMAGE.2015.04.050}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenLZZLLZKGTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/program/KuoTM15, author = {Kuang{-}Ming Kuo and Paul C. Talley and Chen{-}Chung Ma}, title = {A structural model of information privacy concerns toward hospital websites}, journal = {Program}, volume = {49}, number = {3}, pages = {305--324}, year = {2015}, url = {https://doi.org/10.1108/PROG-02-2014-0014}, doi = {10.1108/PROG-02-2014-0014}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/program/KuoTM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/ChenTWH15, author = {Kuang{-}hua Chen and Muh{-}Chyun Tang and Chun{-}mei Wang and Jieh Hsiang}, title = {Exploring alternative metrics of scholarly performance in the social sciences and humanities in Taiwan}, journal = {Scientometrics}, volume = {102}, number = {1}, pages = {97--112}, year = {2015}, url = {https://doi.org/10.1007/s11192-014-1420-6}, doi = {10.1007/S11192-014-1420-6}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scientometrics/ChenTWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/SorzanoKHURHLWC15, author = {Carlos Oscar S{\'{a}}nchez Sorzano and Anik Khan and Forsad Al Hossain and Tawab Ullash and Abu Raihan and Mohammed Ariful Haque and Kai{-}Wen Liang and Yen{-}Chen Wu and Guan{-}Lin Chao and Kuan{-}Hao Huang and Shao{-}Hua Sun and Ming{-}Jen Yang and Po{-}Wen Hsiao and Ti{-}Fen Pan and Yi{-}Ching Chiu and Wei{-}Chih Tu and Shao{-}Yi Chien and Emroz Khan and Shiekh Zia Uddin and Mukhlasur Rhaman Tanvir and Md. Kamrul Hasan and Jes{\'{u}}s Cuenca Alba}, title = {Undergraduate Students Compete in the {IEEE} Signal Processing Cup: Part 2 [sp Education]}, journal = {{IEEE} Signal Process. Mag.}, volume = {32}, number = {5}, pages = {109--111}, year = {2015}, url = {https://doi.org/10.1109/MSP.2015.2435816}, doi = {10.1109/MSP.2015.2435816}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/SorzanoKHURHLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/HongCHCH15, author = {Hua{-}Jun Hong and De{-}Yu Chen and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, title = {Placing Virtual Machines to Optimize Cloud Gaming Experience}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {3}, number = {1}, pages = {42--53}, year = {2015}, url = {https://doi.org/10.1109/TCC.2014.2338295}, doi = {10.1109/TCC.2014.2338295}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/HongCHCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HongHTHCH15, author = {Hua{-}Jun Hong and Chih{-}Fan Hsu and Tsung{-}Han Tsai and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, title = {Enabling Adaptive Cloud Gaming in an Open-Source Cloud Gaming Platform}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {25}, number = {12}, pages = {2078--2091}, year = {2015}, url = {https://doi.org/10.1109/TCSVT.2015.2450173}, doi = {10.1109/TCSVT.2015.2450173}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/HongHTHCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ShirmohammadiAA15, author = {Shervin Shirmohammadi and Maha Abdallah and Dewan Tanvir Ahmed and Kuan{-}Ta Chen and Yan Lu and Alex Snyatkov}, title = {Introduction to the Special Section on Visual Computing in the Cloud: Cloud Gaming and Virtualization}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {25}, number = {12}, pages = {1955--1959}, year = {2015}, url = {https://doi.org/10.1109/TCSVT.2015.2473075}, doi = {10.1109/TCSVT.2015.2473075}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/ShirmohammadiAA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZengAHZLTL15, author = {Tao Zeng and Dongyang Ao and Cheng Hu and Tian Zhang and Feifeng Liu and Weiming Tian and Kuan Lin}, title = {Multiangle {BSAR} Imaging Based on BeiDou-2 Navigation Satellite System: Experiments and Preliminary Results}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {53}, number = {10}, pages = {5760--5773}, year = {2015}, url = {https://doi.org/10.1109/TGRS.2015.2430312}, doi = {10.1109/TGRS.2015.2430312}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ZengAHZLTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/ChenCO15, author = {Kuan{-}Ta Chen and Songqing Chen and Wei Tsang Ooi}, title = {Introduction to the Special Issue on MMSys 2014 and {NOSSDAV} 2014}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {11}, number = {2s}, pages = {41:1--41:2}, year = {2015}, url = {https://doi.org/10.1145/2717509}, doi = {10.1145/2717509}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/ChenCO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/ChenWYHS15, author = {Tseng{-}Yi Chen and Hsin{-}Wen Wei and Tsung Tai Yeh and Tsan{-}sheng Hsu and Wei{-}Kuan Shih}, title = {An Energy-Efficient and Reliable Storage Mechanism for Data-Intensive Academic Archive Systems}, journal = {{ACM} Trans. Storage}, volume = {11}, number = {2}, pages = {10:1--10:21}, year = {2015}, url = {https://doi.org/10.1145/2720021}, doi = {10.1145/2720021}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/ChenWYHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ase-bigdata/OuKWTC15, author = {Yang{-}Yen Ou and Ta{-}Wen Kuan and Jhing{-}Fa Wang and An{-}Chao Tsai and Pin{-}Chieh Chen}, title = {A {GPS} Tracking Device Embedded in Prayer Beads for Early-Stage Dementia Detection}, booktitle = {Proceedings of the {ASE} BigData {\&} SocialInformatics 2015, {ASE} BD{\&}SI 2015, Kaohsiung, Taiwan, October 7-9, 2015}, pages = {58:1--58:4}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818869.2818928}, doi = {10.1145/2818869.2818928}, timestamp = {Thu, 10 Feb 2022 12:12:52 +0100}, biburl = {https://dblp.org/rec/conf/ase-bigdata/OuKWTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/GohTMA15, author = {Sim Kuan Goh and Kay Chen Tan and Abdullah Al Mamun and Hussein A. Abbass}, title = {Evolutionary Big Optimization (BigOpt) of Signals}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2015, Sendai, Japan, May 25-28, 2015}, pages = {3332--3339}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CEC.2015.7257307}, doi = {10.1109/CEC.2015.7257307}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/GohTMA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edm/ChangHC15, author = {Haw{-}Shiuan Chang and Hwai{-}Jung Hsu and Kuan{-}Ta Chen}, editor = {Olga C. Santos and Jesus Boticario and Crist{\'{o}}bal Romero and Mykola Pechenizkiy and Agathe Merceron and Piotr Mitros and Jos{\'{e}} Mar{\'{\i}}a Luna and Marian Cristian Mihaescu and Pablo Moreno and Arnon Hershkovitz and Sebasti{\'{a}}n Ventura and Michel C. Desmarais}, title = {Modeling Exercise Relationships in E-Learning: {A} Unified Approach}, booktitle = {Proceedings of the 8th International Conference on Educational Data Mining, {EDM} 2015, Madrid, Spain, June 26-29, 2015}, pages = {532--535}, publisher = {International Educational Data Mining Society {(IEDMS)}}, year = {2015}, url = {http://www.educationaldatamining.org/EDM2015/proceedings/short532-535.pdf}, timestamp = {Tue, 23 Aug 2022 09:19:48 +0200}, biburl = {https://dblp.org/rec/conf/edm/ChangHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HeWTS15, author = {Yi{-}Ning He and Jhih{-}Ming Wang and Tien{-}Hao Tang and Kuan{-}Cheng Su}, title = {A novel high level {ESD} {FDNSCR} with drain side engineering in {PMIC} application}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112795}, doi = {10.1109/IRPS.2015.7112795}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/HeWTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KaoLLWTS15, author = {Tzu{-}Cheng Kao and Jian{-}Hsing Lee and Chen{-}Hsin Lien and Chih{-}Hsien Wang and Kuang{-}Cheng Tai and Hung{-}Der Su}, title = {Enhanced CDM-robustness for the packaged {IC} with the extra bonding wire to the die-attach plate}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112797}, doi = {10.1109/IRPS.2015.7112797}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KaoLLWTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/TsengYHKC15, author = {Vincent S. Tseng and Jia{-}Ching Ying and Che{-}Wei Huang and Yimin Kao and Kuan{-}Ta Chen}, editor = {Longbing Cao and Chengqi Zhang and Thorsten Joachims and Geoffrey I. Webb and Dragos D. Margineantu and Graham Williams}, title = {FrauDetector: {A} Graph-Mining-based Framework for Fraudulent Phone Call Detection}, booktitle = {Proceedings of the 21th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Sydney, NSW, Australia, August 10-13, 2015}, pages = {2157--2166}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2783258.2788623}, doi = {10.1145/2783258.2788623}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/TsengYHKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HuangHTFHC15, author = {Chun{-}Ying Huang and Chih{-}Fan Hsu and Tsung{-}Han Tsai and Ching{-}Ling Fan and Cheng{-}Hsin Hsu and Kuan{-}Ta Chen}, editor = {Xiaofang Zhou and Alan F. Smeaton and Qi Tian and Dick C. A. Bulterman and Heng Tao Shen and Ketan Mayer{-}Patel and Shuicheng Yan}, title = {Smart Beholder: An Open-Source Smart Lens for Mobile Photography}, booktitle = {Proceedings of the 23rd Annual {ACM} Conference on Multimedia Conference, {MM} '15, Brisbane, Australia, October 26 - 30, 2015}, pages = {351--360}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2733373.2806261}, doi = {10.1145/2733373.2806261}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HuangHTFHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HsuTHHC15, author = {Chih{-}Fan Hsu and Tsung{-}Han Tsai and Chun{-}Ying Huang and Cheng{-}Hsin Hsu and Kuan{-}Ta Chen}, editor = {Wei Tsang Ooi and Wu{-}chi Feng and Feng Liu}, title = {Screencast dissected: performance measurements and design considerations}, booktitle = {Proceedings of the 6th {ACM} Multimedia Systems Conference, MMSys 2015, Portland, OR, USA, March 18-20, 2015}, pages = {177--188}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2713168.2713176}, doi = {10.1145/2713168.2713176}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/HsuTHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ChenLC15, author = {De{-}Yu Chen and Po{-}Ching Lin and Kuan{-}Ta Chen}, editor = {Eduardo Cuervo and David Chu}, title = {Does Playing Online Mobile Games Overcharge You for the Fun?}, booktitle = {Proceedings of the 2nd Workshop on Mobile Gaming, MobiGames@MobiSys 2015, Florence, Italy, May 19, 2015}, pages = {13--18}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751496.2751499}, doi = {10.1145/2751496.2751499}, timestamp = {Tue, 06 Nov 2018 16:59:04 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/ChenLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/HsuC15, author = {Hwai{-}Jung Hsu and Kuan{-}Ta Chen}, editor = {Kuan{-}Ta Chen and Mario Gerla and Karin Anna Hummel and Claudio E. Palazzi and Sofie Pollin and James J. P. Sterbenz}, title = {Face Recognition on Drones: Issues and Limitations}, booktitle = {Proceedings of the First Workshop on Micro Aerial Vehicle Networks, Systems, and Applications for Civilian Use, DroNet@MobiSys 2015, Florence, Italy, May 18, 2015}, pages = {39--44}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2750675.2750679}, doi = {10.1145/2750675.2750679}, timestamp = {Tue, 06 Nov 2018 16:59:04 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/HsuC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/HuangHCCH15, author = {Chun{-}Ying Huang and Yu{-}Ling Huang and Yu{-}Hsuan Chi and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, editor = {Eduardo Cuervo and David Chu}, title = {To Cloud or Not to Cloud: Measuring the Performance of Mobile Gaming}, booktitle = {Proceedings of the 2nd Workshop on Mobile Gaming, MobiGames@MobiSys 2015, Florence, Italy, May 19, 2015}, pages = {19--24}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2751496.2751497}, doi = {10.1145/2751496.2751497}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/HuangHCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisys/2015dronet, editor = {Kuan{-}Ta Chen and Mario Gerla and Karin Anna Hummel and Claudio E. Palazzi and Sofie Pollin and James J. P. Sterbenz}, title = {Proceedings of the First Workshop on Micro Aerial Vehicle Networks, Systems, and Applications for Civilian Use, DroNet@MobiSys 2015, Florence, Italy, May 18, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2750675}, doi = {10.1145/2750675}, isbn = {978-1-4503-3501-0}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/2015dronet.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/LinTHCL14, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu and Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k}, title = {Conditional Fault Hamiltonicity of the Star Graph}, journal = {Ars Comb.}, volume = {113}, pages = {111--127}, year = {2014}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arscom/LinTHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/LiLTWC14, author = {Yan Li and Ke Li and Siran Tao and Xia Wan and Kuanmin Chen}, title = {Optimization of the Design of Pre-Signal System Using Improved Cellular Automaton}, journal = {Comput. Intell. Neurosci.}, volume = {2014}, pages = {926371:1--926371:11}, year = {2014}, url = {https://doi.org/10.1155/2014/926371}, doi = {10.1155/2014/926371}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/LiLTWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/KuanLCTH14, author = {Yuan{-}Kai Kuan and Gwo{-}Long Li and Mei{-}Juan Chen and Kuang{-}Han Tai and Pin{-}Cheng Huang}, title = {Error concealment algorithm using inter-view correlation for multi-view video}, journal = {{EURASIP} J. Image Video Process.}, volume = {2014}, pages = {38}, year = {2014}, url = {https://doi.org/10.1186/1687-5281-2014-38}, doi = {10.1186/1687-5281-2014-38}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/KuanLCTH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HoLTH14, author = {Tung{-}Yang Ho and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-tolerant hamiltonian connectivity of the WK-recursive networks}, journal = {Inf. Sci.}, volume = {271}, pages = {236--245}, year = {2014}, url = {https://doi.org/10.1016/j.ins.2014.02.087}, doi = {10.1016/J.INS.2014.02.087}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HoLTH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/LinTC14, author = {Yinwei Lin and Hsiang{-}Wen Tang and Cha'o{-}Kuang Chen}, title = {Modified Differential Transform Method for Two Singular Boundary Values Problems}, journal = {J. Appl. Math.}, volume = {2014}, pages = {138087:1--138087:6}, year = {2014}, url = {https://doi.org/10.1155/2014/138087}, doi = {10.1155/2014/138087}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/LinTC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HuangWHCHWLCSCCCHT14, author = {Po{-}Tsang Huang and Shang{-}Lin Wu and Yu{-}Chieh Huang and Lei{-}Chun Chou and Teng{-}Chieh Huang and Tang{-}Hsuan Wang and Yu{-}Rou Lin and Chuan{-}An Cheng and Wen{-}Wei Shen and Ching{-}Te Chuang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Wei Hwang and Ho{-}Ming Tong}, title = {2.5D Heterogeneously Integrated Microsystem for High-Density Neural Sensing Applications}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {810--823}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2385061}, doi = {10.1109/TBCAS.2014.2385061}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/HuangWHCHWLCSCCCHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChenCHCHH14, author = {Kuan{-}Ta Chen and Yu{-}Chun Chang and Hwai{-}Jung Hsu and De{-}Yu Chen and Chun{-}Ying Huang and Cheng{-}Hsin Hsu}, title = {On the Quality of Service of Cloud Gaming Systems}, journal = {{IEEE} Trans. Multim.}, volume = {16}, number = {2}, pages = {480--495}, year = {2014}, url = {https://doi.org/10.1109/TMM.2013.2291532}, doi = {10.1109/TMM.2013.2291532}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/ChenCHCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/HuangCCHH14, author = {Chun{-}Ying Huang and Kuan{-}Ta Chen and De{-}Yu Chen and Hwai{-}Jung Hsu and Cheng{-}Hsin Hsu}, title = {GamingAnywhere: The first open source cloud gaming system}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {10}, number = {1s}, pages = {10:1--10:25}, year = {2014}, url = {https://doi.org/10.1145/2537855}, doi = {10.1145/2537855}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/HuangCCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PengCKLWS14, author = {Chih{-}Hsiang Peng and Bo{-}Wei Chen and Ta{-}Wen Kuan and Po{-}Chuan Lin and Jhing{-}Fa Wang and Nai{-}Sheng Shih}, title = {{REC-STA:} Reconfigurable and Efficient Chip Design With SMO-Based Training Accelerator}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {8}, pages = {1791--1802}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2278706}, doi = {10.1109/TVLSI.2013.2278706}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/PengCKLWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ChenKL14, author = {Chi{-}Hua Chen and Ta{-}Sheng Kuan and Kuen{-}Rong Lo}, title = {A vehicle speed estimation method based on using voice call signals}, booktitle = {The 16th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2014, Hsinchu, Taiwan, September 17-19, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APNOMS.2014.6996104}, doi = {10.1109/APNOMS.2014.6996104}, timestamp = {Wed, 13 Nov 2019 15:14:09 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/ChenKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenWLWSC14, author = {Yu{-}Guang Chen and Tao Wang and Kuan{-}Yu Lai and Wan{-}Yu Wen and Yiyu Shi and Shih{-}Chieh Chang}, title = {Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {98:1--98:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593115}, doi = {10.1145/2593069.2593115}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenWLWSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenHH14, author = {Kuan{-}Ta Chen and Chun{-}Ying Huang and Cheng{-}Hsin Hsu}, title = {Cloud gaming onward: research opportunities and outlook}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, Chengdu, China, July 14-18, 2014}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICMEW.2014.6890683}, doi = {10.1109/ICMEW.2014.6890683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/GohATM14, author = {Sim Kuan Goh and Hussein A. Abbass and Kay Chen Tan and Abdullah Al Mamun}, editor = {Chu Kiong Loo and Keem Siah Yap and Kok Wai Wong and Andrew Teoh Beng Jin and Kaizhu Huang}, title = {Artifact Removal from {EEG} Using a Multi-objective Independent Component Analysis Model}, booktitle = {Neural Information Processing - 21st International Conference, {ICONIP} 2014, Kuching, Malaysia, November 3-6, 2014. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8834}, pages = {570--577}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12637-1\_71}, doi = {10.1007/978-3-319-12637-1\_71}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/GohATM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LinHHYT14, author = {Wen Yen Lin and Kuang{-}Po Hsueh and Wang{-}Hsin Hsu and Liew Gha Yie and Wei Chen Tai}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {Design and Implementation of Health Monitoring System for Solar Panel in IPv6 Network}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {57--60}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.21}, doi = {10.1109/IIH-MSP.2014.21}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/LinHHYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/TaiLC14, author = {Kuang{-}Han Tai and Xin{-}Zhi Li and Mei{-}Juan Chen}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Efficient Coding Unit and Mode Decision Algorithm for {HEVC} Intra Prediction}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1277--1286}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1277}, doi = {10.3233/978-1-61499-484-8-1277}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/TaiLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCCCCTCH14, author = {Tang{-}Hsuan Wang and Po{-}Tsang Huang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong and Ching{-}Te Chuang and Wei Hwang}, title = {Energy-efficient configurable discrete wavelet transform for neural sensing applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1841--1844}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865516}, doi = {10.1109/ISCAS.2014.6865516}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCCCCTCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangCHWWLCSCCC14, author = {Po{-}Tsang Huang and Lei{-}Chun Chou and Teng{-}Chieh Huang and Shang{-}Lin Wu and Tang{-}Shuan Wang and Yu{-}Rou Lin and Chuan{-}An Cheng and Wen{-}Wei Shen and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Ching{-}Te Chuang and Wei Hwang and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ming{-}Hsiang Cheng and Yueh{-}Lung Lin and Ho{-}Ming Tong}, title = {18.6 2.5D heterogeneously integrated bio-sensing microsystem for multi-channel neural-sensing applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {320--321}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757452}, doi = {10.1109/ISSCC.2014.6757452}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangCHWWLCSCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/ChenLKL14, author = {Chi{-}Hua Chen and Jia{-}Hong Lin and Ta{-}Sheng Kuan and Kuen{-}Rong Lo}, title = {A High-Efficiency Mobile Positioning System by Using Commercial Vehicle Operation Data Based on Cloud Computing Techniques}, booktitle = {2014 {IEEE} International Conference on Internet of Things, {IEEE} Green Computing and Communications, and {IEEE} Cyber, Physical and Social Computing, iThings/GreenCom/CPSCom 2014, Taipei, Taiwan, September 1-3, 2014}, pages = {312--315}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/iThings.2014.57}, doi = {10.1109/ITHINGS.2014.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/ChenLKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsuCHHC14, author = {Chih{-}Fan Hsu and De{-}Yu Chen and Chun{-}Ying Huang and Cheng{-}Hsin Hsu and Kuan{-}Ta Chen}, editor = {Kien A. Hua and Yong Rui and Ralf Steinmetz and Alan Hanjalic and Apostol Natsev and Wenwu Zhu}, title = {Screencast in the Wild: Performance and Limitations}, booktitle = {Proceedings of the {ACM} International Conference on Multimedia, {MM} '14, Orlando, FL, USA, November 03 - 07, 2014}, pages = {813--816}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2647868.2654991}, doi = {10.1145/2647868.2654991}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/HsuCHHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HuangHCC14, author = {Chun{-}Ying Huang and Cheng{-}Hsin Hsu and De{-}Yu Chen and Kuan{-}Ta Chen}, title = {Quantifying User Satisfaction in Mobile Cloud Games}, booktitle = {Proceedings of the 6th {ACM} Mobile Video Workshop, MoVid 2014, Singapore, March 19, 2014}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2014}, url = {https://dl.acm.org/citation.cfm?id=2579468}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/HuangHCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/HongFLCHH14, author = {Hua{-}Jun Hong and Tao{-}Ya Fan{-}Chiang and Che{-}Run Lee and Kuan{-}Ta Chen and Chun{-}Ying Huang and Cheng{-}Hsin Hsu}, editor = {Yutaka Ishibashi and Adrian David Cheok}, title = {{GPU} consolidation for cloud games: Are we there yet?}, booktitle = {13th Annual Workshop on Network and Systems Support for Games, NetGames 2014, Nagoya, Japan, December 4-5, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NetGames.2014.7008969}, doi = {10.1109/NETGAMES.2014.7008969}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/netgames/HongFLCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/HuangCHCH14, author = {Chun{-}Ying Huang and Po{-}Han Chen and Yu{-}Ling Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, editor = {Yutaka Ishibashi and Adrian David Cheok}, title = {Measuring the client performance and energy consumption in mobile cloud gaming}, booktitle = {13th Annual Workshop on Network and Systems Support for Games, NetGames 2014, Nagoya, Japan, December 4-5, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NetGames.2014.7008961}, doi = {10.1109/NETGAMES.2014.7008961}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/HuangCHCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChenSZCLZCHLLLW14, author = {Chin{-}Ta Chen and Po{-}Kuan Shen and Teng{-}Zhang Zhu and Chia{-}Chi Chang and Shu{-}Shuan Lin and Mao{-}Yuan Zeng and Chien{-}Yu Chiu and Hsu{-}Liang Hsiao and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Yo{-}Shen Lin and Mount{-}Learn Wu}, title = {Chip-level 10-Gbit/s optical interconnects using 1 {\texttimes} 2 polymer vertical splitter on silicon substrate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.M2K.4}, doi = {10.1364/OFC.2014.M2K.4}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChenSZCLZCHLLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ShenCCCCLLW14, author = {Po{-}Kuan Shen and Chin{-}Ta Chen and Chia{-}Hao Chang and Chien{-}Yu Chiu and Chia{-}Chi Chang and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Mount{-}Learn Wu}, title = {On-chip optical interconnects integrated with laser and photodetector using three-dimensional silicon waveguides}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.M2K.6}, doi = {10.1364/OFC.2014.M2K.6}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ShenCCCCLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuTCLLC14, author = {Guo{-}Zua Wu and Song{-}Nien Tang and Chih{-}Chi Chang and Chien{-}Ju Lee and Kuan{-}Hsien Lin and Oscal T.{-}C. Chen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {High-frequency and power-efficiency ultrasound beam-forming processor for handheld applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {420--424}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948966}, doi = {10.1109/SOCC.2014.6948966}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuTCLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/LeeLCCLCC14, author = {Eric L. Lee and Jing{-}Kai Lou and Wei{-}Ming Chen and Yen{-}Chi Chen and Shou{-}De Lin and Yen{-}Sheng Chiang and Kuan{-}Ta Chen}, editor = {Su Yang and Kristina Lerman and James She and Martin Atzmueller}, title = {Fairness-Aware Loan Recommendation for Microfinance Services}, booktitle = {Proceedings of the 2014 International Conference on Social Computing, Beijing, China, August 04 - 07, 2014}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2639968.2640064}, doi = {10.1145/2639968.2640064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/LeeLCCLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/WuCTLC14, author = {Shan{-}Hung Wu and Man{-}Ju Chou and Chun{-}Hsiung Tseng and Yuh{-}Jye Lee and Kuan{-}Ta Chen}, editor = {Chin{-}Wan Chung and Andrei Z. Broder and Kyuseok Shim and Torsten Suel}, title = {Detecting in-situ identity fraud on social network services: a case study on facebook}, booktitle = {23rd International World Wide Web Conference, {WWW} '14, Seoul, Republic of Korea, April 7-11, 2014, Companion Volume}, pages = {401--402}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2567948.2577308}, doi = {10.1145/2567948.2577308}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/WuCTLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nossdav/2014, editor = {Kuan{-}Ta Chen and Songqing Chen}, title = {Proceedings of the 24th {ACM} Workshop on Network and Operating Systems Support for Digital Audio and Video, {NOSSDAV} 2014, Singapore, March 19-20, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597176}, doi = {10.1145/2597176}, isbn = {978-1-4503-2706-0}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/LinTTHM13, author = {Cheng{-}Kuan Lin and Yuan{-}Hsiang Teng and Jimmy J. M. Tan and Lih{-}Hsing Hsu and Dragan Marusic}, title = {The spanning laceability on the faulty bipartite hypercube-like networks}, journal = {Appl. Math. Comput.}, volume = {219}, number = {15}, pages = {8095--8103}, year = {2013}, url = {https://doi.org/10.1016/j.amc.2013.02.027}, doi = {10.1016/J.AMC.2013.02.027}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/LinTTHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/LiuTC13, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen}, title = {Binary Code Analysis}, journal = {Computer}, volume = {46}, number = {8}, pages = {60--68}, year = {2013}, url = {https://doi.org/10.1109/MC.2013.268}, doi = {10.1109/MC.2013.268}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/LiuTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/LinTHK13, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu and Tzu{-}Liang Kung}, title = {Disjoint cycles in hypercubes with prescribed vertices in each cycle}, journal = {Discret. Appl. Math.}, volume = {161}, number = {18}, pages = {2992--3004}, year = {2013}, url = {https://doi.org/10.1016/j.dam.2013.07.004}, doi = {10.1016/J.DAM.2013.07.004}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/LinTHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/HuangCTL13, author = {Shu{-}Fen Huang and Mei{-}Juan Chen and Kuang{-}Han Tai and Mian{-}Shiuan Li}, title = {Region-of-interest determination and bit-rate conversion for {H.264} video transcoding}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2013}, pages = {112}, year = {2013}, url = {https://doi.org/10.1186/1687-6180-2013-112}, doi = {10.1186/1687-6180-2013-112}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasp/HuangCTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/LiCTS13, author = {Mian{-}Shiuan Li and Mei{-}Juan Chen and Kuang{-}Han Tai and Kuen{-}Liang Sue}, title = {Fast mode decision based on human noticeable luminance difference and rate distortion cost for {H.264/AVC}}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2013}, pages = {60}, year = {2013}, url = {https://doi.org/10.1186/1687-6180-2013-60}, doi = {10.1186/1687-6180-2013-60}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasp/LiCTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iee/LiuTC13, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen}, title = {Supporting the adaptation of open-source database applications through extracting data lifecycles}, journal = {{IET} Softw.}, volume = {7}, number = {4}, pages = {213--221}, year = {2013}, url = {https://doi.org/10.1049/iet-sen.2012.0105}, doi = {10.1049/IET-SEN.2012.0105}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iee/LiuTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChongGONE13, author = {Zan{-}Kai Chong and Bok{-}Min Goi and Hiroyuki Ohsaki and Cheng{-}Kuan Bryan Ng and Hong{-}Tat Ewe}, title = {Stepping-Random Code: {A} Rateless Erasure Code for Short-Length Messages}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {7}, pages = {1764--1771}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.1764}, doi = {10.1587/TRANSCOM.E96.B.1764}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChongGONE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ChongTGN13, author = {Zan{-}Kai Chong and Su{-}Wei Tan and Bok{-}Min Goi and Cheng{-}Kuan Bryan Ng}, title = {Outwitting smart selfish nodes in wireless mesh networks}, journal = {Int. J. Commun. Syst.}, volume = {26}, number = {9}, pages = {1163--1175}, year = {2013}, url = {https://doi.org/10.1002/dac.1388}, doi = {10.1002/DAC.1388}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/ChongTGN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijvr/Sookhanaphibarn13, author = {Kingkarn Sookhanaphibarn and Ruck Thawonmas and Frank J. Rinaldo and Kuan{-}Ta Chen}, title = {Spatiotemporal Analysis of Circulation Behaviors Using Path And Residing Time displaY {(PARTY)}}, journal = {Int. J. Virtual Real.}, volume = {12}, number = {1}, pages = {44--56}, year = {2013}, url = {https://doi.org/10.20870/IJVR.2013.12.1.2857}, doi = {10.20870/IJVR.2013.12.1.2857}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijvr/Sookhanaphibarn13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/LiuTC13, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen}, title = {Automated Insertion of Exception Handling for Key and Referential Constraints}, journal = {J. Database Manag.}, volume = {24}, number = {1}, pages = {1--19}, year = {2013}, url = {https://doi.org/10.4018/jdm.2013010101}, doi = {10.4018/JDM.2013010101}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/LiuTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/LiuTC13a, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen}, title = {Aiding Maintenance of Database Applications Through Extracting Attribute Dependency Graph}, journal = {J. Database Manag.}, volume = {24}, number = {1}, pages = {20--35}, year = {2013}, url = {https://doi.org/10.4018/jdm.2013010102}, doi = {10.4018/JDM.2013010102}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/LiuTC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/TaiLCC13, author = {Kuang{-}Han Tai and Gwo{-}Long Li and Mei{-}Juan Chen and Hao{-}Wen Chi}, title = {Computation-Scalable Multi-Path Motion Estimation Algorithm}, journal = {J. Inf. Sci. Eng.}, volume = {29}, number = {6}, pages = {1079--1095}, year = {2013}, url = {http://www.iis.sinica.edu.tw/page/jise/2013/201311\_01.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/TaiLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/LuTCC13, author = {Ta{-}Ping Lu and Amy J. C. Trappey and Yi{-}Kuang Chen and Yu{-}Da Chang}, title = {Collaborative design and analysis of supply chain network management key processes model}, journal = {J. Netw. Comput. Appl.}, volume = {36}, number = {6}, pages = {1503--1511}, year = {2013}, url = {https://doi.org/10.1016/j.jnca.2013.03.015}, doi = {10.1016/J.JNCA.2013.03.015}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/LuTCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/HungLJLLCTH13, author = {Chun{-}Nan Hung and David Lu and Randy Jia and Cheng{-}Kuan Lin and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k and Eddie Cheng and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {4-ordered-Hamiltonian problems of the generalized Petersen graph \emph{GP}(\emph{n}, 4)}, journal = {Math. Comput. Model.}, volume = {57}, number = {3-4}, pages = {595--601}, year = {2013}, url = {https://doi.org/10.1016/j.mcm.2012.07.022}, doi = {10.1016/J.MCM.2012.07.022}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/HungLJLLCTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TzengKLC13, author = {Wen{-}Sheng Tzeng and Kuang{-}Ming Kuo and Huang{-}Wei Lin and Tai{-}Yuan Chen}, title = {A Socio-technical assessment of the success of picture archiving and communication systems: the radiology technologist's perspective}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {13}, pages = {109}, year = {2013}, url = {https://doi.org/10.1186/1472-6947-13-109}, doi = {10.1186/1472-6947-13-109}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/TzengKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KoC13, author = {Cheng{-}Ta Ko and Kuan{-}Neng Chen}, title = {Reliability of key technologies in 3D integration}, journal = {Microelectron. Reliab.}, volume = {53}, number = {1}, pages = {7--16}, year = {2013}, url = {https://doi.org/10.1016/j.microrel.2012.08.011}, doi = {10.1016/J.MICROREL.2012.08.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KoC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenYTW13, author = {Chien{-}Hsing Chen and Bo{-}Kuan Yeh and Jaw{-}Luen Tang and Wei{-}Te Wu}, title = {Fabrication Quality Analysis of a Fiber Optic Refractive Index Sensor Created by CO\({}_{\mbox{2}}\) Laser Machining}, journal = {Sensors}, volume = {13}, number = {4}, pages = {4067--4087}, year = {2013}, url = {https://doi.org/10.3390/s130404067}, doi = {10.3390/S130404067}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenYTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LinKT13, author = {Cheng{-}Kuan Lin and Tzu{-}Liang Kung and Jimmy J. M. Tan}, title = {An Algorithmic Approach to Conditional-Fault Local Diagnosis of Regular Multiprocessor Interconnected Systems under the {PMC} Model}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {3}, pages = {439--451}, year = {2013}, url = {https://doi.org/10.1109/TC.2011.249}, doi = {10.1109/TC.2011.249}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LinKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/HuangSYC13, author = {Tai{-}Hsiang Huang and Kuang{-}Tsu Shih and Su{-}Ling Yeh and Homer H. Chen}, title = {Enhancement of Backlight-Scaled Images}, journal = {{IEEE} Trans. Image Process.}, volume = {22}, number = {12}, pages = {4587--4597}, year = {2013}, url = {https://doi.org/10.1109/TIP.2013.2272517}, doi = {10.1109/TIP.2013.2272517}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/HuangSYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WuCCL13, author = {Chen{-}Chi Wu and Kuan{-}Ta Chen and Yu{-}Chun Chang and Chin{-}Laung Lei}, title = {Crowdsourcing Multimedia QoE Evaluation: {A} Trusted Framework}, journal = {{IEEE} Trans. Multim.}, volume = {15}, number = {5}, pages = {1121--1137}, year = {2013}, url = {https://doi.org/10.1109/TMM.2013.2241043}, doi = {10.1109/TMM.2013.2241043}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/WuCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/LinTTH13, author = {Cheng{-}Kuan Lin and Yuan{-}Hsiang Teng and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Local Diagnosis Algorithms for Multiprocessor Systems Under the Comparison Diagnosis Model}, journal = {{IEEE} Trans. Reliab.}, volume = {62}, number = {4}, pages = {800--810}, year = {2013}, url = {https://doi.org/10.1109/TR.2013.2285031}, doi = {10.1109/TR.2013.2285031}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/LinTTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChienYLLCZCLHCFLSKLL13, author = {Chun{-}Hsien Chien and Hsun Yu and Ching{-}Kuan Lee and Yu{-}Min Lin and Ren{-}Shin Cheng and Chau{-}Jie Zhan and Peng{-}Shu Chen and Chang{-}Chih Liu and Chao{-}Kai Hsu and Hsiang{-}Hung Chang and Huan{-}Chun Fu and Yuan{-}Chang Lee and Wen{-}Wei Shen and Cheng{-}Ta Ko and Wei{-}Chung Lo and Yung Jean Lu}, title = {Performance and process characteristic of glass interposer with through-glass-via(TGV)}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702380}, doi = {10.1109/3DIC.2013.6702380}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChienYLLCZCLHCFLSKLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChienOCKC13, author = {Hsing{-}Chih Chang Chien and Hung{-}Chih Ou and Tung{-}Chieh Chen and Ta{-}Yu Kuan and Yao{-}Wen Chang}, title = {Double patterning lithography-aware analog placement}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488738}, doi = {10.1145/2463209.2488738}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChienOCKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/WangCWL13a, author = {Ming{-}Hung Wang and Kuan{-}Ta Chen and Shuo{-}Yang Wang and Chin{-}Laung Lei}, title = {Understanding Potential MicrotaskWorkers for Paid Crowdsourcing}, booktitle = {Human Computation and Crowdsourcing: Works in Progress and Demonstration Abstracts, An Adjunct to the Proceedings of the First {AAAI} Conference on Human Computation and Crowdsourcing, November 7-9, 2013, Palm Springs, CA, {USA}}, series = {{AAAI} Technical Report}, volume = {{WS-13-18}}, publisher = {{AAAI}}, year = {2013}, url = {http://www.aaai.org/ocs/index.php/HCOMP/HCOMP13/paper/view/7537}, timestamp = {Tue, 05 Sep 2023 11:14:59 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/WangCWL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/WangWC13a, author = {Shuo{-}Yang Wang and Ming{-}Hung Wang and Kuan{-}Ta Chen}, title = {Boosting {OCR} Accuracy Using Crowdsourcing}, booktitle = {Human Computation and Crowdsourcing: Works in Progress and Demonstration Abstracts, An Adjunct to the Proceedings of the First {AAAI} Conference on Human Computation and Crowdsourcing, November 7-9, 2013, Palm Springs, CA, {USA}}, series = {{AAAI} Technical Report}, volume = {{WS-13-18}}, publisher = {{AAAI}}, year = {2013}, url = {http://www.aaai.org/ocs/index.php/HCOMP/HCOMP13/paper/view/7538}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/WangWC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LienYHCW13, author = {Cheng{-}Chang Lien and Kuan{-}Lin Yu and Cheng{-}Ta Hsieh and Yan{-}Fan Chen and Chien{-}Hsiang Wang}, title = {Blur image segmentation using iterative super-pixels grouping method}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2013, Tianjin, China, July 14-17, 2013}, pages = {1161--1167}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICMLC.2013.6890766}, doi = {10.1109/ICMLC.2013.6890766}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LienYHCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/ChenYLW13, author = {Yang{-}Wen Chen and Arak Sae Yuan and Kuan{-}Ta Lu and Quincy Wu}, title = {The study of 6LoWPAN with {SCTP} multi-homing in Smart Grid}, booktitle = {Seventh International Conference on Sensing Technology, {ICST} 2013, Wellington, New Zealand, December 3-5, 2013}, pages = {735--740}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICSensT.2013.6727750}, doi = {10.1109/ICSENST.2013.6727750}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/ChenYLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/GongLCLSTLLPL13, author = {Tianxia Gong and Nengli Lim and Li Cheng and Hwee Kuan Lee and Bolan Su and Chew Lim Tan and Shimiao Li and C. C. Tchoyoson Lim and Boon Chuan Pang and Cheng Kiang Lee}, title = {Finding Distinctive Shape Features for Automatic Hematoma Classification in Head {CT} Images from Traumatic Brain Injuries}, booktitle = {25th {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2013, Herndon, VA, USA, November 4-6, 2013}, pages = {242--249}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICTAI.2013.45}, doi = {10.1109/ICTAI.2013.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/GongLCLSTLLPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChiangH13, author = {Cheng{-}Ta Chiang and Kuan{-}Lun Huang}, title = {A {CMOS} monolithic light to sigma-delta modulator for environmental monitoring applications}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563594}, doi = {10.1109/ISIE.2013.6563594}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/ChiangH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenCL13, author = {Kuan{-}Ta Chen and Wei{-}Ta Chu and Martha A. Larson}, editor = {Alejandro Jaimes and Nicu Sebe and Nozha Boujemaa and Daniel Gatica{-}Perez and David A. Shamma and Marcel Worring and Roger Zimmermann}, title = {{ACM} multimedia 2013 workshop on crowdsourcing for multimedia}, booktitle = {{ACM} Multimedia Conference, {MM} '13, Barcelona, Spain, October 21-25, 2013}, pages = {1089--1090}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502081.2503828}, doi = {10.1145/2502081.2503828}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ChenCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChuCC13, author = {Wei{-}Ta Chu and Yu{-}Kuang Chen and Kuan{-}Ta Chen}, editor = {Alejandro Jaimes and Nicu Sebe and Nozha Boujemaa and Daniel Gatica{-}Perez and David A. Shamma and Marcel Worring and Roger Zimmermann}, title = {Size does matter: how image size affects aesthetic perception?}, booktitle = {{ACM} Multimedia Conference, {MM} '13, Barcelona, Spain, October 21-25, 2013}, pages = {53--62}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502081.2502102}, doi = {10.1145/2502081.2502102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HuangCHC13, author = {Chun{-}Ying Huang and De{-}Yu Chen and Cheng{-}Hsin Hsu and Kuan{-}Ta Chen}, editor = {Alejandro Jaimes and Nicu Sebe and Nozha Boujemaa and Daniel Gatica{-}Perez and David A. Shamma and Marcel Worring and Roger Zimmermann}, title = {GamingAnywhere: an open-source cloud gaming testbed}, booktitle = {{ACM} Multimedia Conference, {MM} '13, Barcelona, Spain, October 21-25, 2013}, pages = {827--830}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502081.2502222}, doi = {10.1145/2502081.2502222}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/HuangCHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HuangHCC13, author = {Chun{-}Ying Huang and Cheng{-}Hsin Hsu and Yu{-}Chun Chang and Kuan{-}Ta Chen}, editor = {Carsten Griwodz}, title = {GamingAnywhere: an open cloud gaming system}, booktitle = {Multimedia Systems Conference 2013, MMSys '13, Oslo, Norway, February 27 - March 01, 2013}, pages = {36--47}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483977.2483981}, doi = {10.1145/2483977.2483981}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/HuangHCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenLC13, author = {De{-}Yu Chen and Po{-}Ching Lin and Kuan{-}Ta Chen}, title = {Does Online Mobile Gaming Overcharge You for The Fun?}, booktitle = {Annual Workshop on Network and Systems Support for Games, NetGames '13, Denver, CO, USA, December 9-10, 2013}, pages = {11:1--11:2}, publisher = {{IEEE/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2664646}, timestamp = {Thu, 07 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/ChenLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenYC13, author = {De{-}Yu Chen and Hao{-}Tsung Yang and Kuan{-}Ta Chen}, title = {Dude, the Source of Lags Is on Your Computer}, booktitle = {Annual Workshop on Network and Systems Support for Games, NetGames '13, Denver, CO, USA, December 9-10, 2013}, pages = {4:1--4:6}, publisher = {{IEEE/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2664638}, timestamp = {Thu, 07 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/ChenYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/HongCHCH13, author = {Hua{-}Jun Hong and De{-}Yu Chen and Chun{-}Ying Huang and Kuan{-}Ta Chen and Cheng{-}Hsin Hsu}, title = {QoE-Aware Virtual Machine Placement for Cloud Games}, booktitle = {Annual Workshop on Network and Systems Support for Games, NetGames '13, Denver, CO, USA, December 9-10, 2013}, pages = {12:1--12:2}, publisher = {{IEEE/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2664647}, timestamp = {Thu, 07 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/HongCHCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/YangCHC13, author = {Hao{-}Tsung Yang and De{-}Yu Chen and Ya{-}Xuan Hong and Kuan{-}Ta Chen}, title = {Mobile Game Recommendation using Touch Gestures}, booktitle = {Annual Workshop on Network and Systems Support for Games, NetGames '13, Denver, CO, USA, December 9-10, 2013}, pages = {5:1--5:6}, publisher = {{IEEE/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2664639}, timestamp = {Thu, 07 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/YangCHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChenSCHHZLLLW13, author = {Chin{-}Ta Chen and Po{-}Kuan Shen and Chia{-}Chi Chang and Hsu{-}Liang Hsiao and Tien{-}Yu Huan and Teng{-}Zhang Zhu and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Yo{-}Shen Lin and Mount{-}Learn Wu}, title = {Polymer-based vertically optical splitter with 20-Gbps transmission rate realized on silicon substrate}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6532992}, timestamp = {Thu, 07 Oct 2021 14:28:58 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChenSCHHZLLLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LouPCPLC13, author = {Jing{-}Kai Lou and Kunwoo Park and Meeyoung Cha and Juyong Park and Chin{-}Laung Lei and Kuan{-}Ta Chen}, editor = {Daniel Schwabe and Virg{\'{\i}}lio A. F. Almeida and Hartmut Glaser and Ricardo Baeza{-}Yates and Sue B. Moon}, title = {Gender swapping and user behaviors in online social games}, booktitle = {22nd International World Wide Web Conference, {WWW} '13, Rio de Janeiro, Brazil, May 13-17, 2013}, pages = {827--836}, publisher = {International World Wide Web Conferences Steering Committee / {ACM}}, year = {2013}, url = {https://doi.org/10.1145/2488388.2488460}, doi = {10.1145/2488388.2488460}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LouPCPLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2013crowdmm, editor = {Kuan{-}Ta Chen and Wei{-}Ta Chu and Martha A. Larson}, title = {Proceedings of the 2nd {ACM} international workshop on Crowdsourcing for multimedia, CrowdMM@ACM Multimedia 2013, Barcelona, Spain, October 22, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2506364}, doi = {10.1145/2506364}, isbn = {978-1-4503-2396-3}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/2013crowdmm.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuCWTLC13, author = {Shan{-}Hung Wu and Man{-}Ju Chou and Ming{-}Hung Wang and Chun{-}Hsiung Tseng and Yuh{-}Jye Lee and Kuan{-}Ta Chen}, title = {Is Somebody Watching Your Facebook Newsfeed?}, journal = {CoRR}, volume = {abs/1308.5168}, year = {2013}, url = {http://arxiv.org/abs/1308.5168}, eprinttype = {arXiv}, eprint = {1308.5168}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuCWTLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/PengLTH12, author = {Shao{-}Lun Peng and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {The g-good-neighbor conditional diagnosability of hypercube under {PMC} model}, journal = {Appl. Math. Comput.}, volume = {218}, number = {21}, pages = {10406--10412}, year = {2012}, url = {https://doi.org/10.1016/j.amc.2012.03.092}, doi = {10.1016/J.AMC.2012.03.092}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/PengLTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/LinHTH12, author = {Cheng{-}Kuan Lin and Tung{-}Yang Ho and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Super Spanning Connectivity of Augmented Cubes}, journal = {Ars Comb.}, volume = {104}, pages = {161--177}, year = {2012}, timestamp = {Fri, 08 Mar 2013 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/LinHTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/LinSTH12, author = {Cheng{-}Kuan Lin and Yuan{-}Kang Shih and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Mutually Independent Hamiltonian Cycles in Some Graphs}, journal = {Ars Comb.}, volume = {106}, pages = {137--142}, year = {2012}, timestamp = {Sun, 10 Mar 2013 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/LinSTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/TownshendMHVGCSFNKSSSNTHLW12, author = {John R. Townshend and Jeffrey G. Masek and Chengquan Huang and Eric F. Vermote and Feng Gao and Saurabh Channan and Joseph O. Sexton and Min Feng and Raghuram Narasimhan and Do{-}Hyung Kim and Kuan Song and Danxia Song and Xiao{-}Peng Song and Praveen Noojipady and Bin Tan and Matthew C. Hansen and Mengxue Li and Robert E. Wolfe}, title = {Global characterization and monitoring of forest cover using Landsat data: opportunities and challenges}, journal = {Int. J. Digit. Earth}, volume = {5}, number = {5}, pages = {373--397}, year = {2012}, url = {https://doi.org/10.1080/17538947.2012.713190}, doi = {10.1080/17538947.2012.713190}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/TownshendMHVGCSFNKSSSNTHLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/HsuTCLLT12, author = {Lih{-}Hsing Hsu and Jimmy J. M. Tan and Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k and Cheng{-}Kuan Lin and Ming Tsai}, title = {Solution to an open problem on 4-ordered Hamiltonian graphs}, journal = {Discret. Math.}, volume = {312}, number = {15}, pages = {2356--2370}, year = {2012}, url = {https://doi.org/10.1016/j.disc.2012.04.003}, doi = {10.1016/J.DISC.2012.04.003}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/HsuTCLLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KuanTWWCL12, author = {Ta{-}Wen Kuan and Hsin{-}Chun Tsai and Jhing{-}Fa Wang and Jia{-}Ching Wang and Bo{-}Wei Chen and Zong{-}You Lin}, title = {A new hybrid and dynamic fusion of multiple experts for intelligent porch system}, journal = {Expert Syst. Appl.}, volume = {39}, number = {10}, pages = {9288--9296}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.02.075}, doi = {10.1016/J.ESWA.2012.02.075}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KuanTWWCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/HsuCLTLH12, author = {Lih{-}Hsing Hsu and Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k and Jimmy J. M. Tan and Cheng{-}Kuan Lin and Tung{-}Yang Ho}, title = {Component connectivity of the hypercubes}, journal = {Int. J. Comput. Math.}, volume = {89}, number = {2}, pages = {137--145}, year = {2012}, url = {https://doi.org/10.1080/00207160.2011.638978}, doi = {10.1080/00207160.2011.638978}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/HsuCLTLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/ChuangCHCKLHCLKYT12, author = {Yaliang Chuang and Ling{-}Yuah Chiu and Jya{-}Cheng Hu and George Chang and Hsin{-}Liu Kao and Tsen{-}Ying Lin and Kuang{-}Shih Huang and Li{-}Erh Chang and Rung{-}Huei Liang and Tai{-}Wei Kan and Li{-}Te Yang and Shuang{-}Yu Tsai}, title = {Demo hour}, journal = {Interactions}, volume = {19}, number = {4}, pages = {6--7}, year = {2012}, url = {https://doi.org/10.1145/2212877.2212880}, doi = {10.1145/2212877.2212880}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/ChuangCHCKLHCLKYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/HoinkisINMHGCPH12, author = {Monika Hoinkis and Roger Ibars and Hiromi Nakamura and Homei Miyashita and Kurtis Heimerl and Brian Gawalt and Kuang Chen and Tapan S. Parikh and Bj{\"{o}}rn Hartmann}, title = {Demo hour}, journal = {Interactions}, volume = {19}, number = {6}, pages = {6--7}, year = {2012}, url = {https://doi.org/10.1145/2377783.2377785}, doi = {10.1145/2377783.2377785}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/HoinkisINMHGCPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/PaoFLC12, author = {Hsing{-}Kuo Pao and Junaidillah Fadlil and Hong{-}Yi Lin and Kuan{-}Ta Chen}, title = {Trajectory analysis for user verification and recognition}, journal = {Knowl. Based Syst.}, volume = {34}, pages = {81--90}, year = {2012}, url = {https://doi.org/10.1016/j.knosys.2012.03.008}, doi = {10.1016/J.KNOSYS.2012.03.008}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/PaoFLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WangLCC12, author = {Bo{-}Chun Wang and Chien{-}Wei Lin and Kuan{-}Ta Chen and Ling{-}Jyh Chen}, title = {An analytical model for generalized {ESP} games}, journal = {Knowl. Based Syst.}, volume = {34}, pages = {114--127}, year = {2012}, url = {https://doi.org/10.1016/j.knosys.2011.08.009}, doi = {10.1016/J.KNOSYS.2011.08.009}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WangLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KoC12, author = {Cheng{-}Ta Ko and Kuan{-}Neng Chen}, title = {Low temperature bonding technology for 3D integration}, journal = {Microelectron. Reliab.}, volume = {52}, number = {2}, pages = {302--311}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.03.038}, doi = {10.1016/J.MICROREL.2011.03.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KoC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinYLTC12, author = {Ming{-}Te Lin and Shang{-}Ping Ying and Ming{-}Yao Lin and Kuang{-}Yu Tai and Jyh{-}Chen Chen}, title = {High power {LED} package with vertical structure}, journal = {Microelectron. Reliab.}, volume = {52}, number = {5}, pages = {878--883}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.07.064}, doi = {10.1016/J.MICROREL.2011.07.064}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LinYLTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/TangCC12, author = {Ya{-}Sheng Tang and Yao{-}Jen Chang and Kuan{-}Neng Chen}, title = {Wafer-level Cu-Cu bonding technology}, journal = {Microelectron. Reliab.}, volume = {52}, number = {2}, pages = {312--320}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.04.016}, doi = {10.1016/J.MICROREL.2011.04.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/TangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChangCCL12, author = {Yu{-}Chun Chang and Chi{-}Jui Chang and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Radar chart: scanning for satisfactory QoE in QoS dimensions}, journal = {{IEEE} Netw.}, volume = {26}, number = {4}, pages = {25--31}, year = {2012}, url = {https://doi.org/10.1109/MNET.2012.6246749}, doi = {10.1109/MNET.2012.6246749}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ChangCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/TanPSCC12, author = {Kuan Tak Tan and X. Y. Peng and Ping Lam So and Y. C. Chu and Michael Z. Q. Chen}, title = {Centralized Control for Parallel Operation of Distributed Generation Inverters in Microgrids}, journal = {{IEEE} Trans. Smart Grid}, volume = {3}, number = {4}, pages = {1977--1987}, year = {2012}, url = {https://doi.org/10.1109/TSG.2012.2205952}, doi = {10.1109/TSG.2012.2205952}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/TanPSCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinYWCT12, author = {Kuang{-}Hao Lin and Tai{-}Hsuan Yang and Ren{-}Hao Wu and Hou{-}Ming Chen and Jan{-}Dong Tseng}, title = {A multimedia game development system with an intelligent mobile and embedded platform}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {651--654}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419119}, doi = {10.1109/APCCAS.2012.6419119}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinYWCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/TangWCH12, author = {Muh{-}Chyun Tang and Chun{-}mei Wang and Kuang{-}hua Chen and Jieh Hsiang}, title = {Exploring alternative cyberbibliometrics for evaluation of scholarly performance in the social sciences and humanities in Taiwan}, booktitle = {Information, Interaction, Innovation: Celebrating the Past, Constructing the Present and Creating the Future - Proceedings of the 75th ASIS{\&}T Annual Meeting, {ASIST} 2012, Baltimore, MD, USA, October 26-30, 2012}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {49}, number = {1}, pages = {1}, publisher = {Wiley}, year = {2012}, url = {https://doi.org/10.1002/meet.14504901060}, doi = {10.1002/MEET.14504901060}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asist/TangWCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KuanCC12, author = {Ta{-}Yu Kuan and Yi{-}Chun Chang and Tai{-}Chen Chen}, title = {Micro-bump assignment for 3D ICs using order relation}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {341--346}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164970}, doi = {10.1109/ASPDAC.2012.6164970}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KuanCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangCLHYHWLF12, author = {Liang{-}Hung Wang and Tsung{-}Yen Chen and Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Tai{-}Hsuan Yang and Shi{-}Yan Huang and Jen{-}Hao Wu and Kuang{-}Hao Lin and Qiang Fang}, title = {Live demonstration: {A} wireless {ECG} acquisition SoC}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {80}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418504}, doi = {10.1109/BIOCAS.2012.6418504}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/WangCLHYHWLF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangCLYHWLF12, author = {Liang{-}Hung Wang and Tsung{-}Yen Chen and Shuenn{-}Yuh Lee and Tai{-}Hsuan Yang and Shi{-}Yan Huang and Jen{-}Hao Wu and Kuang{-}Hao Lin and Qiang Fang}, title = {A wireless {ECG} acquisition SoC for body sensor network}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {156--159}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418396}, doi = {10.1109/BIOCAS.2012.6418396}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/WangCLYHWLF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HeimerlGCPH12, author = {Kurtis Heimerl and Brian Gawalt and Kuang Chen and Tapan S. Parikh and Bj{\"{o}}rn Hartmann}, editor = {Joseph A. Konstan and Ed H. Chi and Kristina H{\"{o}}{\"{o}}k}, title = {CommunitySourcing: engaging local crowds to perform expert work via physical kiosks}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, {CHI} '12, Austin, TX, {USA} - May 05 - 10, 2012}, pages = {1539--1548}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2207676.2208619}, doi = {10.1145/2207676.2208619}, timestamp = {Tue, 01 Jun 2021 15:21:43 +0200}, biburl = {https://dblp.org/rec/conf/chi/HeimerlGCPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/LuTCC12, author = {Ta{-}Ping Lu and Amy J. C. Trappey and Yi{-}Kuang Chen and Yu{-}Da Chang}, editor = {Liang Gao and Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Jianming Yong and Wenfeng Li and Weidong Li}, title = {Collaborative design of supply chain management key processes in the semiconductor industry}, booktitle = {{IEEE} 16th International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2012, May 23-25, 2012, Wuhan, China}, pages = {901--906}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CSCWD.2012.6221928}, doi = {10.1109/CSCWD.2012.6221928}, timestamp = {Mon, 10 Oct 2022 09:54:55 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/LuTCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dev/ChenKYHP12, author = {Kuang Chen and Akshay Kannan and Yoriyasu Yano and Joseph M. Hellerstein and Tapan S. Parikh}, editor = {Ed Cutrell and Ellen W. Zegura and Gaetano Borriello and Bill Thies}, title = {Shreddr: pipelined paper digitization for low-resource organizations}, booktitle = {{ACM} Annual Symposium on Computing for Development, {ACM} {DEV} '12, Atlanta, GA, {USA} - March 10 - 11, 2012}, pages = {3:1--3:10}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160601.2160605}, doi = {10.1145/2160601.2160605}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dev/ChenKYHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/FayuanHLC12, author = {Kuo{-}Ming Tang (Fayuan) and Chien{-}Kang Huang and Chia{-}Ming Lee and Kuang{-}hua Chen}, editor = {Hsin{-}Hsi Chen and Gobinda Chowdhury}, title = {Iterative Feature Selection of Translation Texts for Translator Identification}, booktitle = {The Outreach of Digital Libraries: {A} Globalized Resource Network - 14th International Conference on Asia-Pacific Digital Libraries, {ICADL} 2012, Taipei, Taiwan, November 12-15, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7634}, pages = {365--367}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34752-8\_56}, doi = {10.1007/978-3-642-34752-8\_56}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icadl/FayuanHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/LeeHC12, author = {Chia{-}Ming Lee and Chien{-}Kang Huang and Kuo{-}Ming Tang (Fayuan) and Kuang{-}hua Chen}, editor = {Hsin{-}Hsi Chen and Gobinda Chowdhury}, title = {Iterative Machine-Learning Chinese Term Extraction}, booktitle = {The Outreach of Digital Libraries: {A} Globalized Resource Network - 14th International Conference on Asia-Pacific Digital Libraries, {ICADL} 2012, Taipei, Taiwan, November 12-15, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7634}, pages = {309--312}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34752-8\_37}, doi = {10.1007/978-3-642-34752-8\_37}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icadl/LeeHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KuangPCXLZ12, author = {Hailan Kuang and William Perrie and Wei Chen and Tao Xie and Xin{-}hua Liu and Biao Zhang}, title = {Thermal front retreivals from {SAR} imagery}, booktitle = {2012 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2012, Munich, Germany, July 22-27, 2012}, pages = {2637--2640}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IGARSS.2012.6350387}, doi = {10.1109/IGARSS.2012.6350387}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KuangPCXLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/KungLTCC12, author = {Tzu{-}Liang Kung and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hon{-}Chan Chen and Hsing{-}Chung Chen}, editor = {Ilsun You and Leonard Barolli and Antonio Gentile and Hae{-}Duck Joshua Jeong and Marek R. Ogiela and Fatos Xhafa}, title = {Identifying Restricted-Faults in Multiprocessor Meshes under the {PMC} Diagnostic Model}, booktitle = {Sixth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {489--493}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IMIS.2012.87}, doi = {10.1109/IMIS.2012.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/KungLTCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangHMKLDWWWTVPYGWKCC12, author = {Adrian Tang and Frank Hsiao and David Murphy and I{-}Ning Ku and Jenny Yi{-}Chun Liu and Sandeep D'Souza and Ning{-}Yi Wang and Hao Wu and Yen{-}Hsiang Wang and Mandy Tang and Gabriel Virbila and Mike Pham and Derek Yang and Qun Jane Gu and Yi{-}Cheng Wu and Yen{-}Cheng Kuan and Charles Chien and Mau{-}Chung Frank Chang}, title = {A low-overhead self-healing embedded system for ensuring high yield and long-term sustainability of 60GHz 4Gb/s radio-on-a-chip}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {316--318}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177029}, doi = {10.1109/ISSCC.2012.6177029}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TangHMKLDWWWTVPYGWKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenCLO12, author = {Kuan{-}Ta Chen and Wei{-}Ta Chu and Martha A. Larson and Wei Tsang Ooi}, editor = {Noboru Babaguchi and Kiyoharu Aizawa and John R. Smith and Shin'ichi Satoh and Thomas Plagemann and Xian{-}Sheng Hua and Rong Yan}, title = {{ACM} multimedia 2012 workshop on crowdsourcing for multimedia}, booktitle = {Proceedings of the 20th {ACM} Multimedia Conference, {MM} '12, Nara, Japan, October 29 - November 02, 2012}, pages = {1505--1506}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2393347.2396539}, doi = {10.1145/2393347.2396539}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChenCLO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HuangCHHS12, author = {Yen{-}Ta Huang and Kuan{-}Ting Chen and Liang{-}Chi Hsieh and Winston H. Hsu and Ya{-}Fan Su}, editor = {Noboru Babaguchi and Kiyoharu Aizawa and John R. Smith and Shin'ichi Satoh and Thomas Plagemann and Xian{-}Sheng Hua and Rong Yan}, title = {Detecting the directions of viewing landmarks for recommendation by large-scale user-contributed photos}, booktitle = {Proceedings of the 20th {ACM} Multimedia Conference, {MM} '12, Nara, Japan, October 29 - November 02, 2012}, pages = {997--1000}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2393347.2396366}, doi = {10.1145/2393347.2396366}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/HuangCHHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/LeeCSL12, author = {Yeng{-}Ting Lee and Kuan{-}Ta Chen and Han{-}I Su and Chin{-}Laung Lei}, title = {Are all games equally cloud-gaming-friendly? An electromyographic approach}, booktitle = {11th Annual Workshop on Network and Systems Support for Games, NetGames 2012, Venice, Italy, November 22-23, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NetGames.2012.6404025}, doi = {10.1109/NETGAMES.2012.6404025}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/netgames/LeeCSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/LouCHL12, author = {Jing{-}Kai Lou and Kuan{-}Ta Chen and Hwai{-}Jung Hsu and Chin{-}Laung Lei}, title = {Forecasting online game addictiveness}, booktitle = {11th Annual Workshop on Network and Systems Support for Games, NetGames 2012, Venice, Italy, November 22-23, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NetGames.2012.6404029}, doi = {10.1109/NETGAMES.2012.6404029}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/LouCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/DoLTYCZYCJ12, author = {Anh{-}Tuan Do and Chun Kit Lam and Yung Sern Tan and Kiat Seng Yeo and Jia Hao Cheong and Xiaodan Zou and Lei Yao and Kuang{-}Wei Cheng and Minkyu Je}, title = {A 160 nW 25 kS/s 9-bit {SAR} {ADC} for neural signal recording applications}, booktitle = {10th {IEEE} International {NEWCAS} Conference, Montreal, QC, Canada, June 17-20, 2012}, pages = {525--528}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEWCAS.2012.6329072}, doi = {10.1109/NEWCAS.2012.6329072}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/newcas/DoLTYCZYCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saint/ChongOGEN12, author = {Zan{-}Kai Chong and Hiroyuki Ohsaki and Bok{-}Min Goi and Hong{-}Tat Ewe and Cheng{-}Kuan Bryan Ng}, title = {Performance Analysis of Fountain-Based Protocol in {CSMA/CA} Wireless Networks}, booktitle = {12th {IEEE/IPSJ} International Symposium on Applications and the Internet, {SAINT} 2012, Izmir, Turkey, July 16-20, 2012}, pages = {184--189}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SAINT.2012.34}, doi = {10.1109/SAINT.2012.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/saint/ChongOGEN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/WenTC12, author = {Ming{-}Hui Wen and Ruck Thawonmas and Kuan{-}Ta Chen}, title = {Pomics: {A} Computer-Aided Storytelling System with Automatic Picture-to-Comics Composition}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2012, Tainan, Taiwan, November 16-18, 2012}, pages = {314--318}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TAAI.2012.28}, doi = {10.1109/TAAI.2012.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/WenTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucc/ChenYWFSH12, author = {Tseng{-}Yi Chen and Tsung Tai Yeh and Hsin{-}Wen Wei and Yu{-}Xun Fang and Wei{-}Kuan Shih and Tsan{-}sheng Hsu}, title = {CacheRAID: An Efficient Adaptive Write Cache Policy to Conserve {RAID} Disk Array Energy}, booktitle = {{IEEE} Fifth International Conference on Utility and Cloud Computing, {UCC} 2012, Chicago, IL, USA, November 5-8, 2012}, pages = {117--124}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/UCC.2012.26}, doi = {10.1109/UCC.2012.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ucc/ChenYWFSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChenKHP12, author = {Tung{-}Chieh Chen and Ta{-}Yu Kuan and Chung{-}Che Hsieh and Chi{-}Chen Peng}, title = {Challenges and solutions in modern analog placement}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212641}, doi = {10.1109/VLSI-DAT.2012.6212641}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChenKHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/WuHKH12, author = {Cheng{-}Ta Wu and Feng{-}Xiang Huang and Kuan{-}Fu Kuo and Ing{-}Jer Huang}, title = {An {OCP-AHB} bus wrapper with built-in {ICE} support for {SOC} integration}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212654}, doi = {10.1109/VLSI-DAT.2012.6212654}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/WuHKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wism/LiKZCT12, author = {Bin Li and Haibo Kuang and Yingjie Zhang and Jiajun Chen and Xuri Tang}, editor = {Fu Lee Wang and Jingsheng Lei and Zhiguo Gong and Xiangfeng Luo}, title = {Using Similes to Extract Basic Sentiments across Languages}, booktitle = {Web Information Systems and Mining - International Conference, {WISM} 2012, Chengdu, China, October 26-28, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7529}, pages = {536--542}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33469-6\_66}, doi = {10.1007/978-3-642-33469-6\_66}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wism/LiKZCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mm/2012crowdmm, editor = {Kuan{-}Ta Chen and Wei{-}Ta Chu and Martha A. Larson and Wei Tsang Ooi}, title = {Proceedings of the {ACM} multimedia 2012 workshop on Crowdsourcing for multimedia, CrowdMM@ACM Multimedia 2012, Nara, Japan, October 29, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2390803}, doi = {10.1145/2390803}, isbn = {978-1-4503-1589-0}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/2012crowdmm.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cie/HoWCL11, author = {Chien{-}Ju Ho and Chen{-}Chi Wu and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {DevilTyper: a game for {CAPTCHA} usability evaluation}, journal = {Comput. Entertain.}, volume = {9}, number = {1}, pages = {3:1--3:14}, year = {2011}, url = {https://doi.org/10.1145/1953005.1953008}, doi = {10.1145/1953005.1953008}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cie/HoWCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/db/HungCCTC11, author = {Shin{-}Yuan Hung and Chia{-}Ming Chang and Kuanchin Chen and King{-}Zoo Tang and Chin{-}Hua Chou}, title = {Buyer acceptance of g2b e-government services: an empirical study of inter-entity supply contracts}, journal = {Data Base}, volume = {42}, number = {4}, pages = {81--97}, year = {2011}, url = {https://doi.org/10.1145/2096140.2096146}, doi = {10.1145/2096140.2096146}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/db/HungCCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entcom/ThawonmasYLC11, author = {Ruck Thawonmas and Keisuke Yoshida and Jing{-}Kai Lou and Kuan{-}Ta Chen}, title = {Analysis of revisitations in online games}, journal = {Entertain. Comput.}, volume = {2}, number = {4}, pages = {215--221}, year = {2011}, url = {https://doi.org/10.1016/j.entcom.2011.01.003}, doi = {10.1016/J.ENTCOM.2011.01.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entcom/ThawonmasYLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/ChenT11, author = {Kuan{-}Neng Chen and Chuan Seng Tan}, title = {Integration schemes and enabling technologies for three-dimensional integrated circuits}, journal = {{IET} Comput. Digit. Tech.}, volume = {5}, number = {3}, pages = {160--168}, year = {2011}, url = {https://doi.org/10.1049/iet-cdt.2009.0127}, doi = {10.1049/IET-CDT.2009.0127}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/ChenT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/KungLLTH11, author = {Tzu{-}Liang Kung and Cheng{-}Kuan Lin and Tyne Liang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-free mutually independent Hamiltonian cycles of faulty star graphs}, journal = {Int. J. Comput. Math.}, volume = {88}, number = {4}, pages = {731--746}, year = {2011}, url = {https://doi.org/10.1080/00207161003786614}, doi = {10.1080/00207161003786614}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/KungLLTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HoLTHH11, author = {Tung{-}Yang Ho and Cheng{-}Kuan Lin and Jimmy J. M. Tan and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {On the Extremal Number of Edges in Hamiltonian Graphs}, journal = {J. Inf. Sci. Eng.}, volume = {27}, number = {5}, pages = {1659--1665}, year = {2011}, url = {http://www.iis.sinica.edu.tw/page/jise/2011/201109\_09.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HoLTHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/HuangMC11, author = {Chun{-}Ying Huang and Shang{-}Pin Ma and Kuan{-}Ta Chen}, title = {Using one-time passwords to prevent password phishing attacks}, journal = {J. Netw. Comput. Appl.}, volume = {34}, number = {4}, pages = {1292--1301}, year = {2011}, url = {https://doi.org/10.1016/j.jnca.2011.02.004}, doi = {10.1016/J.JNCA.2011.02.004}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/HuangMC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/ParikhC11, author = {Tapan S. Parikh and Kuang Chen}, title = {Data Management for Meeting Global Health Challenges}, journal = {Proc. {VLDB} Endow.}, volume = {4}, number = {12}, pages = {1514}, year = {2011}, url = {http://www.vldb.org/pvldb/vol4/p1514-parikh-panel1.pdf}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/ParikhC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LaiCHUYY11, author = {Yueh{-}Chun Lai and Cheng{-}Kuang Chen and Tsung{-}Yu Huang and Ieng{-}Wai Un and Yu{-}Hang Yang and Ta{-}Jen Yen}, title = {Enriching the Symmetry of Maxwell Equations through Unprecedented Magnetic Responses of Artificial Metamaterials and Their Revolutionary Applications}, journal = {Symmetry}, volume = {3}, number = {2}, pages = {283--304}, year = {2011}, url = {https://doi.org/10.3390/sym3020283}, doi = {10.3390/SYM3020283}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LaiCHUYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ChenCCHP11, author = {Kuang Chen and Harr Chen and Neil Conway and Joseph M. Hellerstein and Tapan S. Parikh}, title = {Usher: Improving Data Quality with Dynamic Forms}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {23}, number = {8}, pages = {1138--1153}, year = {2011}, url = {https://doi.org/10.1109/TKDE.2011.31}, doi = {10.1109/TKDE.2011.31}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ChenCCHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LinKT11, author = {Cheng{-}Kuan Lin and Tzu{-}Liang Kung and Jimmy J. M. Tan}, title = {Conditional-Fault Diagnosability of Multiprocessor Systems with an Efficient Local Diagnosis Algorithm under the {PMC} Model}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {10}, pages = {1669--1680}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.46}, doi = {10.1109/TPDS.2011.46}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LinKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChenXLKCHLCHKFCLL11, author = {Kuan{-}Neng Chen and Z. Xu and Fei Liu and Cheng{-}Ta Ko and Chuan{-}An Cheng and W. C. Huang and H. L. Lin and C. Cabral and Zhi{-}Cheng Hsiao and N. Klymko and Hsin{-}Chia Fu and Y. H. Chen and Jian{-}Qiang Lu and Wei{-}Chung Lo}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Cu-based bonding technology for 3D integration applications}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6262974}, doi = {10.1109/3DIC.2012.6262974}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChenXLKCHLCHKFCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/KoHCCHFHCTCLC11, author = {Cheng{-}Ta Ko and Zhi{-}Cheng Hsiao and Y. J. Chang and Peng{-}Shu Chen and J. H. Huang and Hsin{-}Chia Fu and Y. J. Huang and C. W. Chiang and W. L. Tsat and Y. H. Chen and Wei{-}Chung Lo and Kuan{-}Neng Chen}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Wafer-level 3D integration with Cu {TSV} and micro-bump/adhesive hybrid bonding technologies}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6262949}, doi = {10.1109/3DIC.2012.6262949}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/KoHCCHFHCTCLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/LinHC11, author = {Ruei{-}Min Lin and Hwai{-}Chung Ho and Kuan{-}Ta Chen}, editor = {Teresa Rom{\~{a}}o and Nuno Correia and Masahiko Inami and Hirokasu Kato and Rui Prada and Tsutomu Terada and A. Eduardo Dias and Teresa Chambel}, title = {Bot detection in rhythm games: a physiological approach}, booktitle = {Proceedings of the 8th International Conference on Advances in Computer Entertainment Technology, {ACE} 2011, Lisbon, Portugal, November 8-11, 2011}, pages = {7}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2071423.2071432}, doi = {10.1145/2071423.2071432}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/LinHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/LiuTC11, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen}, editor = {Tran Dan Thu and Karl R. P. H. Leung}, title = {Extraction of Attribute Dependency Graph from Database Applications}, booktitle = {18th Asia Pacific Software Engineering Conference, {APSEC} 2011, Ho Chi Minh, Vietnam, December 5-8, 2011}, pages = {138--145}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/APSEC.2011.10}, doi = {10.1109/APSEC.2011.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/LiuTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WuTC11, author = {Shao{-}Yu Wu and Ruck Thawonmas and Kuan{-}Ta Chen}, editor = {Desney S. Tan and Saleema Amershi and Bo Begole and Wendy A. Kellogg and Manas Tungare}, title = {Video summarization via crowdsourcing}, booktitle = {Proceedings of the International Conference on Human Factors in Computing Systems, {CHI} 2011, Extended Abstracts Volume, Vancouver, BC, Canada, May 7-12, 2011}, pages = {1531--1536}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1979742.1979803}, doi = {10.1145/1979742.1979803}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/WuTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidr/ChenHP11, author = {Kuang Chen and Joseph M. Hellerstein and Tapan S. Parikh}, title = {Data in the First Mile}, booktitle = {Fifth Biennial Conference on Innovative Data Systems Research, {CIDR} 2011, Asilomar, CA, USA, January 9-12, 2011, Online Proceedings}, pages = {203--206}, publisher = {www.cidrdb.org}, year = {2011}, url = {http://cidrdb.org/cidr2011/Papers/CIDR11\_Paper27.pdf}, timestamp = {Mon, 18 Jul 2022 17:13:00 +0200}, biburl = {https://dblp.org/rec/conf/cidr/ChenHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/LiKCTC11, author = {Bin Li and Haibo Kuang and Xiaohe Chen and Xuri Tang and Chen Chen}, editor = {Yuping Wang and Yiu{-}ming Cheung and Ping Guo and Yingbin Wei}, title = {Construction of a Bilingual Cognitive Property Knowledgebase}, booktitle = {Seventh International Conference on Computational Intelligence and Security, {CIS} 2011, Sanya, Hainan, China, December 3-4, 2011}, pages = {1100--1103}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CIS.2011.244}, doi = {10.1109/CIS.2011.244}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/LiKCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/HsuLTH11, author = {Lih{-}Hsing Hsu and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Chun{-}Nan Hung}, editor = {Wenyu Qu and Kai Lin and Yanming Shen and Weisong Shi and D. Frank Hsu and Xiaolong Jin and Francis C. M. Lau and Junfeng Xu}, title = {Embedding Cycles into Hypercubes with Prescribe Vertices in the Specific Order}, booktitle = {14th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2011, Dalian, China, August 24-26, 2011}, pages = {351--357}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CSE.2011.68}, doi = {10.1109/CSE.2011.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/HsuLTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/ChangKLSCJLL11, author = {Yu{-}Hao Chang and Chi{-}Bang Kuan and Cheng{-}Yen Lin and Te{-}Feng Su and Chun{-}Ta Chen and Jyh{-}Shing Roger Jang and Shang{-}Hong Lai and Jenq Kuen Lee}, title = {Support of software framework for embedded multi-core systems with Android environments}, booktitle = {9th {IEEE} Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2011, Taipei, Taiwan, October 13-14, 2011}, pages = {2--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ESTIMedia.2011.6088522}, doi = {10.1109/ESTIMEDIA.2011.6088522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/ChangKLSCJLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/YangWCKC11, author = {Chao{-}Tung Yang and Kuan{-}Chieh Wang and Hsiang{-}Yao Cheng and Cheng{-}Ta Kuo and William Cheng{-}Chung Chu}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Green Power Management with Dynamic Resource Allocation for Cloud Virtual Machines}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {726--733}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.103}, doi = {10.1109/HPCC.2011.103}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/YangWCKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/HuC11, author = {Shun{-}Yun Hu and Kuan{-}Ta Chen}, editor = {Hartmut Schmeck and Wolfgang Rosenstiel and Tarek F. Abdelzaher and Joseph L. Hellerstein}, title = {Self-organizing spatial publish subscribe}, booktitle = {Proceedings of the 8th International Conference on Autonomic Computing, {ICAC} 2011, Karlsruhe, Germany, June 14-18, 2011}, pages = {171--172}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1998582.1998613}, doi = {10.1145/1998582.1998613}, timestamp = {Tue, 06 Nov 2018 11:06:50 +0100}, biburl = {https://dblp.org/rec/conf/icac/HuC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GuptaTCPA11, author = {Subhanshu Gupta and Yi Tang and Kuang{-}Wei Cheng and Jeyanandh Paramesh and David J. Allstot}, title = {Multi-rate polyphase {DSP} and {LMS} calibration schemes for oversampled data conversion systems}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {1585--1588}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5946799}, doi = {10.1109/ICASSP.2011.5946799}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GuptaTCPA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuTTCLHLCSCCSCC11, author = {Chia{-}Hsin Wu and Wen{-}Chieh Tsai and Chun{-}Geik Tan and Chun{-}Nan Chen and Kuan{-}I Li and Jui{-}Lin Hsu and Chi{-}Lun Lo and Hsin{-}Hua Chen and Sheng{-}Yuan Su and Kun{-}Tso Chen and Min Chen and Osama Shana'a and Shu{-}Hung Chou and George Chien}, title = {A GPS/Galileo SoC with adaptive in-band blocker cancellation in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {462--464}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746398}, doi = {10.1109/ISSCC.2011.5746398}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WuTTCLHLCSCCSCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenCTHL11, author = {Kuan{-}Ta Chen and Yu{-}Chun Chang and Po{-}Han Tseng and Chun{-}Ying Huang and Chin{-}Laung Lei}, editor = {K. Sel{\c{c}}uk Candan and Sethuraman Panchanathan and Balakrishnan Prabhakaran and Hari Sundaram and Wu{-}chi Feng and Nicu Sebe}, title = {Measuring the latency of cloud gaming systems}, booktitle = {Proceedings of the 19th International Conference on Multimedia 2011, Scottsdale, AZ, USA, November 28 - December 1, 2011}, pages = {1269--1272}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2072298.2071991}, doi = {10.1145/2072298.2071991}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChenCTHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/ChenWCL11, author = {Kuan{-}Ta Chen and Chen{-}Chi Wu and Yu{-}Chun Chang and Chin{-}Laung Lei}, editor = {Ali C. Begen and Ketan Mayer{-}Patel}, title = {Quantifying QoS requirements of network services: a cheat-proof framework}, booktitle = {Proceedings of the Second Annual {ACM} {SIGMM} Conference on Multimedia Systems, MMSys 2011, Santa Clara, CA, USA, February 23-25, 2011}, pages = {81--92}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1943552.1943563}, doi = {10.1145/1943552.1943563}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/ChenWCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/LeeCCL11, author = {Yeng{-}Ting Lee and Kuan{-}Ta Chen and Yun{-}Maw Cheng and Chin{-}Laung Lei}, editor = {Ali C. Begen and Ketan Mayer{-}Patel}, title = {World of warcraft avatar history dataset}, booktitle = {Proceedings of the Second Annual {ACM} {SIGMM} Conference on Multimedia Systems, MMSys 2011, Santa Clara, CA, USA, February 23-25, 2011}, pages = {123--128}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1943552.1943569}, doi = {10.1145/1943552.1943569}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/LeeCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LuoLC11, author = {Ren C. Luo and Tzu Ta Lin and Kuan Yu Chen}, title = {Gender recognition based on ensemble learning with selective features for service robotics applications}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {1159--1164}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181444}, doi = {10.1109/ROBIO.2011.6181444}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/LuoLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saso/HuC11, author = {Shun{-}Yun Hu and Kuan{-}Ta Chen}, title = {{VSO:} Self-Organizing Spatial Publish Subscribe}, booktitle = {5th {IEEE} International Conference on Self-Adaptive and Self-Organizing Systems, {SASO} 2011, Ann Arbor, MI, USA, October 3-7, 2011}, pages = {21--30}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SASO.2011.13}, doi = {10.1109/SASO.2011.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/saso/HuC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saso/LiHC11, author = {Man{-}Chun Li and Shun{-}Yun Hu and Kuan{-}Ta Chen}, title = {Deployment Issues of Voronoi Self-Organizing Overlays}, booktitle = {5th {IEEE} International Conference on Self-Adaptive and Self-Organizing Systems, {SASO} 2011, Ann Arbor, MI, USA, October 3-7, 2011}, pages = {216--217}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SASO.2011.35}, doi = {10.1109/SASO.2011.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/saso/LiHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/LiuTCZP11, author = {Kaiping Liu and Hee Beng Kuan Tan and Xu Chen and Hongyu Zhang and Bindu Padmanabhuni}, title = {Automated Extraction of Data Lifecycle Support from Database Applications}, booktitle = {Proceedings of the 23rd International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2011), Eden Roc Renaissance, Miami Beach, USA, July 7-9, 2011}, pages = {432--437}, publisher = {Knowledge Systems Institute Graduate School}, year = {2011}, timestamp = {Thu, 12 Mar 2020 11:30:49 +0100}, biburl = {https://dblp.org/rec/conf/seke/LiuTCZP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TanHHL11, author = {Tan{-}Hsu Tan and Yung{-}Fa Huang and Ling{-}Cheng Hsu and Kuan{-}Ren Lin}, title = {Carrier frequency offsets estimation for uplink {OFDMA} systems using enhanced {PSO} and multiple access interference cancellation}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {1436--1441}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6083872}, doi = {10.1109/ICSMC.2011.6083872}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/TanHHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/WuWC11, author = {Shao{-}Yu Wu and Ming{-}Hung Wang and Kuan{-}Ta Chen}, title = {Privacy Crisis Due to Crisis Response on the Web}, booktitle = {{IEEE} 10th International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2011, Changsha, China, 16-18 November, 2011}, pages = {197--205}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TrustCom.2011.28}, doi = {10.1109/TRUSTCOM.2011.28}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/WuWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/YangWCKH11, author = {Chao{-}Tung Yang and Kuan{-}Chieh Wang and Hsiang{-}Yao Cheng and Cheng{-}Ta Kuo and Ching{-}Hsien Hsu}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jianhua Ma and Chunsheng Zhu}, title = {Implementation of a Green Power Management Algorithm for Virtual Machines on Cloud Computing}, booktitle = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6905}, pages = {280--294}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23641-9\_24}, doi = {10.1007/978-3-642-23641-9\_24}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/YangWCKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/HoLTHH10, author = {Tung{-}Yang Ho and Cheng{-}Kuan Lin and Jimmy J. M. Tan and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {On the extremal number of edges in hamiltonian connected graphs}, journal = {Appl. Math. Lett.}, volume = {23}, number = {1}, pages = {26--29}, year = {2010}, url = {https://doi.org/10.1016/j.aml.2009.03.025}, doi = {10.1016/J.AML.2009.03.025}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/HoLTHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ChenWC10, author = {Ling{-}Jyh Chen and Bo{-}Chun Wang and Kuan{-}Ta Chen}, title = {The design of puzzle selection strategies for {GWAP} systems}, journal = {Concurr. Comput. Pract. Exp.}, volume = {22}, number = {7}, pages = {890--908}, year = {2010}, url = {https://doi.org/10.1002/cpe.1560}, doi = {10.1002/CPE.1560}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ChenWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/ShihLHTH10, author = {Yuan{-}Kang Shih and Cheng{-}Kuan Lin and D. Frank Hsu and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {The construction of mutually independent Hamiltonian cycles in bubble-sort graphs}, journal = {Int. J. Comput. Math.}, volume = {87}, number = {10}, pages = {2212--2225}, year = {2010}, url = {https://doi.org/10.1080/00207160802512700}, doi = {10.1080/00207160802512700}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/ShihLHTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/LinTHCL10, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu and Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k}, title = {On the Existence of Disjoint Spanning Paths in Faulty Hypercubes}, journal = {J. Interconnect. Networks}, volume = {11}, number = {1-2}, pages = {71--96}, year = {2010}, url = {https://doi.org/10.1142/S021926591000274X}, doi = {10.1142/S021926591000274X}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/LinTHCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/ShermanTLLCTH10, author = {David Sherman and Ming Tsai and Cheng{-}Kuan Lin and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k and Eddie Cheng and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {4-Ordered Hamiltonicity for Some Chordal Ring Graphs}, journal = {J. Interconnect. Networks}, volume = {11}, number = {3-4}, pages = {157--174}, year = {2010}, url = {https://doi.org/10.1142/S0219265910002787}, doi = {10.1142/S0219265910002787}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/ShermanTLLCTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KoC10, author = {Cheng{-}Ta Ko and Kuan{-}Neng Chen}, title = {Wafer-level bonding/stacking technology for 3D integration}, journal = {Microelectron. Reliab.}, volume = {50}, number = {4}, pages = {481--488}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2009.09.015}, doi = {10.1016/J.MICROREL.2009.09.015}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KoC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChenCWCL10, author = {Kuan{-}Ta Chen and Chi{-}Jui Chang and Chen{-}Chi Wu and Yu{-}Chun Chang and Chin{-}Laung Lei}, title = {Quadrant of euphoria: a crowdsourcing platform for QoE assessment}, journal = {{IEEE} Netw.}, volume = {24}, number = {2}, pages = {28--35}, year = {2010}, url = {https://doi.org/10.1109/MNET.2010.5430141}, doi = {10.1109/MNET.2010.5430141}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ChenCWCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/HuangHCW10, author = {Te{-}Yuan Huang and Polly Huang and Kuan{-}Ta Chen and Po{-}Jung Wang}, title = {Could Skype be more satisfying? a QoE-centric study of the {FEC} mechanism in an internet-scale VoIP system}, journal = {{IEEE} Netw.}, volume = {24}, number = {2}, pages = {42--48}, year = {2010}, url = {https://doi.org/10.1109/MNET.2010.5430143}, doi = {10.1109/MNET.2010.5430143}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/HuangHCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigkdd/HoCLHC10, author = {Chien{-}Ju Ho and Tao{-}Hsuan Chang and Jong{-}Chuan Lee and Jane Yung{-}jen Hsu and Kuan{-}Ta Chen}, title = {KissKissBan: a competitive human computation game for image annotation}, journal = {{SIGKDD} Explor.}, volume = {12}, number = {1}, pages = {21--24}, year = {2010}, url = {https://doi.org/10.1145/1882471.1882475}, doi = {10.1145/1882471.1882475}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigkdd/HoCLHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HeLLT10, author = {Kuang{-}Chi He and Ming{-}Tsung Li and Chen{-}Ming Li and Jenn{-}Hwan Tarng}, title = {Parallel-RC Feedback Low-Noise Amplifier for {UWB} Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {8}, pages = {582--586}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2050943}, doi = {10.1109/TCSII.2010.2050943}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HeLLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/PaoCC10, author = {Hsing{-}Kuo Pao and Kuan{-}Ta Chen and Hong{-}Chung Chang}, title = {Game Bot Detection via Avatar Trajectory Analysis}, journal = {{IEEE} Trans. Comput. Intell. {AI} Games}, volume = {2}, number = {3}, pages = {162--175}, year = {2010}, url = {https://doi.org/10.1109/TCIAIG.2010.2072506}, doi = {10.1109/TCIAIG.2010.2072506}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tciaig/PaoCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/KoCLCHHFC10, author = {Cheng{-}Ta Ko and Kuan{-}Neng Chen and Wei{-}Chung Lo and Chuan{-}An Cheng and Wen{-}Chun Huang and Zhi{-}Cheng Hsiao and Huan{-}Chun Fu and Yu{-}Hua Chen}, title = {Wafer-level 3D integration using hybrid bonding}, booktitle = {{IEEE} International Conference on 3D System Integration, 3DIC 2010, Munich, Germany, 16-18 November 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/3DIC.2010.5751463}, doi = {10.1109/3DIC.2010.5751463}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/KoCLCHHFC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/SookhanaphibarnTRC10, author = {Kingkarn Sookhanaphibarn and Ruck Thawonmas and Frank J. Rinaldo and Kuan{-}Ta Chen}, editor = {Victor R. L. Shen and Henry Been{-}Lirn Duh and Masahiko Inami and Michael Haller and Yoshifumi Kitamura}, title = {Spatiotemporal analysis in virtual environments using eigenbehaviors}, booktitle = {Proceedings of the 7th International Conference on Advances in Computer Entertainment Technology, {ACE} 2010, Taipei, Taiwan, November 17-19, 2010}, pages = {62--65}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1971630.1971649}, doi = {10.1145/1971630.1971649}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/SookhanaphibarnTRC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/LeeC10, author = {Yeng{-}Ting Lee and Kuan{-}Ta Chen}, title = {Is Server Consolidation Beneficial to MMORPG? {A} Case Study of World of Warcraft}, booktitle = {{IEEE} International Conference on Cloud Computing, {CLOUD} 2010, Miami, FL, USA, 5-10 July, 2010}, pages = {435--442}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CLOUD.2010.57}, doi = {10.1109/CLOUD.2010.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/LeeC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/LouLCL10, author = {Jing{-}Kai Lou and Shou{-}De Lin and Kuan{-}Ta Chen and Chin{-}Laung Lei}, editor = {Nasrullah Memon and Reda Alhajj}, title = {What Can the Temporal Social Behavior Tell Us? An Estimation of Vertex-Betweenness Using Dynamic Social Information}, booktitle = {International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2010, Odense, Denmark, August 9-11, 2010}, pages = {56--63}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ASONAM.2010.46}, doi = {10.1109/ASONAM.2010.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/LouLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/YehCCS10, author = {Tsung Tai Yeh and Tseng{-}Yi Chen and Yen{-}Chiu Chen and Wei{-}Kuan Shih}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Jerzy W. Grzymala{-}Busse and Qing Liu and Andrei Z. Broder}, title = {Efficient Parallel Algorithm for Nonlinear Dimensionality Reduction on {GPU}}, booktitle = {2010 {IEEE} International Conference on Granular Computing, GrC 2010, San Jose, California, USA, 14-16 August 2010}, pages = {592--597}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/GrC.2010.145}, doi = {10.1109/GRC.2010.145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/YehCCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ChenCCHP10, author = {Kuang Chen and Harr Chen and Neil Conway and Joseph M. Hellerstein and Tapan S. Parikh}, editor = {Feifei Li and Mirella M. Moro and Shahram Ghandeharizadeh and Jayant R. Haritsa and Gerhard Weikum and Michael J. Carey and Fabio Casati and Edward Y. Chang and Ioana Manolescu and Sharad Mehrotra and Umeshwar Dayal and Vassilis J. Tsotras}, title = {{USHER:} Improving data quality with dynamic forms}, booktitle = {Proceedings of the 26th International Conference on Data Engineering, {ICDE} 2010, March 1-6, 2010, Long Beach, California, {USA}}, pages = {321--332}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICDE.2010.5447832}, doi = {10.1109/ICDE.2010.5447832}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/ChenCCHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/PaoLCF10, author = {Hsing{-}Kuo Pao and Hong{-}Yi Lin and Kuan{-}Ta Chen and Junaidillah Fadlil}, editor = {Colin Fyfe and Peter Ti{\~{n}}o and Darryl Charles and C{\'{e}}sar Ignacio Garc{\'{\i}}a{-}Osorio and Hujun Yin}, title = {Trajectory Based Behavior Analysis for User Verification}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2010, 11th International Conference, Paisley, UK, September 1-3, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6283}, pages = {316--323}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15381-5\_39}, doi = {10.1007/978-3-642-15381-5\_39}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/PaoLCF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/LinKPTH10, author = {Cheng{-}Kuan Lin and Tzu{-}Liang Kung and Shao{-}Lun Peng and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, editor = {Hamid R. Arabnia and Steve C. Chiu and George A. Gravvanis and Minoru Ito and Kazuki Joe and Hiroaki Nishikawa and Ashu M. G. Solo}, title = {The Diagnosability of g-good-neighbor Conditional-Faulty Hypercube under {PMC} Model}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2010, Las Vegas, Nevada, USA, July 12-15, 2010, 2 Volumes}, pages = {494--499}, publisher = {{CSREA} Press}, year = {2010}, timestamp = {Tue, 07 Dec 2010 09:22:06 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/LinKPTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/raid/HsuHC10, author = {Ching{-}Hsiang Hsu and Chun{-}Ying Huang and Kuan{-}Ta Chen}, editor = {Somesh Jha and Robin Sommer and Christian Kreibich}, title = {Fast-Flux Bot Detection in Real Time}, booktitle = {Recent Advances in Intrusion Detection, 13th International Symposium, {RAID} 2010, Ottawa, Ontario, Canada, September 15-17, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6307}, pages = {464--483}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15512-3\_24}, doi = {10.1007/978-3-642-15512-3\_24}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/raid/HsuHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChenHP10, author = {Kuang Chen and Joseph M. Hellerstein and Tapan S. Parikh}, editor = {Ken Perlin and Mary Czerwinski and Rob Miller}, title = {Designing adaptive feedback for improving data entry accuracy}, booktitle = {Proceedings of the 23rd Annual {ACM} Symposium on User Interface Software and Technology, New York, NY, USA, October 3-6, 2010}, pages = {239--248}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1866029.1866068}, doi = {10.1145/1866029.1866068}, timestamp = {Tue, 06 Nov 2018 16:58:07 +0100}, biburl = {https://dblp.org/rec/conf/uist/ChenHP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/HoLTH09, author = {Tung{-}Yang Ho and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Mutually orthogonal hamiltonian connected graphs}, journal = {Appl. Math. Lett.}, volume = {22}, number = {9}, pages = {1429--1431}, year = {2009}, url = {https://doi.org/10.1016/j.aml.2009.01.058}, doi = {10.1016/J.AML.2009.01.058}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/HoLTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ShihLTH09, author = {Yuan{-}Kang Shih and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {The bipanpositionable bipancyclic property of the hypercube}, journal = {Comput. Math. Appl.}, volume = {58}, number = {9}, pages = {1722--1724}, year = {2009}, url = {https://doi.org/10.1016/j.camwa.2009.07.087}, doi = {10.1016/J.CAMWA.2009.07.087}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ShihLTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/LinTHH09, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {On the spanning fan-connectivity of graphs}, journal = {Discret. Appl. Math.}, volume = {157}, number = {7}, pages = {1342--1348}, year = {2009}, url = {https://doi.org/10.1016/j.dam.2008.11.014}, doi = {10.1016/J.DAM.2008.11.014}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/LinTHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LinTHHH09, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {Mutually independent hamiltonian cycles for the pancake graphs and the star graphs}, journal = {Discret. Math.}, volume = {309}, number = {17}, pages = {5474--5483}, year = {2009}, url = {https://doi.org/10.1016/j.disc.2008.12.023}, doi = {10.1016/J.DISC.2008.12.023}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LinTHHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/ChenJHCLC09, author = {Kuan{-}Ta Chen and Jhih{-}Wei Jiang and Polly Huang and Hao{-}Hua Chu and Chin{-}Laung Lei and Wen{-}Chin Chen}, title = {Identifying {MMORPG} Bots: {A} Traffic Analysis Approach}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2009}, year = {2009}, url = {https://doi.org/10.1155/2009/797159}, doi = {10.1155/2009/797159}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/ChenJHCLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/i-jac/ChiangWKST09, author = {Feng Kuang Chiang and Heinz{-}Dietrich Wuttke and Rainer Knauf and Chung{-}Shan Sun and Tai Cheng Tso}, title = {Students' Attitudes toward Using Innovative Information Technology for Learning Based on Theory of Planned Behavior}, journal = {Int. J. Adv. Corp. Learn.}, volume = {2}, number = {4}, pages = {9--14}, year = {2009}, url = {https://doi.org/10.3991/ijac.v2i4.969}, doi = {10.3991/IJAC.V2I4.969}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/i-jac/ChiangWKST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/LinHTH09, author = {Cheng{-}Kuan Lin and Tung{-}Yang Ho and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-tolerant hamiltonicity and fault-tolerant hamiltonian connectivity of the folded Petersen cube networks}, journal = {Int. J. Comput. Math.}, volume = {86}, number = {1}, pages = {57--66}, year = {2009}, url = {https://doi.org/10.1080/00207160701684485}, doi = {10.1080/00207160701684485}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/LinHTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijet/TsoCLS09, author = {Tai Cheng Tso and Feng Kuang Chiang and Hsin Chang Lu and Chung{-}Shan Sun}, title = {A Quantitative Study on Attitudes of Web-Based Mathematic Competition in Different Learning Stages students in Taiwan}, journal = {Int. J. Emerg. Technol. Learn.}, volume = {4}, number = {2}, pages = {61--65}, year = {2009}, url = {https://doi.org/10.3991/ijet.v4i2.697}, doi = {10.3991/IJET.V4I2.697}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijet/TsoCLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/ChenCHC09, author = {Kuan{-}Ta Chen and Jau{-}Yuan Chen and Chun{-}Rong Huang and Chu{-}Song Chen}, title = {Fighting Phishing with Discriminative Keypoint Features}, journal = {{IEEE} Internet Comput.}, volume = {13}, number = {3}, pages = {56--63}, year = {2009}, url = {https://doi.org/10.1109/MIC.2009.59}, doi = {10.1109/MIC.2009.59}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/internet/ChenCHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/KuengLLTH09, author = {Tz{-}Liang Kueng and Cheng{-}Kuan Lin and Tyne Liang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {A note on fault-free mutually independent Hamiltonian cycles in hypercubes with faulty edges}, journal = {J. Comb. Optim.}, volume = {17}, number = {3}, pages = {312--322}, year = {2009}, url = {https://doi.org/10.1007/s10878-007-9113-1}, doi = {10.1007/S10878-007-9113-1}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/KuengLLTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChenLST09, author = {Jia{-}Ming Chen and Wan{-}Chen Lu and Wei{-}Kuan Shih and Ming{-}Chung Tang}, title = {Imprecise Computations with Deferred Optional Tasks}, journal = {J. Inf. Sci. Eng.}, volume = {25}, number = {1}, pages = {185--200}, year = {2009}, url = {http://www.iis.sinica.edu.tw/page/jise/2009/200901\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChenLST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/KungLLHT09, author = {Tzu{-}Liang Kung and Cheng{-}Kuan Lin and Tyne Liang and Li{-}Yen Hsu and Jimmy J. M. Tan}, title = {Fault Diameter of Hypercubes with Hybrid Node and Link Faults}, journal = {J. Interconnect. Networks}, volume = {10}, number = {3}, pages = {233--242}, year = {2009}, url = {https://doi.org/10.1142/S0219265909002546}, doi = {10.1142/S0219265909002546}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/KungLLHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/LinCHTH09, author = {Cheng{-}Kuan Lin and Chien{-}Ping Chang and Tung{-}Yang Ho and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {A New Isomorphic Definition of the Crossed Cube and its Spanning Connectivity}, journal = {J. Interconnect. Networks}, volume = {10}, number = {1-2}, pages = {149--166}, year = {2009}, url = {https://doi.org/10.1142/S0219265909002480}, doi = {10.1142/S0219265909002480}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/LinCHTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/LinHTH09, author = {Cheng{-}Kuan Lin and Tung{-}Yang Ho and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-Tolerant Hamiltonian laceability and Fault-Tolerant Conditional Hamiltonian for Bipartite Hypercube-like Networks}, journal = {J. Interconnect. Networks}, volume = {10}, number = {3}, pages = {243--251}, year = {2009}, url = {https://doi.org/10.1142/S0219265909002558}, doi = {10.1142/S0219265909002558}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/LinHTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WuCCHL09, author = {Chen{-}Chi Wu and Kuan{-}Ta Chen and Chih{-}Ming Chen and Polly Huang and Chin{-}Laung Lei}, title = {On the challenge and design of transport protocols for MMORPGs}, journal = {Multim. Tools Appl.}, volume = {45}, number = {1-3}, pages = {7--32}, year = {2009}, url = {https://doi.org/10.1007/s11042-009-0297-5}, doi = {10.1007/S11042-009-0297-5}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/WuCCHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/KuengLLTH09, author = {Tz{-}Liang Kueng and Cheng{-}Kuan Lin and Tyne Liang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Embedding paths of variable lengths into hypercubes with conditional link-faults}, journal = {Parallel Comput.}, volume = {35}, number = {8-9}, pages = {441--454}, year = {2009}, url = {https://doi.org/10.1016/j.parco.2009.06.002}, doi = {10.1016/J.PARCO.2009.06.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/KuengLLTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KungLLHT09, author = {Tzu{-}Liang Kung and Cheng{-}Kuan Lin and Tyne Liang and Lih{-}Hsing Hsu and Jimmy J. M. Tan}, title = {On the bipanpositionable bipanconnectedness of hypercubes}, journal = {Theor. Comput. Sci.}, volume = {410}, number = {8-10}, pages = {801--811}, year = {2009}, url = {https://doi.org/10.1016/j.tcs.2008.11.004}, doi = {10.1016/J.TCS.2008.11.004}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/KungLLHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChangLTHH09, author = {Chung{-}Hao Chang and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and Lih{-}Hsing Hsu}, title = {The super spanning connectivity and super spanning laceability of the enhanced hypercubes}, journal = {J. Supercomput.}, volume = {48}, number = {1}, pages = {66--87}, year = {2009}, url = {https://doi.org/10.1007/s11227-008-0206-0}, doi = {10.1007/S11227-008-0206-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChangLTHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ZhuHTC09, author = {Yi Zhu and Yuanfang Hu and Michael B. Taylor and Chung{-}Kuan Cheng}, title = {Energy and switch area optimizations for {FPGA} global routing architectures}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {1}, pages = {13:1--13:25}, year = {2009}, url = {https://doi.org/10.1145/1455229.1455242}, doi = {10.1145/1455229.1455242}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/ZhuHTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenHL09, author = {Kuan{-}Ta Chen and Polly Huang and Chin{-}Laung Lei}, title = {Effect of Network Quality on Player Departure Behavior in Online Games}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {5}, pages = {593--606}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.148}, doi = {10.1109/TPDS.2008.148}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LiTWZC09, author = {Wenlong Li and Xiaofeng Tong and Tao Wang and Yimin Zhang and Yen{-}Kuang Chen}, title = {Parallelization Strategies and Performance Analysis of Media Mining Applications on Multi-Core Processors}, journal = {J. Signal Process. Syst.}, volume = {57}, number = {2}, pages = {213--228}, year = {2009}, url = {https://doi.org/10.1007/s11265-008-0320-5}, doi = {10.1007/S11265-008-0320-5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LiTWZC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LouCL10, author = {Jing{-}Kai Lou and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {A Collusion-Resistant Automation Scheme for Social Moderation Systems}, booktitle = {6th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2009, Las Vegas, NV, USA, 10-13 Jan., 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CCNC.2009.4784911}, doi = {10.1109/CCNC.2009.4784911}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LouCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChanTC09, author = {Chia{-}Jung Chan and Ruck Thawonmas and Kuan{-}Ta Chen}, editor = {Dan R. Olsen Jr. and Richard B. Arthur and Ken Hinckley and Meredith Ringel Morris and Scott E. Hudson and Saul Greenberg}, title = {Automatic storytelling in comics: a case study on World of Warcraft}, booktitle = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009}, pages = {3589--3594}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1520340.1520539}, doi = {10.1145/1520340.1520539}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/ChanTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gameon/ThawonmasOC09, author = {Ruck Thawonmas and Junichi Oda and Kuan{-}Ta Chen}, editor = {Linda Breitlauch}, title = {Analysis of User Trajectories Based on Data Distribution and State Transition: a Case Study with a Massively Multiplayer Online Game Angel Love Online}, booktitle = {GAMEON'2009, (Covers Game Methodology, Game Graphics, On-Line Gaming, Educational Gaming and Gaming for Real World Environments), November 26-28, 2009, Mediadesign Hochschule, D{\"{u}}sseldorf, Germany}, pages = {56--62}, publisher = {{EUROSIS}}, year = {2009}, timestamp = {Wed, 18 Aug 2010 08:28:47 +0200}, biburl = {https://dblp.org/rec/conf/gameon/ThawonmasOC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icai/ChiuC09, author = {Chung{-}Cheng Chiu and Kuan{-}Ta Chen}, editor = {Hamid R. Arabnia and David de la Fuente and Jos{\'{e}} Angel Olivas}, title = {On the Construction of Initial Basis Function for Efficient Value Function Approximation}, booktitle = {Proceedings of the 2009 International Conference on Artificial Intelligence, {ICAI} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {611--617}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Sun, 01 Nov 2009 16:07:13 +0100}, biburl = {https://dblp.org/rec/conf/icai/ChiuC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/TanTTT09, author = {Kuan Liang Tan and Chin Hiong Tan and Kay Chen Tan and Arthur Tay}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Adaptive game {AI} for Gomoku}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {507--512}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4804026}, doi = {10.1109/ICARA.2000.4804026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icara/TanTTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WuCCL09, author = {Chen{-}Chi Wu and Kuan{-}Ta Chen and Yu{-}Chun Chang and Chin{-}Laung Lei}, title = {Peer-to-Peer Application Recognition Based on Signaling Activity}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2009, Dresden, Germany, 14-18 June 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICC.2009.5199305}, doi = {10.1109/ICC.2009.5199305}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/WuCCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangTC09, author = {Renshen Wang and Takumi Okamoto and Chung{-}Kuan Cheng}, title = {Symmetrical buffer placement in clock trees for minimal skew immune to global on-chip variations}, booktitle = {27th International Conference on Computer Design, {ICCD} 2009, Lake Tahoe, CA, USA, October 4-7, 2009}, pages = {23--28}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCD.2009.5413180}, doi = {10.1109/ICCD.2009.5413180}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictd/ChenCCDHP09, author = {Kuang Chen and Harr Chen and Neil Conway and Heather Dolan and Joseph M. Hellerstein and Tapan S. Parikh}, editor = {M. Bernardine Dias and Richard Heeks and Rahul Tongia}, title = {Improving data quality with dynamic forms}, booktitle = {2009 International Conference on Information and Communication Technologies and Development, {ICTD} 2009, Education City, Doha, Qatar, April 17-19, 2009}, pages = {487}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICTD.2009.5426738}, doi = {10.1109/ICTD.2009.5426738}, timestamp = {Fri, 28 Jan 2022 10:30:37 +0100}, biburl = {https://dblp.org/rec/conf/ictd/ChenCCDHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/OdaTC09, author = {Junichi Oda and Ruck Thawonmas and Kuan{-}Ta Chen}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {Comparison of User Trajectories Based on Coordinate Data and State Transitions}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {1134--1137}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.288}, doi = {10.1109/IIH-MSP.2009.288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/OdaTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenTX09, author = {Kuan{-}Ta Chen and Cheng{-}Chun Tu and Wei{-}Cheng Xiao}, title = {OneClick: {A} Framework for Measuring Network Quality of Experience}, booktitle = {{INFOCOM} 2009. 28th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 19-25 April 2009, Rio de Janeiro, Brazil}, pages = {702--710}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/INFCOM.2009.5061978}, doi = {10.1109/INFCOM.2009.5061978}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenTX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/HuangCH09, author = {Te{-}Yuan Huang and Kuan{-}Ta Chen and Polly Huang}, title = {Tuning Skype's Redundancy Control Algorithm for User Satisfaction}, booktitle = {{INFOCOM} 2009. 28th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 19-25 April 2009, Rio de Janeiro, Brazil}, pages = {1179--1187}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/INFCOM.2009.5062031}, doi = {10.1109/INFCOM.2009.5062031}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/HuangCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HoLTH09, author = {Tung{-}Yang Ho and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-Tolerant Hamiltonicity of the WK-Recursive Networks}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {592--595}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.116}, doi = {10.1109/I-SPAN.2009.116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HoLTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ThawonmasYLC09, author = {Ruck Thawonmas and Keisuke Yoshida and Jing{-}Kai Lou and Kuan{-}Ta Chen}, editor = {St{\'{e}}phane Natkin and J{\'{e}}r{\^{o}}me Dupire}, title = {Analysis of Area Revisitation Patterns in World of Warcarft}, booktitle = {Entertainment Computing - {ICEC} 2009, 8th International Conference, Paris, France, September 3-5, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5709}, pages = {13--23}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04052-8\_2}, doi = {10.1007/978-3-642-04052-8\_2}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/iwec/ThawonmasYLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HoC09, author = {Chien{-}Ju Ho and Kuan{-}Ta Chen}, editor = {Paul N. Bennett and Raman Chandrasekar and Max Chickering and Panagiotis G. Ipeirotis and Edith Law and Anton Mityagin and Foster J. Provost and Luis von Ahn}, title = {On formal models for social verification}, booktitle = {Proceedings of the {ACM} {SIGKDD} Workshop on Human Computation, Paris, France, June 28, 2009}, pages = {62--69}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1600150.1600172}, doi = {10.1145/1600150.1600172}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HoC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HoCLHC09, author = {Chien{-}Ju Ho and Tao{-}Hsuan Chang and Jong{-}Chuan Lee and Jane Yung{-}jen Hsu and Kuan{-}Ta Chen}, editor = {Paul N. Bennett and Raman Chandrasekar and Max Chickering and Panagiotis G. Ipeirotis and Edith Law and Anton Mityagin and Foster J. Provost and Luis von Ahn}, title = {KissKissBan: a competitive human computation game for image annotation}, booktitle = {Proceedings of the {ACM} {SIGKDD} Workshop on Human Computation, Paris, France, June 28, 2009}, pages = {11--14}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1600150.1600153}, doi = {10.1145/1600150.1600153}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HoCLHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenWCL09, author = {Kuan{-}Ta Chen and Chen{-}Chi Wu and Yu{-}Chun Chang and Chin{-}Laung Lei}, editor = {Wen Gao and Yong Rui and Alan Hanjalic and Changsheng Xu and Eckehard G. Steinbach and Abdulmotaleb El{-}Saddik and Michelle X. Zhou}, title = {A crowdsourceable QoE evaluation framework for multimedia content}, booktitle = {Proceedings of the 17th International Conference on Multimedia 2009, Vancouver, British Columbia, Canada, October 19-24, 2009}, pages = {491--500}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1631272.1631339}, doi = {10.1145/1631272.1631339}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ChenWCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/TarngCH09, author = {Pin{-}Yun Tarng and Kuan{-}Ta Chen and Polly Huang}, title = {On prophesying online gamer departure}, booktitle = {8th Annual Workshop on Network and Systems Support for Games, NetGames 2009, Paris, France, 23-24 November, 2009}, pages = {1--2}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/NETGAMES.2009.5446225}, doi = {10.1109/NETGAMES.2009.5446225}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/netgames/TarngCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/WuCHL09, author = {Chen{-}Chi Wu and Kuan{-}Ta Chen and Chun{-}Ying Huang and Chin{-}Laung Lei}, editor = {Wei Tsang Ooi and Dongyan Xu}, title = {An empirical evaluation of VoIP playout buffer dimensioning in Skype, Google talk, and {MSN} Messenger}, booktitle = {Network and Operating System Support for Digital Audio and Video, 19th International Workshop, {NOSSDAV} 2009, Williamsburg, VA, {USA.} June 3-5, 2009, Proceedings}, pages = {97--102}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542245.1542268}, doi = {10.1145/1542245.1542268}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/WuCHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/KuanWSSC09, author = {Ta{-}Wen Kuan and Jhing{-}Fa Wang and Po{-}Yi Shih and Ta{-}Wei Sun and Miao{-}Hai Chen}, title = {Consolidation of Robust Speaker and Speech Recognition for Intelligent Doorway Application}, booktitle = {Proceedings of the 21st Conference on Computational Linguistics and Speech Processing, {ROCLING} 2009, National Chung Hsing University, Taichung, Taiwan, ROC, September 1-2, 2009}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2009}, url = {https://aclanthology.org/O09-2008/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/KuanWSSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/LamXWC09, author = {Ieng{-}Fat Lam and Wei{-}Cheng Xiao and Szu{-}Chi Wang and Kuan{-}Ta Chen}, editor = {Jong Hyuk Park and Hsiao{-}Hwa Chen and Mohammed Atiquzzaman and Changhoon Lee and Tai{-}Hoon Kim and Sang{-}Soo Yeo}, title = {Counteracting Phishing Page Polymorphism: An Image Layout Analysis Approach}, booktitle = {Advances in Information Security and Assurance, Third International Conference and Workshops, {ISA} 2009, Seoul, Korea, June 25-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5576}, pages = {270--279}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02617-1\_28}, doi = {10.1007/978-3-642-02617-1\_28}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/LamXWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/KuengLLTH08, author = {Tz{-}Liang Kueng and Cheng{-}Kuan Lin and Tyne Liang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-tolerant hamiltonian connectedness of cycle composition networks}, journal = {Appl. Math. Comput.}, volume = {196}, number = {1}, pages = {245--256}, year = {2008}, url = {https://doi.org/10.1016/j.amc.2007.05.055}, doi = {10.1016/J.AMC.2007.05.055}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/KuengLLTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LinHTH08, author = {Cheng{-}Kuan Lin and Hua{-}Min Huang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {On spanning connected graphs}, journal = {Discret. Math.}, volume = {308}, number = {7}, pages = {1330--1333}, year = {2008}, url = {https://doi.org/10.1016/j.disc.2007.03.072}, doi = {10.1016/J.DISC.2007.03.072}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LinHTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsj/SiaKT08, author = {Siew Kien Sia and Christine Koh and Cheng X. Tan}, title = {Strategic Maneuvers for Outsourcing Flexibility: An Empirical Assessment}, journal = {Decis. Sci.}, volume = {39}, number = {3}, pages = {407--443}, year = {2008}, url = {https://doi.org/10.1111/j.1540-5915.2008.00198.x}, doi = {10.1111/J.1540-5915.2008.00198.X}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsj/SiaKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HuangCHL08, author = {Te{-}Yuan Huang and Kuan{-}Ta Chen and Polly Huang and Chin{-}Laung Lei}, title = {A Generalizable Methodology for Quantifying User Satisfaction}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {5}, pages = {1260--1268}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.5.1260}, doi = {10.1093/IETCOM/E91-B.5.1260}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HuangCHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/LinTHCL08, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Lih{-}Hsing Hsu and Eddie Cheng and L{\'{a}}szl{\'{o}} Lipt{\'{a}}k}, title = {Conditional Diagnosability of Cayley Graphs Generated by Transposition Trees under the Comparison Diagnosis Model}, journal = {J. Interconnect. Networks}, volume = {9}, number = {1/2}, pages = {83--97}, year = {2008}, url = {https://doi.org/10.1142/S0219265908002175}, doi = {10.1142/S0219265908002175}, timestamp = {Sat, 01 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/LinTHCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LiLTLCWWHDZC08, author = {Eric Q. Li and Wenlong Li and Xiaofeng Tong and Jianguo Li and Yurong Chen and Tao Wang and Patricia P. Wang and Wei Hu and Yangzhou Du and Yimin Zhang and Yen{-}Kuang Chen}, title = {Accelerating Video-Mining Applications Using Many Small, General-Purpose Cores}, journal = {{IEEE} Micro}, volume = {28}, number = {5}, pages = {8--21}, year = {2008}, url = {https://doi.org/10.1109/MM.2008.64}, doi = {10.1109/MM.2008.64}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LiLTLCWWHDZC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TangCGPA08, author = {Yi Tang and Kuang{-}Wei Cheng and Subhanshu Gupta and Jeyanandh Paramesh and David J. Allstot}, title = {Cascaded Complex ADCs With Adaptive Digital Calibration for {I/Q} Mismatch}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {3}, pages = {817--827}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2008.916408}, doi = {10.1109/TCSI.2008.916408}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TangCGPA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/ThawonmasKC08, author = {Ruck Thawonmas and Yoshitaka Kashifuji and Kuan{-}Ta Chen}, editor = {Masa Inakage and Adrian David Cheok}, title = {Detection of {MMORPG} bots based on behavior analysis}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2008, Yokohama, Japan, December 3-5, 2008}, series = {{ACM} International Conference Proceeding Series}, volume = {352}, pages = {91--94}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1501750.1501770}, doi = {10.1145/1501750.1501770}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/ThawonmasKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/ChenL08, author = {Kuan{-}Ta Chen and Jing{-}Kai Lou}, title = {Rapid Detection of Constant-Packet-Rate Flows}, booktitle = {Proceedings of the The Third International Conference on Availability, Reliability and Security, {ARES} 2008, March 4-7, 2008, Technical University of Catalonia, Barcelona , Spain}, pages = {212--220}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ARES.2008.153}, doi = {10.1109/ARES.2008.153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEares/ChenL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ChenL08, author = {Kuan{-}Ta Chen and Jing{-}Kai Lou}, title = {Toward an understanding of the processing delay of peer-to-peer relay nodes}, booktitle = {The 38th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2008, June 24-27, 2008, Anchorage, Alaska, USA, Proceedings}, pages = {410--419}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSN.2008.4630111}, doi = {10.1109/DSN.2008.4630111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/ChenL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangCWL08, author = {Yu{-}Chun Chang and Kuan{-}Ta Chen and Chen{-}Chi Wu and Chin{-}Laung Lei}, title = {Inferring Speech Activity from Encrypted Skype Traffic}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {2143--2147}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.413}, doi = {10.1109/GLOCOM.2008.ECP.413}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChangCWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/HsuCTL08, author = {Ching{-}Hsien Hsu and Tai{-}Lung Chen and Bing{-}Ru Tsai and Kuan{-}Ching Li}, editor = {Song Wu and Laurence Tianruo Yang and Tony Li Xu}, title = {Scheduling for Atomic Broadcast Operation in Heterogeneous Networks with One Port Model}, booktitle = {Advances in Grid and Pervasive Computing, Third International Conference, {GPC} 2008, Kunming, China, May 25-28, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5036}, pages = {166--177}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-68083-3\_18}, doi = {10.1007/978-3-540-68083-3\_18}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/gpc/HsuCTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenLLW08, author = {Yen{-}Kuang Chen and Wenlong Li and Jianguo Li and Tao Wang}, title = {Novel parallel Hough Transform on multi-core processors}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2008, March 30 - April 4, 2008, Caesars Palace, Las Vegas, Nevada, {USA}}, pages = {1457--1460}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICASSP.2008.4517895}, doi = {10.1109/ICASSP.2008.4517895}, timestamp = {Tue, 25 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenLLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuTBC08, author = {Yi Zhu and Michael B. Taylor and Scott B. Baden and Chung{-}Kuan Cheng}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Advancing supercomputer performance through interconnection topology synthesis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {555--558}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681630}, doi = {10.1109/ICCAD.2008.4681630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuTBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iptcomm/WuCCL08, author = {Chen{-}Chi Wu and Kuan{-}Ta Chen and Yu{-}Chun Chang and Chin{-}Laung Lei}, editor = {Henning Schulzrinne and Radu State and Saverio Niccolini}, title = {Detecting VoIP Traffic Based on Human Conversation Patterns}, booktitle = {Principles, Systems and Applications of {IP} Telecommunications. Services and Security for Next Generation Networks, Second International Conference, IPTComm 2008, Heidelberg, Germany, July 1-2, 2008. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5310}, pages = {280--295}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89054-6\_14}, doi = {10.1007/978-3-540-89054-6\_14}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iptcomm/WuCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/LinTHHH08, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {Mutually Independent Hamiltonianicity of Pancake Graphs and Star Graphs}, booktitle = {9th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2008, 7-9 May 2008, Sydney, NSW, Australia}, pages = {151--158}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/I-SPAN.2008.41}, doi = {10.1109/I-SPAN.2008.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/LinTHHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/RodriguezSSCSL08, author = {Norma Rodriguez and Li Song and Shishir Shroff and Kuang Han Chen and Taber Smith and Wilbur Luo}, title = {Hotspot Prevention Using {CMP} Model in Design Implementation Flow}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {365--368}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479758}, doi = {10.1109/ISQED.2008.4479758}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/RodriguezSSCSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ChenLPC08, author = {Kuan{-}Ta Chen and Andrew Liao and Hsing{-}Kuo Kenneth Pao and Hao{-}Hua Chu}, editor = {Scott M. Stevens and Shirley J. Saldamarco}, title = {Game Bot Detection Based on Avatar Trajectory}, booktitle = {Entertainment Computing - {ICEC} 2008, 7th International Conference, Pittsburgh, PA, USA, September 25-27, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5309}, pages = {94--105}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89222-9\_11}, doi = {10.1007/978-3-540-89222-9\_11}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/iwec/ChenLPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsec/LamCC08, author = {Ieng{-}Fat Lam and Kuan{-}Ta Chen and Ling{-}Jyh Chen}, editor = {Kanta Matsuura and Eiichiro Fujisaki}, title = {Involuntary Information Leakage in Social Network Services}, booktitle = {Advances in Information and Computer Security, Third International Workshop on Security, {IWSEC} 2008, Kagawa, Japan, November 25-27, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5312}, pages = {167--183}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89598-5\_11}, doi = {10.1007/978-3-540-89598-5\_11}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwsec/LamCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenHCH08, author = {Chih{-}Ming Chen and Te{-}Yuan Huang and Kuan{-}Ta Chen and Polly Huang}, editor = {Mark Claypool}, title = {Quantifying the effect of content-based transport strategies for online role playing games}, booktitle = {Proceedings of the 7th {ACM} {SIGCOMM} Workshop on Network and System Support for Games, {NETGAMES} 2008, Worcester, Massachusetts, USA, October 21-22, 2008}, pages = {98--99}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517494.1517515}, doi = {10.1145/1517494.1517515}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/ChenHCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenPC08, author = {Kuan{-}Ta Chen and Hsing{-}Kuo Kenneth Pao and Hong{-}Chung Chang}, editor = {Mark Claypool}, title = {Game bot identification based on manifold learning}, booktitle = {Proceedings of the 7th {ACM} {SIGCOMM} Workshop on Network and System Support for Games, {NETGAMES} 2008, Worcester, Massachusetts, USA, October 21-22, 2008}, pages = {21--26}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517494.1517498}, doi = {10.1145/1517494.1517498}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/ChenPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/TarngCH08, author = {Pin{-}Yun Tarng and Kuan{-}Ta Chen and Polly Huang}, editor = {Mark Claypool}, title = {An analysis of WoW players' game hours}, booktitle = {Proceedings of the 7th {ACM} {SIGCOMM} Workshop on Network and System Support for Games, {NETGAMES} 2008, Worcester, Massachusetts, USA, October 21-22, 2008}, pages = {47--52}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517494.1517504}, doi = {10.1145/1517494.1517504}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/TarngCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ozchi/LeeCYCYC08, author = {Chao{-}Lung Lee and Yun{-}Maw Cheng and Ching{-}Long Yeh and Li{-}Chieh Chen and Wai Yu and Kuan{-}Ta Chen}, editor = {Nicola J. Bidwell}, title = {Surfing in the crowd: feasibility study of experience sharing in a Taiwanese night market}, booktitle = {Proceedings of the 20th Australasian Computer-Human Interaction Conference, {OZCHI} 2008: Designing for Habitus and Habitat, Cairns, Australia, December 8-12, 2008}, series = {{ACM} International Conference Proceeding Series}, volume = {287}, pages = {239--242}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517744.1517754}, doi = {10.1145/1517744.1517754}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ozchi/LeeCYCYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/ChenWCKL08, author = {Ling{-}Jyh Chen and Bo{-}Chun Wang and Kuan{-}Ta Chen and Irwin King and Jimmy Ho{-}Man Lee}, title = {An Analytical Study of Puzzle Selection Strategies for the {ESP} Game}, booktitle = {2008 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2008, 9-12 December 2008, Sydney, NSW, Australia, Main Conference Proceedings}, pages = {180--183}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WIIAT.2008.31}, doi = {10.1109/WIIAT.2008.31}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webi/ChenWCKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/LinCCKL08, author = {Chien{-}Wei Lin and Kuan{-}Ta Chen and Ling{-}Jyh Chen and Irwin King and Jimmy Ho{-}Man Lee}, title = {An Analytical Approach to Optimizing the Utility of {ESP} Games}, booktitle = {2008 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2008, 9-12 December 2008, Sydney, NSW, Australia, Main Conference Proceedings}, pages = {184--187}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WIIAT.2008.220}, doi = {10.1109/WIIAT.2008.220}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webi/LinCCKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/TaoHCLL06, author = {Shu{-}Yuan Tao and Kuang{-}Wen Ho and Chen{-}Wei Chung and Baw{-}Jhiune Liu and Chen{-}Chung Liu}, title = {Designing a Groupware with Handheld Devices for Learning Mathematics}, booktitle = {Proceedings of the Fifth {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2008, Beijing, China, March 23-26, 2008}, pages = {216--218}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WMUTE.2008.23}, doi = {10.1109/WMUTE.2008.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/TaoHCLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HuangCCL07, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Secure multicast in dynamic environments}, journal = {Comput. Networks}, volume = {51}, number = {10}, pages = {2805--2817}, year = {2007}, url = {https://doi.org/10.1016/j.comnet.2006.11.027}, doi = {10.1016/J.COMNET.2006.11.027}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/HuangCCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HuangCLSG07, author = {Chenn{-}Jung Huang and Yi{-}Ta Chuang and Wei Kuang Lai and Yu{-}Hang Sun and Chih{-}Tai Guan}, title = {Adaptive resource reservation schemes for proportional DiffServ enabled fourth-generation mobile communications system}, journal = {Comput. Commun.}, volume = {30}, number = {7}, pages = {1613--1623}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2007.01.015}, doi = {10.1016/J.COMCOM.2007.01.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/HuangCLSG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/HsuCL07, author = {Ching{-}Hsien Hsu and Tai{-}Lung Chen and Kuan{-}Ching Li}, title = {Performance effective pre-scheduling strategy for heterogeneous grid systems in the master slave paradigm}, journal = {Future Gener. Comput. Syst.}, volume = {23}, number = {4}, pages = {569--579}, year = {2007}, url = {https://doi.org/10.1016/j.future.2006.09.007}, doi = {10.1016/J.FUTURE.2006.09.007}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/HsuCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijvr/ThawonmasKC07, author = {Ruck Thawonmas and Masayoshi Kurashige and Kuan{-}Ta Chen}, title = {Detection of Landmarks for Clustering of Online-Game Players}, journal = {Int. J. Virtual Real.}, volume = {6}, number = {3}, pages = {11--16}, year = {2007}, url = {http://www.ijvr.org/issues/issue3-2007/2.pdf}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijvr/ThawonmasKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/HuangLCH07, author = {Chenn{-}Jung Huang and Wei Kuang Lai and Yi{-}Ta Chuang and Sheng{-}Yu Hsiao}, title = {A Dynamic Alternate Path QoS Enabled Routing Scheme in Mobile Ad hoc Networks}, journal = {Int. J. Wirel. Inf. Networks}, volume = {14}, number = {1}, pages = {1--16}, year = {2007}, url = {https://doi.org/10.1007/s10776-006-0048-8}, doi = {10.1007/S10776-006-0048-8}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/HuangLCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/LiCHWLCT07, author = {Kuan{-}Ching Li and Chiou{-}Nan Chen and Tsu{-}Yi Hsieh and Chia{-}Hsien Wen and Joung{-}Liang Lan and Der{-}Yuan Chen and Chuan Yi Tang}, title = {Towards design of a nailfold capillary microscopy image analysis and diagnosis framework using grid technology}, journal = {J. High Speed Networks}, volume = {16}, number = {1}, pages = {81--89}, year = {2007}, url = {http://content.iospress.com/articles/journal-of-high-speed-networks/jhs309}, timestamp = {Mon, 18 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/LiCHWLCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ChenT07, author = {Jenhui Chen and Wei{-}Kuang Tan}, title = {Predictive Dynamic Channel Allocation Scheme for Improving Power Saving and Mobility in {BWA} Networks}, journal = {Mob. Networks Appl.}, volume = {12}, number = {1}, pages = {15--30}, year = {2007}, url = {https://doi.org/10.1007/s11036-006-0003-z}, doi = {10.1007/S11036-006-0003-Z}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/ChenT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/LinTHH07, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {On the spanning connectivity and spanning laceability of hypercube-like networks}, journal = {Theor. Comput. Sci.}, volume = {381}, number = {1-3}, pages = {218--229}, year = {2007}, url = {https://doi.org/10.1016/j.tcs.2007.05.002}, doi = {10.1016/J.TCS.2007.05.002}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/LinTHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinTLCWC07, author = {Chung{-}Wei Lin and Ming{-}Chao Tsai and Kuang{-}Yao Lee and Tai{-}Chen Chen and Ting{-}Chi Wang and Yao{-}Wen Chang}, title = {Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {238--243}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357992}, doi = {10.1109/ASPDAC.2007.357992}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LinTLCWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LiuTHLH07, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Kuang{-}Wen Ho and Baw{-}Jhiune Liu and Ching{-}Chi Hsu}, editor = {J. Michael Spector and Demetrios G. Sampson and Toshio Okamoto and Kinshuk and Stefano A. Cerri and Maomi Ueno and Akihiro Kashihara}, title = {Constructing an {MCSCL} Groupware to Improve the Problem-solving Experience of Mathematics for Hearing-impaired Students}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, pages = {345--347}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICALT.2007.104}, doi = {10.1109/ICALT.2007.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LiuTHLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuZTC07, author = {Yuanfang Hu and Yi Zhu and Michael B. Taylor and Chung{-}Kuan Cheng}, title = {{FPGA} global routing architecture optimization using a multicommodity flow approach}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {144--151}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601893}, doi = {10.1109/ICCD.2007.4601893}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuZTC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChouFL07, author = {Ta{-}Chiun Chou and Li{-}Chen Fu and Kuang{-}Ping Liu}, title = {E-Negotiation of Dependent Multiple Issues by Using a Joint Search Strategy}, booktitle = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, pages = {1298--1303}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBOT.2007.363164}, doi = {10.1109/ROBOT.2007.363164}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChouFL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/TanDYOHKCMH07, author = {Zongkun Tan and Meihua Ding and Xin Yang and Zhaorong Ou and Yan He and Zhaomin Kuang and Huilin Chen and Xiaohua Mo and Zhongyan Huang}, editor = {Daoliang Li}, title = {The Design and Implementation of Sugar-cane Intelligence Expert System Based on Eos/Modis Data Inference Model}, booktitle = {Computer And Computing Technologies In Agriculture, Volume II, First {IFIP} {TC} 12 International Conference on Computer and Computing Technologies in Agriculture {(CCTA} 2007), Wuyishan, China, August 18-20, 2007}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {259}, pages = {1181--1191}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-77253-0\_52}, doi = {10.1007/978-0-387-77253-0\_52}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/TanDYOHKCMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenCSSCYC07, author = {Ling{-}Jyh Chen and Yung{-}Chih Chen and Tony Sun and Paruvelli Sreedevi and Kuan{-}Ta Chen and Chen{-}Hung Yu and Hao{-}Hua Chu}, title = {Finding Self-Similarities in Opportunistic People Networks}, booktitle = {{INFOCOM} 2007. 26th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 6-12 May 2007, Anchorage, Alaska, {USA}}, pages = {2286--2290}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/INFCOM.2007.266}, doi = {10.1109/INFCOM.2007.266}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenCSSCYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenH07, author = {Kuan{-}Ta Chen and Li{-}Wen Hong}, editor = {Grenville J. Armitage}, title = {User identification based on game-play activity patterns}, booktitle = {Proceedings of the 6th Workshop on Network and System Support for Games, {NETGAMES} 2007, Melbourne, Australia, September 19-20, 2007}, pages = {7--12}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1326257.1326259}, doi = {10.1145/1326257.1326259}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/ChenH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/ChenHL06, author = {Kuan{-}Ta Chen and Polly Huang and Chin{-}Laung Lei}, title = {How sensitive are online gamers to network quality?}, journal = {Commun. {ACM}}, volume = {49}, number = {11}, pages = {34--38}, year = {2006}, url = {https://doi.org/10.1145/1167838.1167859}, doi = {10.1145/1167838.1167859}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/ChenHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ChenHL06, author = {Kuan{-}Ta Chen and Polly Huang and Chin{-}Laung Lei}, title = {Game traffic analysis: An {MMORPG} perspective}, journal = {Comput. Networks}, volume = {50}, number = {16}, pages = {3002--3023}, year = {2006}, url = {https://doi.org/10.1016/j.comnet.2005.11.005}, doi = {10.1016/J.COMNET.2005.11.005}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/ChenHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KuoYCW06, author = {Tai{-}Haur Kuo and Cheng{-}Chung Yang and Kuan{-}Dar Chen and Wen{-}Chi Wang}, title = {Design Method for High-Order Sigma-Delta Modulator Stabilized by Departure Angles Designed to Keep Root Loci in Unit Circle}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {10}, pages = {1083--1087}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.882219}, doi = {10.1109/TCSII.2006.882219}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KuoYCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/TarnC06, author = {J. Michael Tarn and Kuanchin Chen}, title = {Mobile technology as a learning object and an exploration tool in an {IS} curriculum: an innovative instruction of wireless network security}, journal = {{IEEE} Trans. Educ.}, volume = {49}, number = {2}, pages = {193--198}, year = {2006}, url = {https://doi.org/10.1109/TE.2006.873979}, doi = {10.1109/TE.2006.873979}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/te/TarnC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChouHHC06, author = {Jerry Chi{-}Yuan Chou and Tai{-}Yi Huang and Kuang{-}Li Huang and Tsung{-}Yen Chen}, title = {{SCALLOP:} {A} Scalable and Load-Balanced Peer-to-Peer Lookup Protocol}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {17}, number = {5}, pages = {419--433}, year = {2006}, url = {https://doi.org/10.1109/TPDS.2006.66}, doi = {10.1109/TPDS.2006.66}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChouHHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/ChenHHL06, author = {Kuan{-}Ta Chen and Chun{-}Ying Huang and Polly Huang and Chin{-}Laung Lei}, editor = {Hiroshi Ishii and Newton Lee and St{\'{e}}phane Natkin and Katsuhide Tsushima}, title = {An empirical evaluation of {TCP} performance in online games}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2006, Hollywood, California, USA, June 14-16, 2006}, pages = {5}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1178823.1178830}, doi = {10.1145/1178823.1178830}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/ChenHHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/ChenJHCLC06, author = {Kuan{-}Ta Chen and Jhih{-}Wei Jiang and Polly Huang and Hao{-}Hua Chu and Chin{-}Laung Lei and Wen{-}Chin Chen}, editor = {Hiroshi Ishii and Newton Lee and St{\'{e}}phane Natkin and Katsuhide Tsushima}, title = {Identifying {MMORPG} bots: a traffic analysis approach}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2006, Hollywood, California, USA, June 14-16, 2006}, pages = {4}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1178823.1178829}, doi = {10.1145/1178823.1178829}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/ChenJHCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/HuangCCL06, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Chin{-}Laung Lei}, editor = {Ferng{-}Ching Lin and Der{-}Tsai Lee and Bao{-}Shuh Paul Lin and Shiuhpyng Shieh and Sushil Jajodia}, title = {A distributed key assignment protocol for secure multicast based on proxy cryptography}, booktitle = {Proceedings of the 2006 {ACM} Symposium on Information, Computer and Communications Security, {ASIACCS} 2006, Taipei, Taiwan, March 21-24, 2006}, pages = {359}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128817.1128875}, doi = {10.1145/1128817.1128875}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/HuangCCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HuangCL06, author = {Chun{-}Ying Huang and Kuan{-}Ta Chen and Chin{-}Laung Lei}, title = {Mitigating Active Attacks Towards Client Networks Using the Bitmap Filter}, booktitle = {2006 International Conference on Dependable Systems and Networks {(DSN} 2006), 25-28 June 2006, Philadelphia, Pennsylvania, USA, Proceedings}, pages = {403--412}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSN.2006.54}, doi = {10.1109/DSN.2006.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/HuangCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangCTSMWCWC06, author = {Ruey{-}Feng Chang and Kuang{-}Che Chang{-}Chien and Etsuo Takada and Jasjit S. Suri and Woo Kyung Moon and Jeffery H. K. Wu and Nariya Cho and Yi{-}Fa Wang and Dar{-}Ren Chen}, title = {Breast Density Analysis in 3-D Whole Breast Ultrasound Images}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {2795--2798}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260217}, doi = {10.1109/IEMBS.2006.260217}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChangCTSMWCWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangCTSMWCWC06a, author = {Ruey{-}Feng Chang and Kuang{-}Che Chang{-}Chien and Etsuo Takada and Jasjit S. Suri and Woo Kyung Moon and Jeffery H. K. Wu and Nariya Cho and Yi{-}Fa Wang and Dar{-}Ren Chen}, title = {Three Comparative Approaches for Breast Density Estimation in Digital and Screen Film Mammograms}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {4853--4856}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260218}, doi = {10.1109/IEMBS.2006.260218}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChangCTSMWCWC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/TanKK06, author = {Chengxun Tan and Sia Siew Kien and Christine Kuan}, title = {Flexibility Maneuvers in Outsourcing: An Empirical Assessment}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2006, Milwaukee, Wisconsin, USA, December 10-13, 2006}, pages = {87}, publisher = {Association for Information Systems}, year = {2006}, url = {http://aisel.aisnet.org/icis2006/87}, timestamp = {Wed, 28 Dec 2011 16:08:44 +0100}, biburl = {https://dblp.org/rec/conf/icis/TanKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiLDCWZ06, author = {Wenlong Li and Eric Q. Li and Carole Dulong and Yen{-}Kuang Chen and Tao Wang and Yimin Zhang}, title = {Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System}, booktitle = {Proceedings of the 2006 {IEEE} International Symposium on Workload Characterization, {IISWC} 2006, October 25-27, 2006, San Jose, California, {USA}}, pages = {7--16}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IISWC.2006.302725}, doi = {10.1109/IISWC.2006.302725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiLDCWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenHHL06, author = {Kuan{-}Ta Chen and Polly Huang and Chun{-}Ying Huang and Chin{-}Laung Lei}, title = {The Impact of Network Variabilities on {TCP} Clocking Schemes}, booktitle = {{INFOCOM} 2006. 25th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 23-29 April 2006, Barcelona, Catalunya, Spain}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/INFOCOM.2006.337}, doi = {10.1109/INFOCOM.2006.337}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenHHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenHWHL06, author = {Kuan{-}Ta Chen and Polly Huang and Guo{-}Shiuan Wang and Chun{-}Ying Huang and Chin{-}Laung Lei}, title = {On the Sensitivity of Online Game Playing Time to Network QoS}, booktitle = {{INFOCOM} 2006. 25th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 23-29 April 2006, Barcelona, Catalunya, Spain}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/INFOCOM.2006.286}, doi = {10.1109/INFOCOM.2006.286}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenHWHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TienTC06, author = {Tzyy{-}Kuen Tien and Jing{-}Jou Tang and Kuan{-}Jou Chen}, title = {A new high speed dynamic {PLA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693228}, doi = {10.1109/ISCAS.2006.1693228}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TienTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ChenL06, author = {Kuan{-}Ta Chen and Chin{-}Laung Lei}, editor = {Richard H. R. Harper and Matthias Rauterberg and Marco Combetto}, title = {Design Implications of Social Interaction in Online Games}, booktitle = {Entertainment Computing - {ICEC} 2006, 5th International Conference, Cambridge, UK, September 20-22, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4161}, pages = {318--321}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11872320\_41}, doi = {10.1007/11872320\_41}, timestamp = {Fri, 27 Mar 2020 09:01:01 +0100}, biburl = {https://dblp.org/rec/conf/iwec/ChenL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenL06, author = {Kuan{-}Ta Chen and Chin{-}Laung Lei}, editor = {Adrian David Cheok and Yutaka Ishibashi}, title = {Network game design: hints and implications of player interaction}, booktitle = {Proceedings of the 5th Workshop on Network and System Support for Games, {NETGAMES} 2006, Singapore, October 30-31, 2006}, pages = {17}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1230040.1230083}, doi = {10.1145/1230040.1230083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/ChenL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/ChenHHL06, author = {Kuan{-}Ta Chen and Chun{-}Ying Huang and Polly Huang and Chin{-}Laung Lei}, editor = {Luigi Rizzo and Thomas E. Anderson and Nick McKeown}, title = {Quantifying Skype user satisfaction}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2006 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, Pisa, Italy, September 11-15, 2006}, pages = {399--410}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1159913.1159959}, doi = {10.1145/1159913.1159959}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/ChenHHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vecpar/LiCWWT06, author = {Kuan{-}Ching Li and Chiou{-}Nan Chen and Tsung{-}Ying Wu and Chia{-}Hsien Wen and Chuan Yi Tang}, editor = {Michel J. Dayd{\'{e}} and Jos{\'{e}} M. Laginha M. Palma and Alvaro L. G. A. Coutinho and Esther Pacitti and Jo{\~{a}}o Correia Lopes}, title = {BioPortal: {A} Portal for Deployment of Bioinformatics Applications on Cluster and Grid Environments}, booktitle = {High Performance Computing for Computational Science - {VECPAR} 2006, 7th International Conference, Rio de Janeiro, Brazil, June 10-13, 2006, Revised Selected and Invited Papers}, series = {Lecture Notes in Computer Science}, volume = {4395}, pages = {566--578}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-71351-7\_44}, doi = {10.1007/978-3-540-71351-7\_44}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/vecpar/LiCWWT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SuTWCLT05, author = {Jun{-}Ming Su and Shian{-}Shyong Tseng and Jui{-}Feng Weng and Kuan{-}Ting Chen and Yi{-}Lin Liu and Yi{-}Ta Tsai}, title = {An Object Based Authoring Tool for Creating {SCORM} Compliant Course}, booktitle = {19th International Conference on Advanced Information Networking and Applications {(AINA} 2005), 28-30 March 2005, Taipei, Taiwan}, pages = {209--214}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/AINA.2005.115}, doi = {10.1109/AINA.2005.115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SuTWCLT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/SutantoPKKT05, author = {Juliana Sutanto and Chee Wei Phang and Huei Huang Kuan and Atreyi Kankanhalli and Bernard C. Y. Tan}, title = {Vicious and Virtuous Cycles in Global Virtual Team Role Coordination}, booktitle = {38th Hawaii International Conference on System Sciences {(HICSS-38} 2005), {CD-ROM} / Abstracts Proceedings, 3-6 January 2005, Big Island, HI, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HICSS.2005.671}, doi = {10.1109/HICSS.2005.671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/SutantoPKKT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/FengCLC05, author = {Kuang{-}Cheng Feng and Ben Chang and Chih{-}Hung Lai and Tak{-}Wai Chan}, title = {Joyce: {A} Multi-Player Game on One-on-One Digital Classroom Environment for Practicing Fractions}, booktitle = {Proceedings of the 5th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2005, Kaohsiung, Taiwan, July 5-8, 2005}, pages = {543--544}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICALT.2005.186}, doi = {10.1109/ICALT.2005.186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/FengCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChenHHL05, author = {Kuan{-}Ta Chen and Polly Huang and Chun{-}Ying Huang and Chin{-}Laung Lei}, title = {The impact of network variabilities on {TCP} clocking schemes}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {2770--2775}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1498560}, doi = {10.1109/INFCOM.2005.1498560}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChenHHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/HuangCCCL05, author = {Chun{-}Ying Huang and Yun{-}Peng Chiu and Kuan{-}Ta Chen and Hann{-}Huei Chiou and Chin{-}Laung Lei}, title = {Secure Content Delivery using Key Composition}, booktitle = {30th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2005), 15-17 November 2005, Sydney, Australia, Proceedings}, pages = {484--485}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/LCN.2005.120}, doi = {10.1109/LCN.2005.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/HuangCCCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/ChenHHL05, author = {Kuan{-}Ta Chen and Polly Huang and Chun{-}Ying Huang and Chin{-}Laung Lei}, editor = {Wu{-}chi Feng and Ketan Mayer{-}Patel}, title = {Game traffic analysis: an {MMORPG} perspective}, booktitle = {Network and Operating System Support for Digital Audio and Video, 15th International Workshop, {NOSSDAV} 2005, Stevenson, Washington, USA, June 13-14, 2005, Proceedings}, pages = {19--24}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065983.1065988}, doi = {10.1145/1065983.1065988}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/ChenHHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/ChenTW05, author = {Jenhui Chen and Wei{-}Kuang Tan and Chih{-}Chieh Wang}, title = {{MCAS:} a macrocell channel allocation scheme for broadband wireless access networks}, booktitle = {2005 {IEEE} International Conference on Wireless And Mobile Computing, Networking And Communications, WiMob 2005, Montreal, Canada, August 22-14, 2005, Volume 1}, pages = {107--114}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WIMOB.2005.1512824}, doi = {10.1109/WIMOB.2005.1512824}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wimob/ChenTW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChenCH04, author = {Chih{-}Chien Thomas Chen and Chin{-}Ta Chen and Cheng{-}Kuan Hou}, title = {Speaker identification using hybrid Karhunen-Loeve transform and Gaussian mixture model approach}, journal = {Pattern Recognit.}, volume = {37}, number = {5}, pages = {1073--1075}, year = {2004}, url = {https://doi.org/10.1016/j.patcog.2003.08.013}, doi = {10.1016/J.PATCOG.2003.08.013}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/ChenCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/ChenJFCC04, author = {Yu{-}Fen Chen and Yih{-}Ruey Juang and Kuang{-}Cheng Feng and Chih{-}Yueh Chou and Tak{-}Wai Chan}, editor = {Kinshuk and Chee{-}Kit Looi and Erkki Sutinen and Demetrios G. Sampson and Ignacio Aedo and Lorna Uden and Esko K{\"{a}}hk{\"{o}}nen}, title = {Defining Instructional Plan Meta-Data for a Wireless Technology Enhanced Classroom}, booktitle = {Proceedings of the {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2004, Joensuu, Finland, August 30 - September 1, 2004}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICALT.2004.1357625}, doi = {10.1109/ICALT.2004.1357625}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/ChenJFCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiYTLW04, author = {Hung{-}Chieh Tsai and Jyh{-}Yih Yeh and Wei{-}Hsuan Tu and Tai{-}Cheng Lee and Chorng{-}Kuang Wang}, title = {A 10 Gbase-LX4 receiver front end transimpedance amplifier and limiting amplifier}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {393--396}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiYTLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/DasFCTCR04, author = {Shamik Das and Andy Fan and Kuan{-}Neng Chen and Chuan Seng Tan and Nisha Checka and Rafael Reif}, editor = {Charles J. Alpert and Patrick Groeneveld}, title = {Technology, performance, and computer-aided design of three-dimensional integrated circuits}, booktitle = {Proceedings of the 2004 International Symposium on Physical Design, {ISPD} 2004, Phoenix, Arizona, USA, April 18-21, 2004}, pages = {108--115}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/981066.981091}, doi = {10.1145/981066.981091}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/DasFCTCR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metmbs/ChiuCTHK04, author = {Hua{-}Sheng Chiu and Han{-}Yu Chuang and Huai{-}Kuang Tsai and Tao{-}Wei Huang and Cheng{-}Yan Kao}, editor = {Faramarz Valafar and Homayoun Valafar}, title = {Discovering Statistically Significant Clusters by Using Iterative Genetic Algorithms in Gene Expression Data}, booktitle = {Proceedings of the International Conference on Mathematics and Engineering Techniques in Medicine and Biological Scienes, {METMBS} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {243--249}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Thu, 23 Jun 2016 15:53:27 +0200}, biburl = {https://dblp.org/rec/conf/metmbs/ChiuCTHK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KuoCY02, author = {Tai{-}Haur Kuo and Kuan{-}Dar Chen and Horng{-}Ru Yeng}, title = {A wideband {CMOS} sigma-delta modulator with incremental data weighted averaging}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {1}, pages = {11--17}, year = {2002}, url = {https://doi.org/10.1109/4.974541}, doi = {10.1109/4.974541}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KuoCY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/TanLG02, author = {Hee Beng Kuan Tan and Tok Wang Ling and Cheng Hian Goh}, title = {Exploring into Programs for the Recovery of Data Dependencies Designed}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {14}, number = {4}, pages = {825--835}, year = {2002}, url = {https://doi.org/10.1109/TKDE.2002.1019216}, doi = {10.1109/TKDE.2002.1019216}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/TanLG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GuoTCY01, author = {Pei{-}Ning Guo and Toshihiko Takahashi and Chung{-}Kuan Cheng and Takeshi Yoshimura}, title = {Floorplanning using a tree representation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {2}, pages = {281--289}, year = {2001}, url = {https://doi.org/10.1109/43.908471}, doi = {10.1109/43.908471}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GuoTCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/PangCY00, author = {Yingxin Pang and Chung{-}Kuan Cheng and Takeshi Yoshimura}, editor = {Manfred Wiesel and Dwight D. Hill}, title = {An enhanced perturbing algorithm for floorplan design using the O-tree representation}, booktitle = {Proceedings of the 2000 International Symposium on Physical Design, {ISPD} 2000, San Diego, CA, USA, April 9-12, 2000}, pages = {168--173}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/332357.332395}, doi = {10.1145/332357.332395}, timestamp = {Thu, 26 Aug 2021 17:11:38 +0200}, biburl = {https://dblp.org/rec/conf/ispd/PangCY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangWYW99, author = {Kuang{-}Hu Huang and Wei{-}Cheng Wang and Tang{-}Huei Yang and Chorng{-}Kuang Wang}, title = {A 2-V 7.2{\textdegree} jitter AM-suppression {CMOS} amplifier using current-mode hybrid magnitude control}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {10}, pages = {1373--1381}, year = {1999}, url = {https://doi.org/10.1109/4.792606}, doi = {10.1109/4.792606}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangWYW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/ChenCM99, author = {Tao Chen and Li{-}Hui Chen and Kai{-}Kuang Ma}, title = {Colour Image Indexing Using {SOM} for Region-of-Interest Retrieval}, journal = {Pattern Anal. Appl.}, volume = {2}, number = {2}, pages = {164--171}, year = {1999}, url = {https://doi.org/10.1007/s100440050025}, doi = {10.1007/S100440050025}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paa/ChenCM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ChenMC99, author = {Tao Chen and Kai{-}Kuang Ma and Li{-}Hui Chen}, title = {Tri-state median filter for image denoising}, journal = {{IEEE} Trans. Image Process.}, volume = {8}, number = {12}, pages = {1834--1838}, year = {1999}, url = {https://doi.org/10.1109/83.806630}, doi = {10.1109/83.806630}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ChenMC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuoCY99, author = {Pei{-}Ning Guo and Chung{-}Kuan Cheng and Takeshi Yoshimura}, editor = {Mary Jane Irwin}, title = {An O-Tree Representation of Non-Slicing Floorplan and Its Applications}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {268--273}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309928}, doi = {10.1145/309847.309928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuoCY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenCM98, author = {Tao Chen and Li{-}Hui Chen and Kai{-}Kuang Ma}, title = {ROI-Oriented Image Query and Indexing for Content-based Retrieval}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Image Processing, ICIP-98, Chicago, Illinois, USA, October 4-7, 1998}, pages = {799--802}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICIP.1998.723677}, doi = {10.1109/ICIP.1998.723677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChenCM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/ChenMC98, author = {Tao Chen and Kai{-}Kuang Ma and Li{-}Hui Chen}, editor = {Ping Wah Wong and Abeer Alwan and Antonio Ortega and C.{-}C. Jay Kuo and C. L. Max Nikias}, title = {Discrete wavelet frame representations of color texture features for image query}, booktitle = {Second {IEEE} Workshop on Multimedia Signal Processing, {MMSP} 1998, Redondo Beach, California, USA, December 7-9, 1998}, pages = {45--50}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/MMSP.1998.738911}, doi = {10.1109/MMSP.1998.738911}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/ChenMC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HamadaCC96, author = {Takeo Hamada and Chung{-}Kuan Cheng and Paul M. Chau}, title = {A wire length estimation technique utilizing neighborhood density equations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {8}, pages = {912--922}, year = {1996}, url = {https://doi.org/10.1109/43.511571}, doi = {10.1109/43.511571}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HamadaCC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HamadaCC93, author = {Takeo Hamada and Chung{-}Kuan Cheng and Paul M. Chau}, editor = {Alfred E. Dunlop}, title = {\emph{Prime}: {A} Timing-Driven Placement Tool using {A} Piecewise Linear Resistive Network Approach}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {531--536}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.165015}, doi = {10.1145/157485.165015}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HamadaCC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChengDSTB92, author = {Chung{-}Kuan Cheng and David N. Deutsch and Craig Shohara and Mark Taparauskas and Mark Bubien}, title = {Geometric compaction on channel routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {1}, pages = {115--127}, year = {1992}, url = {https://doi.org/10.1109/43.108624}, doi = {10.1109/43.108624}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChengDSTB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HamadaCC92, author = {Takeo Hamada and Chung{-}Kuan Cheng and Paul M. Chau}, editor = {Daniel G. Schweikert}, title = {A Wire Length Estimation Technique Utilizing Neighborhood Density Equations}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {57--61}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.110431}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HamadaCC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FujitaTKC91, author = {Masahiro Fujita and Yutaka Tamiya and Yuji Kukimoto and Kuang{-}Chien Chen}, title = {Application of Boolean Unification to Combinational Logic Synthesis}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {510--513}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185318}, doi = {10.1109/ICCAD.1991.185318}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FujitaTKC91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.