default search action
Search dblp for Publications
export results for "Jun-Song Lee"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChoiLLKMPS24, author = {Hojin Choi and Junghee Lee and Wonjun Lee and Yoojin Kwon and Nogil Myoung and Myunghye Park and Jae{-}Ju Song}, title = {Cross Domain Solution With Stateful Correlation of Outgoing and Incoming Application- Layer Packets}, journal = {{IEEE} Access}, volume = {12}, pages = {26830--26838}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366992}, doi = {10.1109/ACCESS.2024.3366992}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiLLKMPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLYS24, author = {Junmin Lee and Seunghyun Lee and Min Yoon and Byung Cheol Song}, title = {Real-Time Monte Carlo Denoising With Adaptive Fusion Network}, journal = {{IEEE} Access}, volume = {12}, pages = {29154--29165}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3369588}, doi = {10.1109/ACCESS.2024.3369588}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Song024, author = {Wongeun Song and Jungwoo Lee}, title = {Ricci Planner: Zero-Shot Transfer for Goal-Conditioned Reinforcement Learning via Geometric Flow}, journal = {{IEEE} Access}, volume = {12}, pages = {24027--24038}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3361478}, doi = {10.1109/ACCESS.2024.3361478}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/Song024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongAPLPK24, author = {Mincheol Song and Jin An and Kyu Ri Park and Jeongmi Lee and Jinkyeong Park and Jung Uk Kim}, title = {Robust Airway Generation Labeling With Airway Segmentation for Reliable Airway Assessment}, journal = {{IEEE} Access}, volume = {12}, pages = {101299--101312}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3431637}, doi = {10.1109/ACCESS.2024.3431637}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongAPLPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/JeongSSLLK24, author = {Seung{-}Min Jeong and Young{-}Do Song and Chae Lin Seok and Jun{-}Young Lee and Eui Chul Lee and Han{-}Joon Kim}, title = {Machine learning-based classification of Parkinson's disease using acoustic features: Insights from multilingual speech tasks}, journal = {Comput. Biol. Medicine}, volume = {182}, pages = {109078}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.109078}, doi = {10.1016/J.COMPBIOMED.2024.109078}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/JeongSSLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/ParkJLSS24, author = {Chan{-}Yeob Park and Ji{-}Sung Jung and Yeong{-}Rong Lee and Beom{-}Sik Shin and Hyoung{-}Kyu Song}, title = {Intelligent reflecting surface for sum rate enhancement in {MIMO} systems}, journal = {Digit. Commun. Networks}, volume = {10}, number = {1}, pages = {94--100}, year = {2024}, url = {https://doi.org/10.1016/j.dcan.2022.10.008}, doi = {10.1016/J.DCAN.2022.10.008}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/ParkJLSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24, author = {Gunhwan Ko and Jae Ho Lee and Young Mi Sim and Wangho Song and Byung{-}Ha Yoon and Iksu Byeon and Bang Hyuck Lee and Sangok Kim and Jinhyuk Choi and Insoo Jang and Hyerin Kim and Jin Ok Yang and Kiwon Jang and Sora Kim and Jong{-}Hwan Kim and Jongbum Jeon and Jaeeun Jung and Seungwoo Hwang and Ji{-}Hwan Park and Pan{-}Gyu Kim and Seon{-}Young Kim and Byungwook Lee}, title = {KoNA: Korean Nucleotide Archive as {A} New Data Repository for Nucleotide Sequence Data}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzae017}, doi = {10.1093/GPBJNL/QZAE017}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KimKKCLAOCLCJBSL24, author = {Minwook Kim and Donggil Kang and Min Sun Kim and Jeong Cheon Choe and Sun{-}Hack Lee and Jin{-}Hee Ahn and Jun{-}Hyok Oh and Jung Hyun Choi and Han Cheol Lee and Kwang Soo Cha and Kyungtae Jang and Woor I Bong and Giltae Song and Hyewon Lee}, title = {Acute myocardial infarction prognosis prediction with reliable and interpretable artificial intelligence system}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {7}, pages = {1540--1550}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocae114}, doi = {10.1093/JAMIA/OCAE114}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KimKKCLAOCLCJBSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/LeeJSK24, author = {Jonghyun Lee and Dae Won Jun and Ildae Song and Yun Kim}, title = {{DLM-DTI:} a dual language model for the prediction of drug-target interaction with hint-based learning}, journal = {J. Cheminformatics}, volume = {16}, number = {1}, pages = {14}, year = {2024}, url = {https://doi.org/10.1186/s13321-024-00808-1}, doi = {10.1186/S13321-024-00808-1}, timestamp = {Sun, 25 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcheminf/LeeJSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24, author = {Byeongho Kim and Sanghoon Cha and Sangsoo Park and Jieun Lee and Sukhan Lee and Shinhaeng Kang and Jinin So and Kyungsoo Kim and Jin Jung and Jong{-}Geon Lee and Sunjung Lee and Yoonah Paik and Hyeonsu Kim and Jin{-}Seong Kim and Won{-}Jo Lee and Yuhwan Ro and Yeongon Cho and Jin Hyun Kim and Joon{-}Ho Song and Jaehoon Yu and Seungwon Lee and Jeonghyeon Cho and Kyomin Sohn}, title = {The Breakthrough Memory Solutions for Improved Performance on {LLM} Inference}, journal = {{IEEE} Micro}, volume = {44}, number = {3}, pages = {40--48}, year = {2024}, url = {https://doi.org/10.1109/MM.2024.3375352}, doi = {10.1109/MM.2024.3375352}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimLKGKLPAS24, author = {Seonghoon Kim and Hyunjong Lee and Seongwoong Kang and Michelle Goh and Wonjung Kim and Seungchul Lee and Souneil Park and So{-}Yeon Ahn and Junehwa Song}, title = {{CRAYON:} Exploration on Community-based Relayed Online Education Approach for Rural Children in South Korean {EFL} Context}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--37}, year = {2024}, url = {https://doi.org/10.1145/3653684}, doi = {10.1145/3653684}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KimLKGKLPAS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ParkCCLS24, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Few-Shot Font Generation With Weakly Supervised Localized Representations}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {46}, number = {3}, pages = {1479--1495}, year = {2024}, url = {https://doi.org/10.1109/TPAMI.2022.3196675}, doi = {10.1109/TPAMI.2022.3196675}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/ParkCCLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/SongLLM24, author = {Seungwon Song and Hyungtae Lim and Alex Junho Lee and Hyun Myung}, title = {DynaVINS++: Robust Visual-Inertial State Estimator in Dynamic Environments by Adaptive Truncated Least Squares and Stable State Recovery}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {10}, pages = {9127--9134}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3455905}, doi = {10.1109/LRA.2024.3455905}, timestamp = {Tue, 01 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/SongLLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoJBKLJSKDK24, author = {Sangho Jo and Ohtae Jang and Chaitali Bhattacharyya and Minjun Kim and Taeseok Lee and Yewon Jang and Haekang Song and Hyukmin Kwon and Saebyeol Do and Sungho Kim}, title = {{S-LIGHT:} Synthetic Dataset for the Separation of Diffuse and Specular Reflection Images}, journal = {Sensors}, volume = {24}, number = {7}, pages = {2286}, year = {2024}, url = {https://doi.org/10.3390/s24072286}, doi = {10.3390/S24072286}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoJBKLJSKDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJYLKANS24, author = {Youngho Kim and Incheol Han and Jeyong Jung and Sumin Yang and Seunghee Lee and Bummo Koo and Soonjae Ahn and Yejin Nam and Sung{-}Hyuk Song}, title = {Measurements of Electrodermal Activity, Tissue Oxygen Saturation, and Visual Analog Scale for Different Cuff Pressures}, journal = {Sensors}, volume = {24}, number = {3}, pages = {917}, year = {2024}, url = {https://doi.org/10.3390/s24030917}, doi = {10.3390/S24030917}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJYLKANS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLSNL24, author = {Daehee Kim and Sungmin Lee and Junghyeon Seo and Song Noh and Jaekoo Lee}, title = {Compatibility Review for Object Detection Enhancement through Super-Resolution}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3335}, year = {2024}, url = {https://doi.org/10.3390/s24113335}, doi = {10.3390/S24113335}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLSNL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYSYPKCCPL24, author = {Chang{-}Hyeon Kim and Ki{-}Hoon Yang and Yeon{-}Seob Song and Sang{-}Sun Yoo and Younggun Pu and Il{-}Hwan Kim and Seok{-}Whan Chung and Kwang{-}Wook Choi and Jun{-}Eun Park and Kang{-}Yoon Lee}, title = {A Surface Acoustic Wave-Based {PM} 1.0 Fine Dust Detection System Using Full Digital Time-Interleaved Counters}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4149}, year = {2024}, url = {https://doi.org/10.3390/s24134149}, doi = {10.3390/S24134149}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYSYPKCCPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimLLSJ24, author = {Junghwan Lim and Chenglong Luo and Seunghun Lee and Young Eun Song and Hoeryong Jung}, title = {Action Recognition of Taekwondo Unit Actions Using Action Images Constructed with Time-Warped Motion Profiles}, journal = {Sensors}, volume = {24}, number = {8}, pages = {2595}, year = {2024}, url = {https://doi.org/10.3390/s24082595}, doi = {10.3390/S24082595}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LimLLSJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongKL24, author = {Junsup Song and Dimitris Karagiannis and Moonkun Lee}, title = {A Process Algebraic Approach to Predict and Control Uncertainty in Smart IoT Systems for Smart Cities Based on Permissible Probabilistic Equivalence}, journal = {Sensors}, volume = {24}, number = {12}, pages = {3881}, year = {2024}, url = {https://doi.org/10.3390/s24123881}, doi = {10.3390/S24123881}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongLKL24, author = {Junsup Song and Sunghyun Lee and Dimitris Karagiannis and Moonkun Lee}, title = {Process Algebraic Approach for Probabilistic Verification of Safety and Security Requirements of Smart IoT (Internet of Things) Systems in Digital Twin}, journal = {Sensors}, volume = {24}, number = {3}, pages = {767}, year = {2024}, url = {https://doi.org/10.3390/s24030767}, doi = {10.3390/S24030767}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SongLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/SongJL24, author = {Byung Duk Song and Sungbum Jun and Seokcheon Lee}, title = {Integrated System Design for Post-Disaster Management: Multi-Facility, Multi-Period, and Bi-Objective Optimization Approach}, journal = {Syst.}, volume = {12}, number = {3}, pages = {69}, year = {2024}, url = {https://doi.org/10.3390/systems12030069}, doi = {10.3390/SYSTEMS12030069}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/SongJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KangKLLSJSP24, author = {Seokwon Kang and Jongbin Kim and Gyeongyong Lee and Jeongmyung Lee and Jiwon Seo and Hyungsoo Jung and Yong Ho Song and Yongjun Park}, title = {{ISP} Agent: {A} Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization Opportunities}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {11:1--11:24}, year = {2024}, url = {https://doi.org/10.1145/3632951}, doi = {10.1145/3632951}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/KangKLLSJSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeeSKKLKK24, author = {Sunwoo Lee and Wonwoo Seo and Sunghyuk Kim and Byunghun Ko and Songjune Lee and Min{-}Su Kim and Junghyun Kim}, title = {A Concurrent 26/48 GHz Low-Noise Amplifier With an Optimal Dual-Band Noise Matching Method Using GaAs 0.15 {\(\mu\)}m pHEMT}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {3}, pages = {1096--1100}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3323221}, doi = {10.1109/TCSII.2023.3323221}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeeSKKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/KimSKSLB24, author = {Yeong{-}Min Kim and Seunghwan Song and Byoung{-}Mo Koo and Jeena Son and Yeseul Lee and Jun{-}Geol Baek}, title = {Enhancing Long-Term Cloud Workload Forecasting Framework: Anomaly Handling and Ensemble Learning in Multivariate Time Series}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {12}, number = {2}, pages = {789--799}, year = {2024}, url = {https://doi.org/10.1109/TCC.2024.3400859}, doi = {10.1109/TCC.2024.3400859}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/KimSKSLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/JungSLY24, author = {Jihyeok Jung and Chan{-}Oi Song and Deok{-}Joo Lee and Kiho Yoon}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Optimal Mechanism in a Dynamic Stochastic Knapsack Environment}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {9807--9814}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i9.28840}, doi = {10.1609/AAAI.V38I9.28840}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/JungSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimPKL24, author = {Juyeop Kim and Junha Park and Songkuk Kim and Jong{-}Seok Lee}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Curved Representation Space of Vision Transformers}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {13142--13150}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i12.29213}, doi = {10.1609/AAAI.V38I12.29213}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimSLJL24, author = {MinJun Kim and Seungwoo Song and Youhan Lee and Haneol Jang and Kyungtae Lim}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{BOK-VQA:} Bilingual outside Knowledge-Based Visual Question Answering via Graph Representation Pretraining}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {18381--18389}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i16.29798}, doi = {10.1609/AAAI.V38I16.29798}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimSLJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/WonKLLBPSC24, author = {Dong{-}eon Won and Yeeun Kim and Janghwan Lee and Minjae Lee and Jonghyun Bae and Jongjoo Park and Jeongyong Song and Jungwook Choi}, title = {{ISP2DLA:} Automated Deep Learning Accelerator Design for On-Sensor Image Signal Processing}, booktitle = {35th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2024, Hong Kong, July 24-26, 2024}, pages = {237--238}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASAP61560.2024.00054}, doi = {10.1109/ASAP61560.2024.00054}, timestamp = {Tue, 10 Sep 2024 15:34:15 +0200}, biburl = {https://dblp.org/rec/conf/asap/WonKLLBPSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeJSCSSS24, author = {Sunjae Lee and Minwoo Jeong and Daye Song and Junyoung Choi and Seoyun Son and Jean Y. Song and Insik Shin}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {FLUID-IoT : Flexible and Fine-Grained Access Control in Shared IoT Environments via Multi-user {UI} Distribution}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {848:1--848:16}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3641991}, doi = {10.1145/3613904.3641991}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeJSCSSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YoonSSJSKYVJL24, author = {Sang Ho Yoon and Youjin Sung and Kun Woo Song and Kyungeun Jung and Kyung Jin Seo and Jina Kim and Hyung Il Yi and Nicha Vanichvoranun and Hanseok Jeong and Hojeong Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Adaptive and Immersive {XR} Interactions with Wearable Interfaces (Demo of {KAIST} {HCI} Tech Lab)}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {391:1--391:4}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3648652}, doi = {10.1145/3613905.3648652}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YoonSSJSKYVJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/JinLCSK24, author = {Kyohoon Jin and Junho Lee and Juhwan Choi and Sangmin Song and Youngbin Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Enhancing Effectiveness and Robustness in a Low-Resource Regime via Decision-Boundary-aware Data Augmentation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {5930--5943}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.525}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/JinLCSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/SonLKKLYJKK24, author = {Guijin Son and Hanwool Lee and Suwan Kim and Huiseo Kim and Jaecheol Lee and Je Won Yeom and Jihyu Jung and Jung Woo Kim and Songseong Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {{HAE-RAE} Bench: Evaluation of Korean Knowledge in Language Models}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {7993--8007}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.704}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/SonLKKLYJKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ParkSSIHKLY24, author = {Gwangtae Park and Seokchan Song and Haoyang Sang and Dongseok Im and Donghyeon Han and Sangyeob Kim and Hongseok Lee and Hoi{-}Jun Yoo}, title = {A Low-power and Real-time Neural-Rendering Dense {SLAM} Processor with 3-Level Hierarchical Sparsity Exploitation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531160}, doi = {10.1109/COOLCHIPS61292.2024.10531160}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/ParkSSIHKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cui/LeeCSP24, author = {Jungjae Lee and Yubin Choi and Minhyuk Song and Sanghyun Park}, editor = {Mateusz Dubiel and Luis A. Leiva and Johanne Trippas and Joel E. Fischer and Ilaria Torre}, title = {ChatFive: Enhancing User Experience in Likert Scale Personality Test through Interactive Conversation with {LLM} Agents}, booktitle = {{ACM} Conversational User Interfaces 2024, {CUI} 2024, Luxembourg, July 8-10, 2024}, pages = {36}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640794.3665572}, doi = {10.1145/3640794.3665572}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cui/LeeCSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YimSCL0JL24, author = {Jinkyu Yim and Jaeyong Song and Yerim Choi and Jaebeen Lee and Jaewon Jung and Hongsun Jang and Jinho Lee}, title = {Pipette: Automatic Fine-Grained Large Language Model Training Configurator for Real-World Clusters}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/DATE58400.2024.10546826}, doi = {10.23919/DATE58400.2024.10546826}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YimSCL0JL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ChoiJLSK24, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and YoungBin Kim}, editor = {Neele Falk and Sara Papi and Mike Zhang}, title = {AutoAugment Is What You Need: Enhancing Rule-based Augmentation Methods in Low-resource Regimes}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024: Student Research Workshop, St. Julian's, Malta, March 21-22, 2024}, pages = {1--8}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-srw.1}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ChoiJLSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/LiangCS0L024, author = {Qingwei Liang and Shu{-}Chuan Chu and Pei{-}Cheng Song and Jia Zhao and Zne{-}Jung Lee and Jeng{-}Shyang Pan}, editor = {Xiaodong Li and Julia Handl}, title = {A Multi-objective Evolutionary Algorithm based on Hierarchical Grouping for Large-scale Multi-objective Optimization}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2024, Melbourne, VIC, Australia, July 14-18, 2024}, pages = {343--346}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3638530.3654126}, doi = {10.1145/3638530.3654126}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/LiangCS0L024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/JangSJPKL24, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {345--360}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00034}, doi = {10.1109/HPCA57654.2024.00034}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/JangSJPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KwonJKRYOL24, author = {Obin Kwon and Dongki Jung and Youngji Kim and Soohyun Ryu and Suyong Yeon and Songhwai Oh and Donghwan Lee}, title = {WayIL: Image-based Indoor Localization with Wayfinding Maps}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6274--6281}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610480}, doi = {10.1109/ICRA57147.2024.10610480}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/KwonJKRYOL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeTS24, author = {Jung X. Lee and Pouria Tayebi and Yeong{-}Tae Song}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {User Empowerment on Heart Disease Using DTaaS}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418404}, doi = {10.1109/IMCOM60618.2024.10418404}, timestamp = {Sat, 02 Mar 2024 07:57:37 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeTS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HwangSCCKJML24, author = {Ji{-}Hwan Hwang and Jung{-}Hwan Song and Kwang Ho Choi and Hansol Choi and Bo Ra Kim and Chul H. Jung and Seong Joo Maeng and Jae Wook Lee}, title = {Bistatic {SAR} Raw Data Acquisition and Imaging with {GPS} Time-Synchronized Dual {ECHO-SAR} Systems}, booktitle = {{IGARSS} 2024 - 2024 {IEEE} International Geoscience and Remote Sensing Symposium, Athens, Greece, July 7-12, 2024}, pages = {11401--11404}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IGARSS53475.2024.10640423}, doi = {10.1109/IGARSS53475.2024.10640423}, timestamp = {Thu, 26 Sep 2024 12:36:11 +0200}, biburl = {https://dblp.org/rec/conf/igarss/HwangSCCKJML24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KangCPNHPPJLKLYSYCJCKKRS24, author = {M. Kang and M. Chang and Y. Park and C. Noh and S. H. Hong and B. Park and Y. H. Park and Y. C. Jung and W. S. Lim and G. H. Kim and Y. Lee and H. Yang and D. Shin and J. G. Yang and K. H. Cho and W. C. Jeong and H.{-}J. Cho and Wook{-}Hyeon Kwon and D. W. Kim and K. Rim and J. H. Song}, title = {Device Design and Reliability of {GAA} {MBCFET}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529401}, doi = {10.1109/IRPS48228.2024.10529401}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KangCPNHPPJLKLYSYCJCKKRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/JungWSLYL24, author = {Sung Ho Jung and Yeokyoung Won and Won Seok Song and Ju Hwan Lee and Hakje Yoo and Dong Hui Lim}, title = {Classification of Bacterial Keratitis Activity with Patch-Based Deep Learning Using Three Anterior Segment Images}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635598}, doi = {10.1109/ISBI56570.2024.10635598}, timestamp = {Fri, 06 Sep 2024 21:02:06 +0200}, biburl = {https://dblp.org/rec/conf/isbi/JungWSLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChoiSYLO24, author = {Kiyoung Choi and Junho Song and Wonbum Yun and Deokjin Lee and Sehoon Oh}, title = {Identification of Flexible Joint Robot Inertia Matrix Using Frequency Response Analysis}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595762}, doi = {10.1109/ISIE54533.2024.10595762}, timestamp = {Fri, 02 Aug 2024 11:15:06 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChoiSYLO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJPSANSWL24, author = {Minsu Kim and Woojoong Jung and Hyunjun Park and Junho Song and Youngkook Ahn and Taekyu Nam and Yoonsoo Shin and Young{-}Jin Woo and Hyung{-}Min Lee}, title = {8.9 {A} 96.5{\%} Peak Efficiency Duty-Independent {DC-DC} Step-Up Converter with Low Input-Level Voltage Stress and Mode-Adaptive Inductor Current Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {160--162}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454511}, doi = {10.1109/ISSCC49657.2024.10454511}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimJPSANSWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSSIHKLY24, author = {Gwangtae Park and Seokchan Song and Haoyang Sang and Dongseok Im and Donghyeon Han and Sangyeob Kim and Hongseok Lee and Hoi{-}Jun Yoo}, title = {20.8 Space-Mate: {A} 303.5mW Real-Time Sparse Mixture-of-Experts-Based NeRF-SLAM Processor for Mobile Spatial Computing}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {374--376}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454487}, doi = {10.1109/ISSCC49657.2024.10454487}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkSSIHKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BianLCHSADNMBSZSWRLHDLGCDCBKTLRTAMGGYGL24, author = {Yusheng Bian and Won Suk Lee and Sujith Chandran and Takako Hirokawa and Massimo Sorbara and Abdelsalam Aboketaf and Kevin K. Dezfulian and Arman Najafi and Salman Mosleh and Seyedeh Fahimeh Banihashemian and Ryan Sporer and Michelle Zhang and Shenghua Song and Helen Wong and Chris Ritchie and Yarong Lin and Thomas Houghton and Hanyi Ding and Qidi Liu and Ming Gong and Jae Kyu Cho and Arpan Dasgupta and Zahidur Chowdhury and Farid Barakat and Jason Kim and Janet Tinkler and Jae Gon Lee and Norman Robson and Teck Jung Tang and Frederick G. Anderson and Ian Melville and George Gifford and Vikas Gupta and Anthony Yu and Ken Giewont and Ted Letavic}, title = {Towards polarization insensitive photonic integrated circuits: polarization dependent loss reduction of CMOSintegrated monolithic SiPh components}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526737}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BianLCHSADNMBSZSWRLHDLGCDCBKTLRTAMGGYGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HirokawaBGACCCLLSSABDDHKLRRSSTTWZ24, author = {Takako Hirokawa and Yusheng Bian and Ken Giewont and Abdelsalam Aboketaf and Sujith Chandran and Jae Kyu Cho and Zahidur Chowdhury and Won Suk Lee and Qidi Liu and Prateek Sharma and Massimo Sorbara and Frederick G. Anderson and Farid Barakat and Arpan Dasgupta and Kevin Dezfulian and Thomas Houghton and Jason Kim and Yarong Lin and Norman Robson and Vaibhav Ruparelia and Shenghua Song and Ryan Sporer and Teck{-}Jung Tang and Janet Tinkler and Helen Wong and Michelle Zhang}, title = {Latest Progress and Challenges in 300 mm Monolithic Silicon Photonics Manufacturing}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526635}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HirokawaBGACCCLLSSABDDHKLRRSSTTWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/ParkHSKKL24, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, editor = {Michel Steuwer and I{-}Ting Angelina Lee and Milind Chabbi}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, booktitle = {Proceedings of the 29th {ACM} {SIGPLAN} Annual Symposium on Principles and Practice of Parallel Programming, PPoPP 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {431--444}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627535.3638474}, doi = {10.1145/3627535.3638474}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/ParkHSKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeKSK024, author = {Junseok Lee and Minhyeong Kim and Wonjun Song and Younghoon Kim and Dohyung Kim}, editor = {Jiman Hong and Juw Won Park}, title = {Rescuing {QUIC} Flows From Countermeasures Against {UDP} Flooding Attacks}, booktitle = {Proceedings of the 39th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2024, Avila, Spain, April 8-12, 2024}, pages = {1072--1080}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3605098.3635885}, doi = {10.1145/3605098.3635885}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeKSK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeKSWALJJ0K24, author = {Seongman Lee and Seoye Kim and Chihyun Song and Byeongsu Woo and Eunyeong Ahn and Junsu Lee and Yeongjin Jang and Jinsoo Jang and Hojoon Lee and Brent ByungHoon Kang}, editor = {Jiman Hong and Juw Won Park}, title = {{GENESIS:} {A} Generalizable, Efficient, and Secure Intra-kernel Privilege Separation}, booktitle = {Proceedings of the 39th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2024, Avila, Spain, April 8-12, 2024}, pages = {1366--1375}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3605098.3635951}, doi = {10.1145/3605098.3635951}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeKSWALJJ0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-06443, author = {Minjun Kim and Seungwoo Song and Youhan Lee and Haneol Jang and Kyungtae Lim}, title = {{BOK-VQA:} Bilingual Outside Knowledge-based Visual Question Answering via Graph Representation Pretraining}, journal = {CoRR}, volume = {abs/2401.06443}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.06443}, doi = {10.48550/ARXIV.2401.06443}, eprinttype = {arXiv}, eprint = {2401.06443}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-06443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05584, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and Youngbin Kim}, title = {AutoAugment Is What You Need: Enhancing Rule-based Augmentation Methods in Low-resource Regimes}, journal = {CoRR}, volume = {abs/2402.05584}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05584}, doi = {10.48550/ARXIV.2402.05584}, eprinttype = {arXiv}, eprint = {2402.05584}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05584.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05591, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and Youngbin Kim}, title = {SoftEDA: Rethinking Rule-Based Data Augmentation with Soft Labels}, journal = {CoRR}, volume = {abs/2402.05591}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05591}, doi = {10.48550/ARXIV.2402.05591}, eprinttype = {arXiv}, eprint = {2402.05591}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05591.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05706, author = {Heeseung Kim and Soonshin Seo and Kyeongseok Jeong and Ohsung Kwon and Jungwhan Kim and Jaehong Lee and Eunwoo Song and Myungwoo Oh and Sungroh Yoon and Kang Min Yoo}, title = {Unified Speech-Text Pretraining for Spoken Dialog Modeling}, journal = {CoRR}, volume = {abs/2402.05706}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05706}, doi = {10.48550/ARXIV.2402.05706}, eprinttype = {arXiv}, eprint = {2402.05706}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05706.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14269, author = {Jihyeok Jung and Chan{-}Oi Song and Deok{-}Joo Lee and Kiho Yoon}, title = {Optimal Mechanism in a Dynamic Stochastic Knapsack Environment}, journal = {CoRR}, volume = {abs/2402.14269}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14269}, doi = {10.48550/ARXIV.2402.14269}, eprinttype = {arXiv}, eprint = {2402.14269}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18351, author = {Changho Choi and Minho Kim and Junhyeok Lee and Hyoung{-}Kyu Song and Younggeun Kim and Seungryong Kim}, title = {LatentSwap: An Efficient Latent Code Mapping Framework for Face Swapping}, journal = {CoRR}, volume = {abs/2402.18351}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18351}, doi = {10.48550/ARXIV.2402.18351}, eprinttype = {arXiv}, eprint = {2402.18351}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18351.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06478, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, journal = {CoRR}, volume = {abs/2403.06478}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06478}, doi = {10.48550/ARXIV.2403.06478}, eprinttype = {arXiv}, eprint = {2403.06478}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06664, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, journal = {CoRR}, volume = {abs/2403.06664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06664}, doi = {10.48550/ARXIV.2403.06664}, eprinttype = {arXiv}, eprint = {2403.06664}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06668, author = {Jaewon Jung and Hongsun Jang and Jaeyong Song and Jinho Lee}, title = {PeerAiD: Improving Adversarial Distillation from a Specialized Peer Tutor}, journal = {CoRR}, volume = {abs/2403.06668}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06668}, doi = {10.48550/ARXIV.2403.06668}, eprinttype = {arXiv}, eprint = {2403.06668}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06668.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15512, author = {Kyohoon Jin and Junho Lee and Juhwan Choi and Sangmin Song and Youngbin Kim}, title = {Enhancing Effectiveness and Robustness in a Low-Resource Regime via Decision-Boundary-aware Data Augmentation}, journal = {CoRR}, volume = {abs/2403.15512}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15512}, doi = {10.48550/ARXIV.2403.15512}, eprinttype = {arXiv}, eprint = {2403.15512}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-07554, author = {Jae Wan Park and Sang Hyun Park and Jun Young Koh and Junha Lee and Min Song}, title = {{CAT:} Contrastive Adapter Training for Personalized Image Generation}, journal = {CoRR}, volume = {abs/2404.07554}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.07554}, doi = {10.48550/ARXIV.2404.07554}, eprinttype = {arXiv}, eprint = {2404.07554}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-07554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14219, author = {Marah I Abdin and Sam Ade Jacobs and Ammar Ahmad Awan and Jyoti Aneja and Ahmed Awadallah and Hany Awadalla and Nguyen Bach and Amit Bahree and Arash Bakhtiari and Harkirat S. Behl and Alon Benhaim and Misha Bilenko and Johan Bjorck and S{\'{e}}bastien Bubeck and Martin Cai and Caio C{\'{e}}sar Teodoro Mendes and Weizhu Chen and Vishrav Chaudhary and Parul Chopra and Allie Del Giorno and Gustavo de Rosa and Matthew Dixon and Ronen Eldan and Dan Iter and Amit Garg and Abhishek Goswami and Suriya Gunasekar and Emman Haider and Junheng Hao and Russell J. Hewett and Jamie Huynh and Mojan Javaheripi and Xin Jin and Piero Kauffmann and Nikos Karampatziakis and Dongwoo Kim and Mahoud Khademi and Lev Kurilenko and James R. Lee and Yin Tat Lee and Yuanzhi Li and Chen Liang and Weishung Liu and Eric Lin and Zeqi Lin and Piyush Madan and Arindam Mitra and Hardik Modi and Anh Nguyen and Brandon Norick and Barun Patra and Daniel Perez{-}Becker and Thomas Portet and Reid Pryzant and Heyang Qin and Marko Radmilac and Corby Rosset and Sambudha Roy and Olatunji Ruwase and Olli Saarikivi and Amin Saied and Adil Salim and Michael Santacroce and Shital Shah and Ning Shang and Hiteshi Sharma and Xia Song and Masahiro Tanaka and Xin Wang and Rachel Ward and Guanhua Wang and Philipp Witte and Michael Wyatt and Can Xu and Jiahang Xu and Sonali Yadav and Fan Yang and Ziyi Yang and Donghan Yu and Chengruidong Zhang and Cyril Zhang and Jianwen Zhang and Li Lyna Zhang and Yi Zhang and Yue Zhang and Yunan Zhang and Xiren Zhou}, title = {Phi-3 Technical Report: {A} Highly Capable Language Model Locally on Your Phone}, journal = {CoRR}, volume = {abs/2404.14219}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14219}, doi = {10.48550/ARXIV.2404.14219}, eprinttype = {arXiv}, eprint = {2404.14219}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14219.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18093, author = {Jinkyu Yim and Jaeyong Song and Yerim Choi and Jaebeen Lee and Jaewon Jung and Hongsun Jang and Jinho Lee}, title = {Pipette: Automatic Fine-grained Large Language Model Training Configurator for Real-World Clusters}, journal = {CoRR}, volume = {abs/2405.18093}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18093}, doi = {10.48550/ARXIV.2405.18093}, eprinttype = {arXiv}, eprint = {2405.18093}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09388, author = {Youngtaek Oh and Pyunghwan Ahn and Jinhyung Kim and Gwangmo Song and Soonyoung Lee and In So Kweon and Junmo Kim}, title = {Exploring the Spectrum of Visio-Linguistic Compositionality and Recognition}, journal = {CoRR}, volume = {abs/2406.09388}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09388}, doi = {10.48550/ARXIV.2406.09388}, eprinttype = {arXiv}, eprint = {2406.09388}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09388.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14372, author = {Yeongjun Jang and Joowon Lee and Seonhong Min and Hyesun Kwak and Junsoo Kim and Yongsoo Song}, title = {Ring-LWE based encrypted controller with unlimited number of recursive multiplications and effect of error growth}, journal = {CoRR}, volume = {abs/2406.14372}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14372}, doi = {10.48550/ARXIV.2406.14372}, eprinttype = {arXiv}, eprint = {2406.14372}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14372.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-16521, author = {Jimin Sohn and Jeihee Cho and Junyong Lee and Songmu Heo and Ji{-}Eun Han and David R. Mortensen}, title = {Carrot and Stick: Inducing Self-Motivation with Positive {\&} Negative Feedback}, journal = {CoRR}, volume = {abs/2406.16521}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.16521}, doi = {10.48550/ARXIV.2406.16521}, eprinttype = {arXiv}, eprint = {2406.16521}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-16521.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-02245, author = {Hyeokjin Kwon and Gunmin Lee and Junseo Lee and Songhwai Oh}, title = {Safe CoR: {A} Dual-Expert Approach to Integrating Imitation Learning and Safe Reinforcement Learning Using Constraint Rewards}, journal = {CoRR}, volume = {abs/2407.02245}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.02245}, doi = {10.48550/ARXIV.2407.02245}, eprinttype = {arXiv}, eprint = {2407.02245}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-02245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07110, author = {Junho Song and Jong{-}Hwan Jang and Byeong Tak Lee and Dong{-}gyun Hong and Joon{-}Myoung Kwon and Yong{-}Yeon Jo}, title = {Foundation Models for Electrocardiograms}, journal = {CoRR}, volume = {abs/2407.07110}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07110}, doi = {10.48550/ARXIV.2407.07110}, eprinttype = {arXiv}, eprint = {2407.07110}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21783, author = {Abhimanyu Dubey and Abhinav Jauhri and Abhinav Pandey and Abhishek Kadian and Ahmad Al{-}Dahle and Aiesha Letman and Akhil Mathur and Alan Schelten and Amy Yang and Angela Fan and Anirudh Goyal and Anthony Hartshorn and Aobo Yang and Archi Mitra and Archie Sravankumar and Artem Korenev and Arthur Hinsvark and Arun Rao and Aston Zhang and Aur{\'{e}}lien Rodriguez and Austen Gregerson and Ava Spataru and Baptiste Rozi{\`{e}}re and Bethany Biron and Binh Tang and Bobbie Chern and Charlotte Caucheteux and Chaya Nayak and Chloe Bi and Chris Marra and Chris McConnell and Christian Keller and Christophe Touret and Chunyang Wu and Corinne Wong and Cristian Canton Ferrer and Cyrus Nikolaidis and Damien Allonsius and Daniel Song and Danielle Pintz and Danny Livshits and David Esiobu and Dhruv Choudhary and Dhruv Mahajan and Diego Garcia{-}Olano and Diego Perino and Dieuwke Hupkes and Egor Lakomkin and Ehab AlBadawy and Elina Lobanova and Emily Dinan and Eric Michael Smith and Filip Radenovic and Frank Zhang and Gabriel Synnaeve and Gabrielle Lee and Georgia Lewis Anderson and Graeme Nail and Gr{\'{e}}goire Mialon and Guan Pang and Guillem Cucurell and Hailey Nguyen and Hannah Korevaar and Hu Xu and Hugo Touvron and Iliyan Zarov and Imanol Arrieta Ibarra and Isabel M. Kloumann and Ishan Misra and Ivan Evtimov and Jade Copet and Jaewon Lee and Jan Geffert and Jana Vranes and Jason Park and Jay Mahadeokar and Jeet Shah and Jelmer van der Linde and Jennifer Billock and Jenny Hong and Jenya Lee and Jeremy Fu and Jianfeng Chi and Jianyu Huang and Jiawen Liu and Jie Wang and Jiecao Yu and Joanna Bitton and Joe Spisak and Jongsoo Park and Joseph Rocca and Joshua Johnstun and Joshua Saxe and Junteng Jia and Kalyan Vasuden Alwala and Kartikeya Upasani and Kate Plawiak and Ke Li and Kenneth Heafield and Kevin Stone and et al.}, title = {The Llama 3 Herd of Models}, journal = {CoRR}, volume = {abs/2407.21783}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21783}, doi = {10.48550/ARXIV.2407.21783}, eprinttype = {arXiv}, eprint = {2407.21783}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21783.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-00137, author = {Sangwon Yu and Jongyoon Song and Bongkyu Hwang and Hoyoung Kang and Sooah Cho and Junhwa Choi and Seongho Joe and Taehee Lee and Youngjune L. Gwon and Sungroh Yoon}, title = {Correcting Negative Bias in Large Language Models through Negative Attention Score Alignment}, journal = {CoRR}, volume = {abs/2408.00137}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.00137}, doi = {10.48550/ARXIV.2408.00137}, eprinttype = {arXiv}, eprint = {2408.00137}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-00137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BossuatCCCCDGHKKLLMPPLSYY24, author = {Jean{-}Philippe Bossuat and Rosario Cammarota and Jung Hee Cheon and Ilaria Chillotti and Benjamin R. Curtis and Wei Dai and Huijing Gong and Erin Hales and Duhyeong Kim and Bryan Kumara and Changmin Lee and Xianhui Lu and Carsten Maple and Alberto Pedrouzo{-}Ulloa and Rachel Player and Luis Antonio Ruiz Lopez and Yongsoo Song and Donggeon Yhee and Bahattin Yildiz}, title = {Security Guidelines for Implementing Homomorphic Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {463}, year = {2024}, url = {https://eprint.iacr.org/2024/463}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BossuatCCCCDGHKKLLMPPLSYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangLKLLSK23, author = {Tae Hwan Jang and Ahnwoo Lee and Sunghyuk Kim and Hee Sung Lee and Jaesuk Lee and Hoon{-}Geun Song and Jung{-}Hyun Kim}, title = {Wideband Wide Beam-Width Modified Angled Dipole Antenna for 5G Millimeter-Wave IoT Applications}, journal = {{IEEE} Access}, volume = {11}, pages = {63324--63332}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3285619}, doi = {10.1109/ACCESS.2023.3285619}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangLKLLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLLHLS23, author = {Junmin Lee and Heejin Lee and Seunghyun Lee and Junho Heo and Jiwon Lee and Byung Cheol Song}, title = {Display Visibility Improvement Through Content and Ambient Light-Adaptive Image Enhancement}, journal = {{IEEE} Access}, volume = {11}, pages = {87902--87916}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3305680}, doi = {10.1109/ACCESS.2023.3305680}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLLHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSYJ23, author = {Jin Hwan Lee and Jun{-}Young Song and Han{-}Kyeol Yeo and Sang{-}Yong Jung}, title = {Numerical Evaluation of a Concentrated-Winding Variable Flux Memory Motor With a Hybrid Magnet Arrangement}, journal = {{IEEE} Access}, volume = {11}, pages = {71756--71765}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3287219}, doi = {10.1109/ACCESS.2023.3287219}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongLL23, author = {Yongwoon Song and Munhyung Lee and Hyukjun Lee}, title = {High Bandwidth and Highly Available Packet Buffer Design Using Multi-Retention Time {MRAM}}, journal = {{IEEE} Access}, volume = {11}, pages = {98016--98024}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3312637}, doi = {10.1109/ACCESS.2023.3312637}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonCLLSK23, author = {Young{-}Jun Yoon and Wanjei Cho and Seongwook Lee and Jong{-}Ho Lee and Jiho Song and Seong{-}Cheol Kim}, title = {Proactive Eavesdropping With Adaptive Full-Duplex Jamming-Helping Method for Infrastructure-Free Relay Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {68682--68698}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3282222}, doi = {10.1109/ACCESS.2023.3282222}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonCLLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/PhamPSKSLJM23, author = {Nhat Truong Pham and Le Thi Phan and Jimin Seo and Yeonwoo Kim and Minkyung Song and Sukchan Lee and Young{-}Jun Jeon and Balachandran Manavalan}, title = {Advancing the accuracy of SARS-CoV-2 phosphorylation site detection via meta-learning approach}, journal = {Briefings Bioinform.}, volume = {25}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad433}, doi = {10.1093/BIB/BBAD433}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/PhamPSKSLJM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SongLJK23, author = {Bong{-}Il Song and Jinny Lee and Won{-}Ho Jung and Bum Soo Kim}, title = {Pure uric acid stone prediction model using the variant coefficient of stone density measured by thresholding 3D segmentation-based methods: {A} multicenter study}, journal = {Comput. Methods Programs Biomed.}, volume = {240}, pages = {107691}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107691}, doi = {10.1016/J.CMPB.2023.107691}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/SongLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KwonSJCLKPC23, author = {Ted Taekyoung Kwon and Junghwan Song and Heeyoung Jung and Selin Chun and Hyunwoo Lee and Minhyeok Kang and Minkyung Park and Eunsang Cho}, title = {How to decentralize the internet: {A} focus on data consolidation and user privacy}, journal = {Comput. Networks}, volume = {234}, pages = {109911}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109911}, doi = {10.1016/J.COMNET.2023.109911}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/KwonSJCLKPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/SongLMLJLO23, author = {Yeongho Song and Seunghan Lim and Hyunsam Myung and Hokeun Lee and Junho Jeong and Heungsik Lim and Hyondong Oh}, title = {Distributed swarm system with hybrid-flocking control for small fixed-wing UAVs: Algorithms and flight experiments}, journal = {Expert Syst. Appl.}, volume = {229}, number = {Part {A}}, pages = {120457}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120457}, doi = {10.1016/J.ESWA.2023.120457}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/SongLMLJLO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeJKSSKKMPW23, author = {Yeong Chan Lee and Sang{-}Hyuk Jung and Aman Kumar and Injeong Shim and Minku Song and Min Seo Kim and Kyunga Kim and Woojae Myung and Woong{-}Yang Park and Hong{-}Hee Won}, title = {ICD2Vec: Mathematical representation of diseases}, journal = {J. Biomed. Informatics}, volume = {141}, pages = {104361}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104361}, doi = {10.1016/J.JBI.2023.104361}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LeeJKSSKKMPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/SongHLK23, author = {Changhoon Song and Geonho Hwang and Junho Lee and Myungjoo Kang}, title = {Minimal Width for Universal Property of Deep {RNN}}, journal = {J. Mach. Learn. Res.}, volume = {24}, pages = {121:1--121:41}, year = {2023}, url = {https://jmlr.org/papers/v24/22-1191.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/SongHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/SongKLAHK23, author = {Juyoung Song and Duk{-}Jin Kim and Seungwoo Lee and Sangho An and Ji{-}Hwan Hwang and Junwoo Kim}, title = {Geometric Positioning Error Mitigation of {SAR} Image in Ocean Utilizing {AIS} Information}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3253775}, doi = {10.1109/LGRS.2023.3253775}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/SongKLAHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKSLIPCS23, author = {Kyungsan Kim and Hyunseok Kim and Jinin So and Wonjae Lee and Junhyuk Im and Sungjoo Park and Jeonghyeon Cho and Hoyoung Song}, title = {{SMT:} Software-Defined Memory Tiering for Heterogeneous Computing Systems With {CXL} Memory Expander}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {20--29}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3240774}, doi = {10.1109/MM.2023.3240774}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimKSLIPCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/ParkSNKSL23, author = {Sunjae Park and Woosung Song and Seunghyeon Nam and Hyeongyu Kim and Junbum Shin and Juneyoung Lee}, title = {HEaaN.MLIR: An Optimizing Compiler for Fast Ring-Based Homomorphic Encryption}, journal = {Proc. {ACM} Program. Lang.}, volume = {7}, number = {{PLDI}}, pages = {196--220}, year = {2023}, url = {https://doi.org/10.1145/3591228}, doi = {10.1145/3591228}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/ParkSNKSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeSLLM23, author = {Alex Junho Lee and Seungwon Song and Hyungtae Lim and Woojoo Lee and Hyun Myung}, title = {(LC){\textdollar}\{2\}{\textdollar}: LiDAR-Camera Loop Constraints for Cross-Modal Place Recognition}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {6}, pages = {3589--3596}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3268848}, doi = {10.1109/LRA.2023.3268848}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeSLLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YoonLSJKPHKKCC23, author = {Sungwoon Yoon and Sungho Lee and Junyong Song and Yonghwan Jeong and Jungyeong Kim and Sangshin Park and Sangchul Han and Jin Tak Kim and Jinhyeon Kim and Hyouk Ryeol Choi and Jungsan Cho}, title = {Designing a Bow-Inspired Rigidable Exosuit for Adaptive Support}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {11}, pages = {7328--7335}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3315562}, doi = {10.1109/LRA.2023.3315562}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/YoonLSJKPHKKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/LeeS23, author = {Dongkyu Lee and Junho Song}, title = {Risk-informed operation and maintenance of complex lifeline systems using parallelized multi-agent deep Q-network}, journal = {Reliab. Eng. Syst. Saf.}, volume = {239}, pages = {109512}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2023.109512}, doi = {10.1016/J.RESS.2023.109512}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/LeeS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JunHKLSLSJ23, author = {Ah Hyun Jun and Young Hyun Hwang and Byeongwoo Kang and Seungwon Lee and Jiwon Seok and Jong Seong Lee and Seo Hyun Song and Byeong{-}Kwon Ju}, title = {Magnetic Properties of Amorphous Ta/CoFeB/MgO/Ta Thin Films on Deformable Substrates with Magnetic Field Angle and Tensile Strain}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7479}, year = {2023}, url = {https://doi.org/10.3390/s23177479}, doi = {10.3390/S23177479}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JunHKLSLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePLNSLP23, author = {Hyeonseok Lee and Hyeong{-}Geun Park and Van{-}Du Le and Van{-}Phu Nguyen and Jeong{-}Moon Song and Bok{-}Hyung Lee and Jung{-}Dong Park}, title = {X-band MMICs for a Low-Cost Radar Transmit/Receive Module in 250 nm GaN {HEMT} Technology}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4840}, year = {2023}, url = {https://doi.org/10.3390/s23104840}, doi = {10.3390/S23104840}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePLNSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonOKLLSJ23, author = {Junhyung Moon and Minsuk Oh and Soljee Kim and Kyoungwoo Lee and Junga Lee and Yoonkyung Song and Justin Y. Jeon}, title = {Intelligent Estimation of Exercise Induced Energy Expenditure Including Excess Post-Exercise Oxygen Consumption {(EPOC)} with Different Exercise Intensity}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9235}, year = {2023}, url = {https://doi.org/10.3390/s23229235}, doi = {10.3390/S23229235}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonOKLLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SonCSJL23, author = {Eunjin Son and Jiho Choi and Jimin Song and Yongsik Jin and Sang Jun Lee}, title = {Monocular Depth Estimation from a Fisheye Camera Based on Knowledge Distillation}, journal = {Sensors}, volume = {23}, number = {24}, pages = {9866}, year = {2023}, url = {https://doi.org/10.3390/s23249866}, doi = {10.3390/S23249866}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SonCSJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/KimCLKKSLHLK23, author = {Daegyeong Kim and Wonwoo Choi and Chang{-}il Lim and Eunjin Kim and Geonwoo Kim and Yongho Song and Junsu Lee and Youngkwang Han and Hojoon Lee and Brent ByungHoon Kang}, title = {Towards scalable and configurable simulation for disaggregated architecture}, journal = {Simul. Model. Pract. Theory}, volume = {125}, pages = {102743}, year = {2023}, url = {https://doi.org/10.1016/j.simpat.2023.102743}, doi = {10.1016/J.SIMPAT.2023.102743}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/KimCLKKSLHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/SongHKYPLLK23, author = {Jiho Song and Seong{-}Hwan Hyun and Keunwoo Kim and Young{-}Jun Yoon and Juho Park and Moon{-}Sik Lee and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Singular Direction-Based Quantizer and Receiver Designs for User Cooperative Distributed Reception}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {349--360}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3229319}, doi = {10.1109/JSYST.2022.3229319}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/SongHKYPLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeePLSRSSJHOCJ23, author = {Kwang{-}Hoon Lee and Jung{-}Hun Park and Yongjae Lee and Yeonggeun Song and Seungha Roh and Minkyo Shim and Yoonho Song and Woosong Jung and Young{-}Ha Hwang and Jonghyun Oh and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 0.99-pJ/b 10-Gb/s Receiver With Fast Recovery From Sleep Mode Under Voltage Drift}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {11}, pages = {4003--4007}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3285934}, doi = {10.1109/TCSII.2023.3285934}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeePLSRSSJHOCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SongLP23, author = {Junhyun Song and Kyeongho Lee and Jongsun Park}, title = {Low Area and Low Power Threshold Implementation Design Technique for {AES} S-Box}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {3}, pages = {1169--1173}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3217150}, doi = {10.1109/TCSII.2022.3217150}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SongLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/AntonysamyLJJ23, author = {Ruban Antonysamy and Seong Ryong Lee and Sang{-}Yong Jung and Young Hoon Joo}, title = {Performance Enhancement Using Robust Sliding Mode Approach-Based Current Control for {PMVG-WECS}}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {10}, pages = {10156--10166}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3220859}, doi = {10.1109/TIE.2022.3220859}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/AntonysamyLJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/PalanimuthuMLJJ23, author = {Kumarasamy Palanimuthu and Ganesh Mayilsamy and Seong Ryong Lee and Sang{-}Yong Jung and Young Hoon Joo}, title = {Fault Ride-Through for PMVG-Based Wind Turbine System Using Coordinated Active and Reactive Power Control Strategy}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {6}, pages = {5797--5807}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3194638}, doi = {10.1109/TIE.2022.3194638}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/PalanimuthuMLJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NohSSLLY23, author = {Song Noh and Kyungsik Seo and Youngchul Sung and David J. Love and Junse Lee and Heejung Yu}, title = {Joint Direct and Indirect Channel Estimation for RIS-Assisted Millimeter-Wave Systems Based on Array Signal Processing}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {11}, pages = {8378--8391}, year = {2023}, url = {https://doi.org/10.1109/TWC.2023.3262492}, doi = {10.1109/TWC.2023.3262492}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/NohSSLLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeLHKLLS23, author = {Deokjae Lee and JunYeong Lee and Jung{-}Woo Ha and Jin{-}Hwa Kim and Sang{-}Woo Lee and Hwaran Lee and Hyun Oh Song}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Query-Efficient Black-Box Red Teaming via Bayesian Optimization}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {11551--11574}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.646}, doi = {10.18653/V1/2023.ACL-LONG.646}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeLHKLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/HanJJLL23, author = {Songhee Han and Jiyoon Jung and Hyangeun Ji and Unggi Lee and Min Liu}, editor = {Ning Wang and Genaro Rebolledo{-}Mendez and Vania Dimitrova and Noboru Matsuda and Olga C. Santos}, title = {The Role of Social Presence in {MOOC} Students' Behavioral Intentions and Sentiments Toward the Usage of a Learning Assistant Chatbot: {A} Diversity, Equity, and Inclusion Perspective Examination}, booktitle = {Artificial Intelligence in Education. Posters and Late Breaking Results, Workshops and Tutorials, Industry and Innovation Tracks, Practitioners, Doctoral Consortium and Blue Sky - 24th International Conference, {AIED} 2023, Tokyo, Japan, July 3-7, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1831}, pages = {236--241}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36336-8\_36}, doi = {10.1007/978-3-031-36336-8\_36}, timestamp = {Fri, 04 Aug 2023 15:27:47 +0200}, biburl = {https://dblp.org/rec/conf/aied/HanJJLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/MyungKKLKS23, author = {Joonwoo Myung and Youngmin Ko and Taewoong Kwon and Jun Lee and Kyuil Kim and Jung{-}suk Song}, title = {Intrusion Detection Systems Based on Machine Learning Using Feature Expansion Methods}, booktitle = {18th Asia Joint Conference on Information Security, AsiaJCIS 2023, Koganei, Japan, August 15-16, 2023}, pages = {32--38}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AsiaJCIS60284.2023.00016}, doi = {10.1109/ASIAJCIS60284.2023.00016}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/MyungKKLKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SongYJJKKL23, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {560--573}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575693.3575712}, doi = {10.1145/3575693.3575712}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SongYJJKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKS23, author = {Seunghoon Lee and Junhyeong Kim and Ho{-}Jin Song}, title = {248 GHz Compact Mixer-Last Direct-Conversion Transmitter with {I/Q} Imbalance and {LO} Feedthrough Calibration Capability}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347920}, doi = {10.1109/A-SSCC58667.2023.10347920}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimLSLNYPYLS23, author = {Taeyeop Kim and Jaeseong Lee and Jaeho Song and Dongwoo Lee and Jun{-}Chae Na and Sung{-}Il Yang and Kyong{-}Jin Park and Young Jin Yoo and Juhye Lee and Won{-}Yong Shin}, title = {AI-Empowered Database Management Platform for New Materials Discovery for Consumer Electronics}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {929--930}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060412}, doi = {10.1109/CCNC51644.2023.10060412}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/KimLSLNYPYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgi/KimASLJKJ23, author = {Suhyeon Kim and Haill An and Myungji Song and Sungmin Lee and Hoijoon Jung and Seon Tae Kim and Younhyun Jung}, editor = {Bin Sheng and Lei Bi and Jinman Kim and Nadia Magnenat{-}Thalmann and Daniel Thalmann}, title = {Automated Marker-Less Patient-to-Preoperative Medical Image Registration Approach Using {RGB-D} Images and Facial Landmarks for Potential Use in Computed-Aided Surgical Navigation of the Paranasal Sinus}, booktitle = {Advances in Computer Graphics - 40th Computer Graphics International Conference, {CGI} 2023, Shanghai, China, August 28 - September 1, 2023, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14498}, pages = {135--145}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-50078-7\_11}, doi = {10.1007/978-3-031-50078-7\_11}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgi/KimASLJKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/JungJPONPQFGNLK23, author = {Hanwoong Jung and Hexiang Ji and Alexey Pushchin and Maxim Ostapenko and Wenlong Niu and Ilya Palachev and Yutian Qu and Pavel Fedin and Yuri Gribov and Heewoo Nam and Dongguen Lim and Hyunjun Kim and Joonho Song and Seungwon Lee and Hwansoo Han}, editor = {Christophe Dubach and Derek Bruening and Ben Hardekopf}, title = {Accelerating Deep Neural Networks on Mobile Multicore NPUs}, booktitle = {Proceedings of the 21st {ACM/IEEE} International Symposium on Code Generation and Optimization, {CGO} 2023, Montr{\'{e}}al, QC, Canada, 25 February 2023- 1 March 2023}, pages = {236--248}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579990.3580015}, doi = {10.1145/3579990.3580015}, timestamp = {Fri, 24 Feb 2023 14:00:38 +0100}, biburl = {https://dblp.org/rec/conf/cgo/JungJPONPQFGNLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SonCLSS23, author = {Seoyun Son and Junyoug Choi and Sunjae Lee and Jean Y. Song and Insik Shin}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {It is Okay to be Distracted: How Real-time Transcriptions Facilitate Online Meeting with Distraction}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {64:1--64:19}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580742}, doi = {10.1145/3544548.3580742}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SonCLSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JungKSLJ23, author = {Woosong Jung and Hyojun Kim and Yeonggeun Song and Kwang{-}Hoon Lee and Deog{-}Kyoon Jeong}, title = {A 0.991JS FFT-Based Fast-Locking, 0.82GHz-to-4.lGHz DPLL-Based lnput-Jitter-Filtering Clock Driver with Wide-Range Mode-Switching 8-Shaped {LC} Oscillator for {DRAM} Interfaces}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121322}, doi = {10.1109/CICC57935.2023.10121322}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/JungKSLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhHLLJJCS23, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {24224--24235}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02320}, doi = {10.1109/CVPR52729.2023.02320}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhHLLJJCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongLKC23, author = {Junha Song and Jungsoo Lee and In So Kweon and Sungha Choi}, title = {EcoTTA: Memory-Efficient Continual Test-Time Adaptation via Self-Distilled Regularization}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {11920--11929}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01147}, doi = {10.1109/CVPR52729.2023.01147}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongLKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xianwei Li and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungSJLCPL23, author = {Jaewon Jung and Jaeyong Song and Hongsun Jang and Hyeyoon Lee and Kanghyun Choi and Noseong Park and Jinho Lee}, title = {Fast Adversarial Training with Dynamic Batch-level Attack Control}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247930}, doi = {10.1109/DAC56929.2023.10247930}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JungSJLCPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JangJSYKL23, author = {Hongsun Jang and Jaewon Jung and Jaeyong Song and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {Pipe-BD: Pipelined Parallel Blockwise Distillation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137044}, doi = {10.23919/DATE56975.2023.10137044}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JangJSYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/SeoLLS23, author = {Youngil Seo and Dongpan Lim and Jungguk Lee and Seongwook Song}, title = {On quantization of convolutional neural networks for image signal processor}, booktitle = {Imaging Sensors and Systems 2023, San Francisco, CA, USA, January 15-19, 2023}, pages = {1--5}, publisher = {Society for Imaging Science and Technology}, year = {2023}, url = {https://doi.org/10.2352/EI.2023.35.6.ISS-348}, doi = {10.2352/EI.2023.35.6.ISS-348}, timestamp = {Fri, 21 Jul 2023 13:58:07 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/SeoLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoiSWYKJKLKEPB23, author = {Hanho Choi and Ha{-}Il Song and Hyosup Won and Jun Young Yoo and Woohyun Kwon and Huxian Jin and Konan Kwon and Cheong Min Lee and Gain Kim and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {An 86.71875GHz {RF} transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {181--184}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268802}, doi = {10.1109/ESSCIRC59616.2023.10268802}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoiSWYKJKLKEPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SongNCJLLKLKKJ23, author = {Juhwan Song and Yujin Nam and Minsuk Choi and Yonghee Jo and Seungyeon Lee and Sanghyun Lee and Nakyoung Kim and Junghwan Lee and Seungmo Kim and Haksung Kim and Seowoo Jang}, title = {{C-DRX} parameters optimization using Multi-Agent Reinforcement Learning with Self-attention}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {3355--3360}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437057}, doi = {10.1109/GLOBECOM54140.2023.10437057}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SongNCJLLKLKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeKGJL23, author = {Jong Woo Lee and Jung Do Kim and Jae Hyoung Go and Yeong Song Jang and Yun Gil Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Developing a Human Behavior Simulation Technology Based on Multiuser Immersive Virtual Reality in an Atypical Architectural Design Process}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {V}}, series = {Communications in Computer and Information Science}, volume = {1836}, pages = {235--240}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36004-6\_32}, doi = {10.1007/978-3-031-36004-6\_32}, timestamp = {Sun, 12 Nov 2023 02:12:38 +0100}, biburl = {https://dblp.org/rec/conf/hci/LeeKGJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23, author = {Yongkee Kwon and Guhyun Kim and Nahsung Kim and Woojae Shin and Jongsoon Won and Hyunha Joo and Haerang Choi and Byeongju An and Gyeongcheol Shin and Dayeon Yun and Jeongbin Kim and Changhyun Kim and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyeongdeok Lee and Seungyeong Park and Wonjun Lee and Seongju Lee and Kyuyoung Kim and Daehan Kwon and Chunseok Jeong and John Kim and Euicheol Lim and Junhyun Chun}, title = {Memory-Centric Computing with {SK} Hynix's Domain-Specific Memory}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--26}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254717}, doi = {10.1109/HCS59251.2023.10254717}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/LeeKC23, author = {Songhee Lee and Junho Kwak and Jeonghun Cho}, title = {Preliminary Design for Development of Detachable Test Automation System Based on {AUTOSAR}}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {812--814}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10067063}, doi = {10.1109/ICAIIC57133.2023.10067063}, timestamp = {Fri, 31 Mar 2023 17:22:31 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/LeeKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/LeeSMHLK23, author = {Changhoon Lee and Minjae Song and Kyungha Min and EunGyeom Ha and JunHa Lee and Wooju Kim}, title = {Optimization of Cloud Computing Workload Prediction Model with Domain-based Feature Selection Method}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {868--871}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10066959}, doi = {10.1109/ICAIIC57133.2023.10066959}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/LeeSMHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimLCKSO23, author = {Jaechang Kim and Yunjoo Lee and Hyun Mi Cho and Dong Woo Kim and Chi Hoon Song and Jungseul Ok}, title = {Activity-Informed Industrial Audio Anomaly Detection Via Source Separation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095113}, doi = {10.1109/ICASSP49357.2023.10095113}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimLCKSO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSLSKBS23, author = {Han{-}Sol Lee and Moonkyu Song and Junseo Lee and Yeol{-}Min Seong and Ducksoo Kim and Kwanghyuk Bae and Seongwook Song}, title = {An Antispoofing Approach in Biometric Authentication System for a Smartcard}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095918}, doi = {10.1109/ICASSP49357.2023.10095918}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeSLSKBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeePS23, author = {Jungseung Lee and Huijin Park and Yongchun Song}, title = {An Improved Flash-Based Swap System for Performance and Flash Endurance}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043391}, doi = {10.1109/ICCE56470.2023.10043391}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LeePS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/JangZSDPTMSCZDZ23, author = {Youngkyoon Jang and Jiali Zheng and Jifei Song and Helisa Dhamo and Eduardo P{\'{e}}rez{-}Pellitero and Thomas Tanay and Matteo Maggioni and Richard Shaw and Sibi Catley{-}Chandar and Yiren Zhou and Jiankang Deng and Ruijie Zhu and Jiahao Chang and Ziyang Song and Jiahuan Yu and Tianzhu Zhang and Khanh{-}Binh Nguyen and Joon{-}Sung Yang and Andreea Dogaru and Bernhard Egger and Heng Yu and Aarush Gupta and Joel Julin and L{\'{a}}szl{\'{o}} A. Jeni and Hyeseong Kim and Jungbin Cho and Dosik Hwang and Deukhee Lee and Doyeon Kim and Dongseong Seo and SeungJin Jeon and YoungDon Choi and Jun Seok Kang and Ahmet Cagatay Seker and Sang Chul Ahn and Ales Leonardis and Stefanos Zafeiriou}, title = {{VSCHH} 2023: {A} Benchmark for the View Synthesis Challenge of Human Heads}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1113--1120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00120}, doi = {10.1109/ICCVW60793.2023.00120}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/JangZSDPTMSCZDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMDFCZLDZ23, author = {Matej Kristan and Jir{\'{\i}} Matas and Martin Danelljan and Michael Felsberg and Hyung Jin Chang and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Zhongqun Zhang and Khanh{-}Tung Tran and Xuan{-}Son Vu and Johanna Bj{\"{o}}rklund and Christoph Mayer and Yushan Zhang and Lei Ke and Jie Zhao and Gustavo Fern{\'{a}}ndez and Noor Al{-}Shakarji and Dong An and Michael Arens and Stefan Becker and Goutam Bhat and Sebastian Bullinger and Antoni B. Chan and Shijie Chang and Hanyuan Chen and Xin Chen and Yan Chen and Zhenyu Chen and Yangming Cheng and Yutao Cui and Chunyuan Deng and Jiahua Dong and Matteo Dunnhofer and Wei Feng and Jianlong Fu and Jie Gao and Ruize Han and Zeqi Hao and Jun{-}Yan He and Keji He and Zhenyu He and Xiantao Hu and Kaer Huang and Yuqing Huang and Yi Jiang and Ben Kang and Jin{-}Peng Lan and Hyungjun Lee and Chenyang Li and Jiahao Li and Ning Li and Wangkai Li and Xiaodi Li and Xin Li and Pengyu Liu and Yue Liu and Huchuan Lu and Bin Luo and Ping Luo and Yinchao Ma and Deshui Miao and Christian Micheloni and Kannappan Palaniappan and Hancheol Park and Matthieu Paul and Houwen Peng and Zekun Qian and Gani Rahmon and Norbert Scherer{-}Negenborn and Pengcheng Shao and Wooksu Shin and Elham Soltani Kazemi and Tianhui Song and Rainer Stiefelhagen and Rui Sun and Chuanming Tang and Zhangyong Tang and Imad Eddine Toubal and Jack Valmadre and Joost van de Weijer and Luc Van Gool and Jash Vira and St{\'{e}}phane Vujasinovic and Cheng Wan and Jia Wan and Dong Wang and Fei Wang and Feifan Wang and He Wang and Limin Wang and Song Wang and Yaowei Wang and Zhepeng Wang and Gangshan Wu and Jiannan Wu and Qiangqiang Wu and Xiaojun Wu and Anqi Xiao and Jinxia Xie and Chenlong Xu and Min Xu and Tianyang Xu and Yuanyou Xu and Bin Yan and Dawei Yang and Ming{-}Hsuan Yang and Tianyu Yang and Yi Yang and Zongxin Yang and Xuanwu Yin and Fisher Yu and Hongyuan Yu and Qianjin Yu and Weichen Yu and Yongsheng Yuan and Zehuan Yuan and Jianlin Zhang and Lu Zhang and Tianzhu Zhang and Guodongfang Zhao and Shaochuan Zhao and Yaozong Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang and ChengAo Zong and Kunlong Zuo}, title = {The First Visual Object Tracking Segmentation {VOTS2023} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1788--1810}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00195}, doi = {10.1109/ICCVW60793.2023.00195}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMDFCZLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiJLSK23, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and YoungBin Kim}, editor = {Krystal Maughan and Rosanne Liu and Thomas F. Burns}, title = {SoftEDA: Rethinking Rule-Based Data Augmentation with Soft Labels}, booktitle = {The First Tiny Papers Track at {ICLR} 2023, Tiny Papers @ {ICLR} 2023, Kigali, Rwanda, May 5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=OiSbJbVWBJT}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiJLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/OhHLLKPO23, author = {Jeongwoo Oh and Jaeseok Heo and Junseo Lee and Gunmin Lee and Minjae Kang and Jeongho Park and Songhwai Oh}, title = {{SCAN:} Socially-Aware Navigation Using Monte Carlo Tree Search}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {7576--7582}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160270}, doi = {10.1109/ICRA48891.2023.10160270}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/OhHLLKPO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiCCCKKPLSS23, author = {Sung{-}Woo Choi and Heesang Chung and Dae{-}Soon Cho and Jungpil Choi and Seon{-}Ae Kim and Junhyeong Kim and Manho Park and Namsuk Lee and Jae{-}Su Song and Nakwoon Sung}, title = {{V2I} and {V2V} service demonstration of millimeter wave communication in urban road environment}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {756--759}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392736}, doi = {10.1109/ICTC58733.2023.10392736}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiCCCKKPLSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKCSLSN23, author = {Hyojeong Choi and Daekyeong Kim and Sangwon Chae and Hong{-}Yeop Song and Yundong Lee and Sangung Shin and Hongjun Noh}, title = {Analysis for binary chaotic sequences generated by cascade chaotic maps}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {205--209}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393257}, doi = {10.1109/ICTC58733.2023.10393257}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKCSLSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungKSKLK23, author = {Gyeyoung Jung and Seungsu Kim and Minju Song and Yuju Kang and Jaeyong Lee and Jaejeung Kim}, title = {A Smart Speaker Lamp for Assisting Bedtime Smartphone Non-Use: {A} Feasibility Study}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1547--1552}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392827}, doi = {10.1109/ICTC58733.2023.10392827}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungKSKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/WangJKJLKPHLH23, author = {Seung{-}Hun Wang and Hyoryeong Jeon and Yeonseung Kim and Seunghyun Jang and Hui{-}Dong Lee and Sunwoo Kong and Bonghyuk Park and Songcheol Hong and Sang{-}Gug Lee and Jung{-}Hwan Hwang}, title = {A D-band 1-channel Beamforming Transmitter Integrated Circuits for 6G mobile communication}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1152--1153}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392381}, doi = {10.1109/ICTC58733.2023.10392381}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/WangJKJLKPHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HurLOWSC23, author = {Donghyeon Hur and Donghyun Lee and Junsuk Oh and Dongwook Won and Chihyun Song and Sungrae Cho}, title = {Survey on Challenges and Solutions of {C-V2X:} {LTE-V2X} Communication Technology}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {639--641}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10201105}, doi = {10.1109/ICUFN57995.2023.10201105}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HurLOWSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/WonOLHSVC23, author = {Dongwook Won and Junsuk Oh and Chunghyun Lee and Taeyun Ha and Chihyun Song and Duc{-}Nghia Vu and Sungrae Cho}, title = {Improving Network Performance in Semantic Communications: {A} Survey}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {749--751}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10199693}, doi = {10.1109/ICUFN57995.2023.10199693}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/WonOLHSVC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/JungBLLKPSC23, author = {Min Jae Jung and Akzhol Baktiyar and Young{-}Nam Lee and Sang{-}Gug Lee and Taekyu Kang and Soo{-}Youn Park and Juhyun Song and Kyung{-}Sik Choi}, title = {Experimental Analysis for Fast Lithium Plating Detection in Voltage Relaxation Profile of Lithium-Ion Batteries}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311689}, doi = {10.1109/IECON51785.2023.10311689}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/JungBLLKPSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimKLSL23, author = {Joon{-}Seok Kim and Do{-}Hyeon Kim and June{-}Hee Lee and Young{-}Shin Song and June{-}Seok Lee}, title = {Synchronous {PWM} Pulse Number Selection for High-Efficiency Drive of Propulsion System}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312253}, doi = {10.1109/IECON51785.2023.10312253}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/KimKLSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LeeSLKSCP23, author = {Junho Lee and Hyeonho Song and Dongjoon Lee and Sundong Kim and Jisoo Sim and Meeyoung Cha and Kyung Ryul Park}, title = {Machine Learning Driven Aid Classification for Sustainable Development}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {6040--6048}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/670}, doi = {10.24963/IJCAI.2023/670}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/LeeSLKSCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LimKLCKJYKLHHJS23, author = {Suhwan Lim and Samki Kim and Changhee Lee and Hyeongwon Choi and Nambin Kim and Jaehun Jung and Hanvit Yang and Tae{-}Hun Kim and Junhee Lim and Daewon Ha and Sunghoi Hur and Jae{-}hoon Jang and Yu{-}Gyun Shin and Jaihyuk Song}, title = {Improvement of GIDL-assisted Erase by using Surrounded {BL} {PAD} Structure for {VNAND}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145963}, doi = {10.1109/IMW56887.2023.10145963}, timestamp = {Fri, 16 Jun 2023 08:54:55 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LimKLCKJYKLHHJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeHKLO23, author = {Junseo Lee and Jaeseok Heo and Dohyeong Kim and Gunmin Lee and Songhwai Oh}, title = {Dual Variable Actor-Critic for Adaptive Safe Reinforcement Learning}, booktitle = {{IROS}}, pages = {7568--7573}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341973}, doi = {10.1109/IROS55552.2023.10341973}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeHKLO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SatoSJLBKRLHJLKLLPSJSKK23, author = {Hideo Sato and H. M. Shin and H. Jung and S. W. Lee and H. Bae and H. Kwon and K. H. Ryu and W. C. Lim and Y. S. Han and J. H. Jeong and J. M. Lee and D. S. Kim and K. Lee and J. H. Lee and J. H. Park and Y. J. Song and Y. Ji and B. I. Seo and J. W. Kim and H. H. Kim}, title = {Comprehensive study on prediction of endurance properties from breakdown voltage in high-reliable {STT-MRAM}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10118152}, doi = {10.1109/IRPS48203.2023.10118152}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SatoSJLBKRLHJLKLLPSJSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCJLCIJSKKLK23, author = {Wonseok Lee and Kyeongjong Lim and Jeonghyeon Cheon and Soyi Jeong and Jinyeon Lim and Youngsung Cho and Shusaku Ishikawa and Seongwon Jo and Seongwook Song and Minsu Kang and Kyungil Kim and Seunghyun Lim and Youngjin Kim and Sunghoo Choi and Jungchan Kyoung}, title = {A Multi-Pixel Compression for Low-Power Imaging System and Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181603}, doi = {10.1109/ISCAS46773.2023.10181603}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCJLCIJSKKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/JungSL23, author = {Kyungeun Jung and Kun Woo Song and Seungmin Lee}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {ThumbJoy: Using the Thumb's Metacarpophalangeal Joint as a Joystick Input Device}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {663--666}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00142}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00142}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismar/JungSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/SongHPLW23, author = {Eunhwa Song and Taewook Ha and Junhyeok Park and Hyunjin Lee and Woontack Woo}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {Holistic Quantified-Self: An Integrated User Model for {AR} Glass Users}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {435--436}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00092}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00092}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/SongHPLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongLSKKPHJJSC23, author = {Jeongeun Song and Sunyoung Lee and Minseok Shin and Ohjun Kwon and Hansang Kim and Yujin Park and Gyubeom Hwang and Hyekyoung Jung and Hoesam Jeong and Changrock Song and Woo{-}Seok Choi}, title = {A Pixel Driver Design Technique to Obtain a High-Quality Depth Map in Indirect Time-of-Flight Sensors}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {31--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396430}, doi = {10.1109/ISOCC59558.2023.10396430}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongLSKKPHJJSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HanKKJJOLGKKS23, author = {MinSeok Han and Jiwan Kim and Donggeon Kim and Hyunuk Jeong and Gilho Jung and Myeongwon Oh and Hyundong Lee and Yunjeong Go and HyunWoo Kim and Jongbeom Kim and Taigon Song}, title = {{HFGCN:} High-speed and Fully-optimized {GCN} Accelerator}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129340}, doi = {10.1109/ISQED57927.2023.10129340}, timestamp = {Thu, 01 Jun 2023 22:29:52 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HanKKJJOLGKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KimLKGBSKJKKS23, author = {Hyunwoo Kim and Hyundong Lee and Jongbeom Kim and Yunjeong Go and Seungwon Baek and Jaehong Song and Junhyeon Kim and Minyoung Jung and Hyodong Kim and Seongju Kim and Taigon Song}, title = {Cache Register Sharing Structure for Channel-level Near-memory Processing in {NAND} Flash Memory}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129383}, doi = {10.1109/ISQED57927.2023.10129383}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KimLKGBSKJKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ByunLSLBHBKJLKL23, author = {San{-}Ho Byun and Heejin Lee and Tae{-}Gyun Song and Jinchul Lee and Jongmin Baek and Gyeongmin Ha and Seunghoon Baek and Yeongmin Kim and Won{-}Gab Jung and Hyun{-}Wook Lim and Siwoo Kim and Jae{-}Youl Lee}, title = {A 45.8dB-SNR 120fps 100pF-Load Self-Capacitance Touch-Screen Controller with Enhanced In-Band Common Noise Immunity Using Noise Antenna Reference}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {386--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067374}, doi = {10.1109/ISSCC42615.2023.10067374}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ByunLSLBHBKJLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChaePSKOYLKYKPKJPPNRS23, author = {Kwanyeob Chae and Jiyeon Park and Jaegeun Song and Billy Koo and Jihun Oh and Shinyoung Yi and Won Lee and Dongha Kim and Taekyung Yeo and Kyeongkeun Kang and Sangsoo Park and Eunsu Kim and Sukhyun Jung and Sanghune Park and Sungcheol Park and Mijung Noh and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 1.15TB/s {HBM3} Interface with Resistor-Tuned Offset-Calibration and In-Situ Margin-Detection}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {406--407}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067736}, doi = {10.1109/ISSCC42615.2023.10067736}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChaePSKOYLKYKPKJPPNRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KooLKS23, author = {JaHyung Koo and Sun Jung Lee and Yun Kwan Kim and Hee Seok Song}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Ensemble Learning Method for In-Hospital Cardiac Arrest Prediction}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1462--1463}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231245}, doi = {10.3233/SHTI231245}, timestamp = {Thu, 08 Feb 2024 17:16:19 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KooLKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/PemmarajuSL23, author = {Rahul Pemmaraju and Daniel Y. Song and Junghoon Lee}, editor = {Olivier Colliot and Ivana Isgum}, title = {Cascaded neural network segmentation pipeline for automated delineation of prostate and organs at risk in male pelvic {CT}}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653387}, doi = {10.1117/12.2653387}, timestamp = {Mon, 18 Mar 2024 16:27:18 +0100}, biburl = {https://dblp.org/rec/conf/miip/PemmarajuSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LeeKL23, author = {Sebin Lee and Daye Kim and Jungjin Lee}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {The Effects of Viewing Formats and Song Genres on Audience Experiences in Virtual Avatar Concerts}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {6978--6988}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3612094}, doi = {10.1145/3581783.3612094}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/mm/LeeKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtits/HuLHDWLLRL23, author = {Jianghan Hu and Song Lian and Simon Hu and Cristoforo Demartino and Gaoang Wang and Xin Liu and Yongfu Li and Claudio Roncoli and Der{-}Horng Lee}, title = {A CNN-based generative model for vehicle trajectory reconstruction in mixed traffic flow}, booktitle = {8th International Conference on Models and Technologies for Intelligent Transportation Systems, {MT-ITS} 2023, Nice, France, June 14-16, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MT-ITS56129.2023.10241397}, doi = {10.1109/MT-ITS56129.2023.10241397}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mtits/HuLHDWLLRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AnLZKKS23, author = {Gaon An and Junhyeok Lee and Xingdong Zuo and Norio Kosaka and Kyung{-}Min Kim and Hyun Oh Song}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Direct Preference-based Policy Optimization without Reward Modeling}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/de8bd6b2b01cfa788e63f62e5b9a99b9-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/AnLZKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/LimKLSLC23, author = {Jang Hyuk Lim and Ja Ryeong Koo and Min Hong Lee and Dae Won Song and Sang Yeob Lee and Hyoung Jun Choi}, title = {A Method for Multi-Linear {TV} Channels Streaming based on Non-uniform Tiled Structure}, booktitle = {{IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2023, Jeju, Republic of Korea, December 4-7, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VCIP59821.2023.10402613}, doi = {10.1109/VCIP59821.2023.10402613}, timestamp = {Tue, 13 Feb 2024 21:33:24 +0100}, biburl = {https://dblp.org/rec/conf/vcip/LimKLSLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimSRLKSKKJCLJK23, author = {Kanguk Kim and Youngwoo Son and Hoin Ryu and Byunghyun Lee and Jooncheol Kim and Hyunsu Shin and Joonyoung Kang and Jihun Kim and Shinwoo Jeong and Kyosuk Chae and Dongkak Lee and Ilwoo Jung and Yongkwan Kim and Boyoung Song and Jeonghoon Oh and Jungwoo Song and Seguen Park and Keumjoo Lee and Hyodong Ban and Jiyoung Kim and Jooyoung Lee}, title = {14nm {DRAM} Development and Manufacturing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185314}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185314}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimSRLKSKKJCLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KoPBJSKLJLLPKKJ23, author = {S. Ko and J. H. Park and J. H. Bak and H. Jung and J. Shim and D. S. Kim and W. Lim and D.{-}E. Jeong and J. H. Lee and K. Lee and J.{-}H. Park and Y. Kim and C. Kim and J. H. Jeong and C. Y. Lee and S. H. Han and Y. Ji and S. H. Hwang and Hye Ji Shin and K. Lee and Y. J. Song and Yu{-}Gyun Shin and J. H. Song}, title = {Highly Reliable and Manufacturable {MRAM} embedded in 14nm FinFET node}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185248}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185248}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KoPBJSKLJLLPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/FiondaHAACCC0DE23, author = {Valeria Fionda and Olaf Hartig and Reyhaneh Abdolazimi and Sihem Amer{-}Yahia and Hongzhi Chen and Xiao Chen and Peng Cui and Jeffrey Dalton and Xin Luna Dong and Lisette Esp{\'{\i}}n{-}Noboa and Wenqi Fan and Manuela Fritz and Quan Gan and Jingtong Gao and Xiaojie Guo and Torsten Hahmann and Jiawei Han and Soyeon Caren Han and Estevam Hruschka and Liang Hu and Jiaxin Huang and Utkarshani Jaimini and Olivier Jeunen and Yushan Jiang and Fariba Karimi and George Karypis and Krishnaram Kenthapadi and Himabindu Lakkaraju and Hady W. Lauw and Thai Le and Trung{-}Hoang Le and Dongwon Lee and Geon Lee and Liat Levontin and Cheng{-}Te Li and Haoyang Li and Ying Li and Jay Chiehen Liao and Qidong Liu and Usha Lokala and Ben London and Siqu Long and Hande K{\"{u}}{\c{c}}{\"{u}}k{-}McGinty and Yu Meng and Seungwhan Moon and Usman Naseem and Pradeep Natarajan and Behrooz Omidvar{-}Tehrani and Zijie Pan and Devesh Parekh and Jian Pei and Tiago Peixoto and Steven Pemberton and Josiah Poon and Filip Radlinski and Federico Rossetto and Kaushik Roy and Aghiles Salah and Mehrnoosh Sameki and Amit P. Sheth and Cogan Shimizu and Kijung Shin and Dongjin Song and Julia Stoyanovich and Dacheng Tao and Johanne Trippas and Quoc Truong and Yu{-}Che Tsai and Adaku Uchendu and Bram van den Akker and Lin Wang and Minjie Wang and Shoujin Wang and Xin Wang and Ingmar Weber and Henry Weld and Lingfei Wu and Da Xu and Yifan Ethan Xu and Shuyuan Xu and Bo Yang and Ke Yang and Elad Yom{-}Tov and Jaemin Yoo and Zhou Yu and Reza Zafarani and Hamed Zamani and Meike Zehlike and Qi Zhang and Xikun Zhang and Yongfeng Zhang and Yu Zhang and Zheng Zhang and Liang Zhao and Xiangyu Zhao and Wenwu Zhu}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Tutorials at The Web Conference 2023}, booktitle = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {648--658}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543873.3587713}, doi = {10.1145/3543873.3587713}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/FiondaHAACCC0DE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09830, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, journal = {CoRR}, volume = {abs/2301.09830}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09830}, doi = {10.48550/ARXIV.2301.09830}, eprinttype = {arXiv}, eprint = {2301.09830}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12443, author = {Hongsun Jang and Jaewon Jung and Jaeyong Song and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {Pipe-BD: Pipelined Parallel Blockwise Distillation}, journal = {CoRR}, volume = {abs/2301.12443}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12443}, doi = {10.48550/ARXIV.2301.12443}, eprinttype = {arXiv}, eprint = {2301.12443}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12842, author = {Gaon An and Junhyeok Lee and Xingdong Zuo and Norio Kosaka and Kyung{-}Min Kim and Hyun Oh Song}, title = {Designing an offline reinforcement learning objective from scratch}, journal = {CoRR}, volume = {abs/2301.12842}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12842}, doi = {10.48550/ARXIV.2301.12842}, eprinttype = {arXiv}, eprint = {2301.12842}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12842.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-01904, author = {Junha Song and Jungsoo Lee and In So Kweon and Sungha Choi}, title = {EcoTTA: Memory-Efficient Continual Test-time Adaptation via Self-distilled Regularization}, journal = {CoRR}, volume = {abs/2303.01904}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.01904}, doi = {10.48550/ARXIV.2303.01904}, eprinttype = {arXiv}, eprint = {2303.01904}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-01904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14773, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, journal = {CoRR}, volume = {abs/2303.14773}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14773}, doi = {10.48550/ARXIV.2303.14773}, eprinttype = {arXiv}, eprint = {2303.14773}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-08660, author = {Alex Junho Lee and Seungwon Song and Hyungtae Lim and Woojoo Lee and Hyun Myung}, title = {(LC)\({}^{\mbox{2}}\): LiDAR-Camera Loop Constraints For Cross-Modal Place Recognition}, journal = {CoRR}, volume = {abs/2304.08660}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.08660}, doi = {10.48550/ARXIV.2304.08660}, eprinttype = {arXiv}, eprint = {2304.08660}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-08660.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-05249, author = {Junseo Lee and Kibum Bae and Chang{-}Nyoung Song and Hyunchul Jung}, title = {A Scalable Evaluation of Integer Factorization Performance on {IBM} Quantum Simulator}, journal = {CoRR}, volume = {abs/2305.05249}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.05249}, doi = {10.48550/ARXIV.2305.05249}, eprinttype = {arXiv}, eprint = {2305.05249}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-05249.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07598, author = {Hakjin Lee and Minki Song and Jamyoung Koo and Junghoon Seo}, title = {Hausdorff Distance Matching with Adaptive Query Denoising for Rotated Detection Transformer}, journal = {CoRR}, volume = {abs/2305.07598}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07598}, doi = {10.48550/ARXIV.2305.07598}, eprinttype = {arXiv}, eprint = {2305.07598}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17444, author = {Deokjae Lee and JunYeong Lee and Jung{-}Woo Ha and Jin{-}Hwa Kim and Sang{-}Woo Lee and Hwaran Lee and Hyun Oh Song}, title = {Query-Efficient Black-Box Red Teaming via Bayesian Optimization}, journal = {CoRR}, volume = {abs/2305.17444}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17444}, doi = {10.48550/ARXIV.2305.17444}, eprinttype = {arXiv}, eprint = {2305.17444}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05864, author = {Jun Ma and Ronald Xie and Shamini Ayyadhury and Cheng Ge and Anubha Gupta and Ritu Gupta and Song Gu and Yao Zhang and Gihun Lee and Joonkee Kim and Wei Lou and Haofeng Li and Eric Upschulte and Timo Dickscheid and Jos{\'{e}} Guilherme de Almeida and Yixin Wang and Lin Han and Xin Yang and Marco Labagnara and Sahand Jamal Rahi and Carly Kempster and Alice Pollitt and Leon Espinosa and T{\^{a}}m Mignot and Jan Moritz Middeke and Jan{-}Niklas Eckardt and Wangkai Li and Zhaoyang Li and Xiaochen Cai and Bizhe Bai and Noah F. Greenwald and David Van Valen and Erin Weisbart and Beth A. Cimini and Zhuoshi Li and Chao Zuo and Oscar Br{\"{u}}ck and Gary D. Bader and Bo Wang}, title = {The Multi-modality Cell Segmentation Challenge: Towards Universal Solutions}, journal = {CoRR}, volume = {abs/2308.05864}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05864}, doi = {10.48550/ARXIV.2308.05864}, eprinttype = {arXiv}, eprint = {2308.05864}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05864.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02706, author = {Guijin Son and Hanwool Lee and Suwan Kim and Huiseo Kim and Jaecheol Lee and Je Won Yeom and Jihyu Jung and Jung Woo Kim and Songseong Kim}, title = {{HAE-RAE} Bench: Evaluation of Korean Knowledge in Language Models}, journal = {CoRR}, volume = {abs/2309.02706}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02706}, doi = {10.48550/ARXIV.2309.02706}, eprinttype = {arXiv}, eprint = {2309.02706}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02706.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-12557, author = {Ping Li and Junjie Chen and Li Yuan and Xianghua Xu and Mingli Song}, title = {Triple-View Knowledge Distillation for Semi-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2309.12557}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.12557}, doi = {10.48550/ARXIV.2309.12557}, eprinttype = {arXiv}, eprint = {2309.12557}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-12557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14090, author = {Peixuan Song and JunKyu Lee and Lev Mukhanov}, title = {A case study on latency, bandwidth and energy efficiency of mobile 5G and YouTube Edge service in London. Why the 5G ecosystem and energy efficiency matter?}, journal = {CoRR}, volume = {abs/2310.14090}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14090}, doi = {10.48550/ARXIV.2310.14090}, eprinttype = {arXiv}, eprint = {2310.14090}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-06837, author = {Jaeyong Song and Hongsun Jang and Jaewon Jung and Youngsok Kim and Jinho Lee}, title = {GraNNDis: Efficient Unified Distributed Training Framework for Deep GNNs on Large Clusters}, journal = {CoRR}, volume = {abs/2311.06837}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.06837}, doi = {10.48550/ARXIV.2311.06837}, eprinttype = {arXiv}, eprint = {2311.06837}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-06837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02503, author = {Yeji Song and Wonsik Shin and Junsoo Lee and Jeesoo Kim and Nojun Kwak}, title = {{SAVE:} Protagonist Diversification with Structure Agnostic Video Editing}, journal = {CoRR}, volume = {abs/2312.02503}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02503}, doi = {10.48550/ARXIV.2312.02503}, eprinttype = {arXiv}, eprint = {2312.02503}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02728, author = {JungSook Bae and Waqas Khalid and Anseok Lee and Heesoo Lee and Song Noh and Heejung Yu}, title = {Overview of RIS-Enabled Secure Transmission in 6G Wireless Networks}, journal = {CoRR}, volume = {abs/2312.02728}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02728}, doi = {10.48550/ARXIV.2312.02728}, eprinttype = {arXiv}, eprint = {2312.02728}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JunKLKKJ22, author = {Sung{-}Bae Jun and Chan{-}ho Kim and Jin Hwan Lee and Jun{-}Kyu Kang and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Parameter Optimization for Reducing Torque Ripple and Harmonic Losses of Multi-Layered Interior Permanent-Magnet Synchronous Motors}, journal = {{IEEE} Access}, volume = {10}, pages = {10536--10552}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3145186}, doi = {10.1109/ACCESS.2022.3145186}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JunKLKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJKSJJSC22, author = {Jeongmin Lee and Moonseok Jang and Wang Kexin and In{-}Yeong Song and Hyeonggyu Jeong and Jinwoo Jeong and Yong Ho Song and Jungwook Choi}, title = {Improving {NVM} Lifetime Using Task Stack Migration on Low-End MCU-Based Devices}, journal = {{IEEE} Access}, volume = {10}, pages = {125319--125333}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225193}, doi = {10.1109/ACCESS.2022.3225193}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeJKSJJSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLPSLLHK22, author = {Donggu Lee and Myunghun Lee and Beomyu Park and Eunju Song and Kyudo Lee and Jeongwoo Lee and Junghwan Han and Kuduck Kwon}, title = {24-40 GHz mmWave Down-Conversion Mixer With Broadband Capacitor-Tuned Coupled Resonators for 5G New Radio Cellular Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {16782--16792}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3149311}, doi = {10.1109/ACCESS.2022.3149311}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeLPSLLHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NohSLY22, author = {Song Noh and Jiho Song and Junse Lee and Heejung Yu}, title = {High-Resolution and Low-Complexity Direction of Arrival Estimation for Hybrid Array of Subarrays}, journal = {{IEEE} Access}, volume = {10}, pages = {54922--54935}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3175974}, doi = {10.1109/ACCESS.2022.3175974}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NohSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongKYHKL22, author = {Byung{-}Pan Song and Hyeong{-}Seop Kim and Sung{-}Jun Yoon and Daniel Hernandez and Kyoung{-}Nam Kim and Seung{-}Kyun Lee}, title = {Multiturn Planar Inductor for the Improvement of Signal-to-Noise Ratio Response in Magnetic Resonance Microscopy}, journal = {{IEEE} Access}, volume = {10}, pages = {78643--78649}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3189992}, doi = {10.1109/ACCESS.2022.3189992}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongKYHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangSLKK22, author = {Zong{-}Sheng Wang and Chang Geun Song and Jung Lee and Jong{-}Hyun Kim and Sun{-}Jeong Kim}, title = {Controllable Swarm Animation Using Deep Reinforcement Learning With a Rule-Based Action Generator}, journal = {{IEEE} Access}, volume = {10}, pages = {48472--48485}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3172492}, doi = {10.1109/ACCESS.2022.3172492}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangSLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/KangSYKLYKJZSY22, author = {Taewoong Kang and Dongwoon Song and Jae{-}Bong Yi and Joonyoung Kim and Chung{-}Yeon Lee and Youngjae Yoo and Minji Kim and Hyun{-}Jun Jo and Byoung{-}Tak Zhang and Jae{-}Bok Song and Seung{-}Joon Yi}, title = {Team Tidyboy at the {WRS} 2020: a modular software framework for home service robots}, journal = {Adv. Robotics}, volume = {36}, number = {17-18}, pages = {836--849}, year = {2022}, url = {https://doi.org/10.1080/01691864.2022.2111229}, doi = {10.1080/01691864.2022.2111229}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/KangSYKLYKJZSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/SongLPXRCWYKKMMYCSHXHLTZQSMZ22, author = {Guang Song and Emily M. Lee and Jianbo Pan and Miao Xu and Hee{-}Sool Rho and Yichen Cheng and Nadia Whitt and Shu Yang and Jennifer Kouznetsova and Carleen Klumpp{-}Thomas and Samuel G. Michael and Cedric Moore and Ki{-}Jun Yoon and Kimberly M. Christian and Anton Simeonov and Wenwei Huang and Menghang Xia and Ruili Huang and Madhu Lal{-}Nag and Hengli Tang and Wei Zheng and Jiang Qian and Hongjun Song and Guo{-}li Ming and Heng Zhu}, title = {Corrigendum to "An Integrated Systems Biology Approach Identifies the Proteasome as {A} Critical Host Machinery for {ZIKV} and {DENV} Replication" [Genomics Proteomics Bioinformatics19 {(1)} {(2021)} 108-122]}, journal = {Genom. Proteom. Bioinform.}, volume = {20}, number = {4}, pages = {808--809}, year = {2022}, url = {https://doi.org/10.1016/j.gpb.2022.12.009}, doi = {10.1016/J.GPB.2022.12.009}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/SongLPXRCWYKKMMYCSHXHLTZQSMZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KongLKCKS22, author = {Kyeongbo Kong and Junggi Lee and Youngchul Kwak and Young{-}Rae Cho and Seong{-}Eun Kim and Woo{-}Jin Song}, title = {Penalty based robust learning with noisy labels}, journal = {Neurocomputing}, volume = {489}, pages = {112--127}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.02.030}, doi = {10.1016/J.NEUCOM.2022.02.030}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KongLKCKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HuhSKCSLO22, author = {Jun Ho Huh and Hyejin Shin and HongMin Kim and Eunyong Cheon and Youngeun Song and Choong{-}Hoon Lee and Ian Oakley}, title = {WristAcoustic: Through-Wrist Acoustic Response Based Authentication for Smartwatches}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {6}, number = {4}, pages = {167:1--167:34}, year = {2022}, url = {https://doi.org/10.1145/3569473}, doi = {10.1145/3569473}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imwut/HuhSKCSLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/BaekMPSL22, author = {Seunghwan Baek and Jihwan Moon and Junhee Park and Chang{-}Ick Song and Inkyu Lee}, title = {Real-Time Machine Learning Methods for Two-Way End-to-End Wireless Communication Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {22}, pages = {22983--22992}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3186811}, doi = {10.1109/JIOT.2022.3186811}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/BaekMPSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSCS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Sung{-}Chan Choi and Hyoung{-}Kyu Song}, title = {Group-Wise Sidelink Synchronization Signal and Carrier Frequency Offset Detection Method for D2D-Based {NR-V2X} Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {4}, pages = {2973--2983}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3097364}, doi = {10.1109/JIOT.2021.3097364}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Hyoung{-}Kyu Song}, title = {Balanced-Offset Joint Acquisition of Physical Cell Identity and Radio Frame Number for NB-IoT Communication Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {11}, pages = {8669--8680}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3119037}, doi = {10.1109/JIOT.2021.3119037}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JangWLJSSC22, author = {Moonseok Jang and Kexin Wang and Sangjin Lee and Hyeonggyu Jeong and In{-}Yeong Song and Yong Ho Song and Jungwook Choi}, title = {Achieving low write latency through new stealth program operation supporting early write completion in {NAND} flash memory}, journal = {J. Syst. Archit.}, volume = {133}, pages = {102767}, year = {2022}, url = {https://doi.org/10.1016/j.sysarc.2022.102767}, doi = {10.1016/J.SYSARC.2022.102767}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/JangWLJSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKRJPLBJ22, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Hakchul Jung and Changnam Park and Inhak Lee and Sanghoon Baek and Jonghoon Jung}, title = {A 3-nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-Bitline and an Adaptive Cell-Power Assist Circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {236--244}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3123077}, doi = {10.1109/JSSC.2021.3123077}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SongKRJPLBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A Mobile {DNN} Training Processor With Automatic Bit Precision Search and Fine-Grained Sparsity Exploitation}, journal = {{IEEE} Micro}, volume = {42}, number = {2}, pages = {16--25}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3135457}, doi = {10.1109/MM.2021.3135457}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LiuZSLKLHCKKKJY22, author = {Liu Ke and Xuan Zhang and Jinin So and Jong{-}Geon Lee and Shinhaeng Kang and Sukhan Lee and Songyi Han and YeonGon Cho and Jin Hyun Kim and Yongsuk Kwon and KyungSoo Kim and Jin Jung and IlKwon Yun and Sung Joo Park and Hyunsun Park and Joon{-}Ho Song and Jeonghyeon Cho and Kyomin Sohn and Nam Sung Kim and Hsien{-}Hsin S. Lee}, title = {Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {116--127}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3097700}, doi = {10.1109/MM.2021.3097700}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LiuZSLKLHCKKKJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ParkPLCRSC22, author = {Hyung Jun Park and Namu Park and Jang Ho Lee and Myeong Geun Choi and Jin{-}Sook Ryu and Min Song and Chang Min Choi}, title = {Automated extraction of information of lung cancer staging from unstructured reports of {PET-CT} interpretation: natural language processing with deep-learning}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {229}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01975-7}, doi = {10.1186/S12911-022-01975-7}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ParkPLCRSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LeeJCPS22, author = {Seung Hoon Lee and Jungho Jeon and Dong{-}Yoon Choi and Jong Min Park and Byung Cheol Song}, title = {Image based rainfall amount estimation for auto-wiping of vehicles}, journal = {Neural Comput. Appl.}, volume = {34}, number = {18}, pages = {15543--15554}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07269-3}, doi = {10.1007/S00521-022-07269-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LeeJCPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JiCSBSMPSLSOKL22, author = {Sooyeon Ji and Eun{-}Jung Choi and Beomseok Sohn and Kyoungwon Baik and Na{-}Young Shin and Won{-}Jin Moon and Seongbeom Park and Soohwa Song and Phil Hyu Lee and Dongmyung Shin and Se{-}Hong Oh and Eung{-}Yeop Kim and Jongho Lee}, title = {Sandwich spatial saturation for neuromelanin-sensitive {MRI:} Development and multi-center trial}, journal = {NeuroImage}, volume = {264}, pages = {119706}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119706}, doi = {10.1016/J.NEUROIMAGE.2022.119706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JiCSBSMPSLSOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JangSKLKMO22, author = {Ha Young Jang and Jihyeon Song and Jae Hyun Kim and Howard Lee and In{-}Wha Kim and Bongki Moon and Jung Mi Oh}, title = {Machine learning-based quantitative prediction of drug exposure in drug-drug interactions using drug label information}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00639-0}, doi = {10.1038/S41746-022-00639-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JangSKLKMO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HongLKJO22, author = {Mineui Hong and Kyungjae Lee and Minjae Kang and Wonsuhk Jung and Songhwai Oh}, title = {Dynamics-Aware Metric Embedding: Metric Learning in a Latent Space for Visual Planning}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {3388--3395}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3146917}, doi = {10.1109/LRA.2022.3146917}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HongLKJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/OhJLSHLPKLM22, author = {Minho Oh and Euigon Jung and Hyungtae Lim and Wonho Song and Sumin Hu and Eungchang Mason Lee and Junghee Park and Jaekyung Kim and Jangwoo Lee and Hyun Myung}, title = {{TRAVEL:} Traversable Ground and Above-Ground Object Segmentation Using Graph Representation of 3D LiDAR Scans}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {7255--7262}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3182096}, doi = {10.1109/LRA.2022.3182096}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/OhJLSHLPKLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/SongLLM22, author = {Seungwon Song and Hyungtae Lim and Alex Junho Lee and Hyun Myung}, title = {DynaVINS: {A} Visual-Inertial {SLAM} for Dynamic Environments}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {11523--11530}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3203231}, doi = {10.1109/LRA.2022.3203231}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/SongLLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/KoKKSLYHHKKC22, author = {Jongkuk Ko and Changhwan Kim and Dongjin Kim and Yongkwon Song and Seokmin Lee and Bongjun Yeom and June Huh and Seungyong Han and Daeshik Kang and Je{-}Sung Koh and Jinhan Cho}, title = {High-performance electrified hydrogel actuators based on wrinkled nanomembrane electrodes for untethered insect-scale soft aquabots}, journal = {Sci. Robotics}, volume = {7}, number = {71}, year = {2022}, url = {https://doi.org/10.1126/scirobotics.abo6463}, doi = {10.1126/SCIROBOTICS.ABO6463}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/KoKKSLYHHKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKSLJK22, author = {Pyojin Kim and Jungha Kim and Minkyeong Song and Yeoeun Lee and Moonkyeong Jung and Hyeong{-}Geun Kim}, title = {A Benchmark Comparison of Four Off-the-Shelf Proprietary Visual-Inertial Odometry Systems}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9873}, year = {2022}, url = {https://doi.org/10.3390/s22249873}, doi = {10.3390/S22249873}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKSLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongKL22, author = {Junsup Song and Dimitris Karagiannis and Moonkun Lee}, title = {Modeling Method to Abstract Collective Behavior of Smart IoT Systems in {CPS}}, journal = {Sensors}, volume = {22}, number = {13}, pages = {5057}, year = {2022}, url = {https://doi.org/10.3390/s22135057}, doi = {10.3390/S22135057}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KimSCLYC22, author = {Jeongbin Kim and Yongwoon Song and Kyungseon Cho and Hyukjun Lee and Hongil Yoon and Eui{-}Young Chung}, title = {STT-MRAM-Based Multicontext {FPGA} for Multithreading Computing Environment}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1330--1343}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3091440}, doi = {10.1109/TCAD.2021.3091440}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KimSCLYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/GandlaCKWPLSBHK22, author = {Srinivas Gandla and Hyeokju Chae and Hyuk{-}Jun Kwon and Yoochan Won and Hyeonjun Park and Sangheum Lee and Jaewoo Song and Seungho Baek and Young{-}Dae Hong and Donghan Kim and Sunkook Kim}, title = {Ultrafast Prototyping of Large-Area Stretchable Electronic Systems by Laser Ablation Technique for Controllable Robotic Arm Operations}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {4}, pages = {4245--4253}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3073355}, doi = {10.1109/TIE.2021.3073355}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/GandlaCKWPLSBHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeLJLKCHCJKKSJ22, author = {Bohee Lee and Taeheon Lee and Hyungsin Jeon and Songsub Lee and Kibum Kim and Wanhee Cho and Jeonghwan Hwang and Yong{-}Wook Chae and Jin{-}Man Jung and Hyo Jin Kang and Nan Hee Kim and Cheolmin Shin and Jaeson Jang}, title = {Synergy Through Integration of Wearable {EEG} and Virtual Reality for Mild Cognitive Impairment and Mild Dementia Screening}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {7}, pages = {2909--2919}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3147847}, doi = {10.1109/JBHI.2022.3147847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeLJLKCHCJKKSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/GirdharHLS22, author = {Mansi Girdhar and Junho Hong and Hyojong Lee and Tai{-}Jin Song}, title = {Hidden Markov Models-Based Anomaly Correlations for the Cyber-Physical Security of {EV} Charging Stations}, journal = {{IEEE} Trans. Smart Grid}, volume = {13}, number = {5}, pages = {3903--3914}, year = {2022}, url = {https://doi.org/10.1109/TSG.2021.3122106}, doi = {10.1109/TSG.2021.3122106}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/GirdharHLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/NohLLSSY22, author = {Song Noh and Junse Lee and Gilwon Lee and Kyungsik Seo and Youngchul Sung and Heejung Yu}, title = {Channel Estimation Techniques for RIS-Assisted Communication: Millimeter-Wave and Sub-THz Systems}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {17}, number = {2}, pages = {64--73}, year = {2022}, url = {https://doi.org/10.1109/MVT.2022.3158765}, doi = {10.1109/MVT.2022.3158765}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vtm/NohLLSSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/Kwon0LKS22, author = {Oh{-}Kyoung Kwon and Ji Hoon Kang and Seungchul Lee and Wonjung Kim and Junehwa Song}, editor = {Andreas Kl{\"{o}}ckner and Jos{\'{e}} Moreira}, title = {Efficient Task-Mapping of Parallel Applications Using a Space-Filling Curve}, booktitle = {Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2022, Chicago, Illinois, October 8-12, 2022}, pages = {384--397}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3559009.3569657}, doi = {10.1145/3559009.3569657}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/Kwon0LKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/GuoZZHZXB22, author = {Fenfei Guo and Chen Zhang and Zhirui Zhang and Qixin He and Kejun Zhang and Jun Xie and Jordan L. Boyd{-}Graber}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Automatic Song Translation for Tonal Languages}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {729--743}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.60}, doi = {10.18653/V1/2022.FINDINGS-ACL.60}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/GuoZZHZXB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A 0.95 mJ/frame {DNN} Training Processor for Robust Object Detection with Real-World Environmental Adaptation}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {37--40}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869960}, doi = {10.1109/AICAS54282.2022.9869960}, timestamp = {Fri, 16 Sep 2022 20:28:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HanIPKSLY22a, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {A {DNN} Training Processor for Robust Object Detection with Real-World Environmental Adaptation}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {501}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869954}, doi = {10.1109/AICAS54282.2022.9869954}, timestamp = {Fri, 16 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HanIPKSLY22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/SongLL22, author = {Yongmin Song and Junghee Lee and Minwoo Lee}, editor = {Van Hung Trong and Jongwoo Park and Vo Thi Thanh Thao and Jongbae Kim}, title = {A Study on the Relative Importance of Emotional Intelligence Industry Revitalization Factors Using {AHP} Analysis: Focused on the Use of Artificial Emotional Intelligence}, booktitle = {7th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2022, Danang, Vietnam, August 4-6, 2022}, pages = {386--390}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCD54882.2022.9900557}, doi = {10.1109/BCD54882.2022.9900557}, timestamp = {Tue, 11 Oct 2022 16:48:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/SongLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/SongKLJCKBKPC22, author = {Hyeong{-}Woo Song and Ho Yong Kim and Han{-}Sol Lee and Daewon Jung and You Hee Choi and Chang{-}Sei Kim and Doyeon Bang and Byungjeon Kang and Jong{-}Oh Park and Eunpyo Choi}, title = {Ultrasound-mediated Delivery of Natural Killer Cells with Microbubble for Cancer Treatment}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925298}, doi = {10.1109/BIOROB52689.2022.9925298}, timestamp = {Fri, 11 Nov 2022 16:53:13 +0100}, biburl = {https://dblp.org/rec/conf/biorob/SongKLJCKBKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/JangSLKKCLB22, author = {Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Context aware Named Entity Recognition and Relation Extraction with Domain-specific language model}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {782--796}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-63.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:41 +0100}, biburl = {https://dblp.org/rec/conf/clef/JangSLKKCLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/LiF0YADTAZMYJSL22, author = {Yuan Li and Biaoyan Fang and Jiayuan He and Hiyori Yoshikawa and Saber A. Akhondi and Christian Druckenbrodt and Camilo Thorne and Zubair Afzal and Zenan Zhai and Kojiro Machi and Masaharu Yoshioka and Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae and Darshini Mahendran and Christina Tang and Bridget T. McInnes and Timothy Baldwin and Karin Verspoor}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Extended Overview of ChEMU 2022 Evaluation Campaign: Information Extraction in Chemical Patents}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {758--781}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-62.pdf}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clef/LiF0YADTAZMYJSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/KimLLJMH22, author = {Jaehong Kim and Yunheon Lee and Hwijoon Lim and Youngmok Jung and Song Min Kim and Dongsu Han}, editor = {Giuseppe Bianchi and Alessandro Mei}, title = {OutRAN: co-optimizing for flow completion time in radio access network}, booktitle = {Proceedings of the 18th International Conference on emerging Networking EXperiments and Technologies, CoNEXT 2022, Roma, Italy, December 6-9, 2022}, pages = {369--385}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3555050.3569122}, doi = {10.1145/3555050.3569122}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conext/KimLLJMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongWLYCLCK22, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21393--21398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02074}, doi = {10.1109/CVPR52688.2022.02074}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongWLYCLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22, author = {Matej Kristan and Ales Leonardis and Jir{\'{\i}} Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Johanna Bj{\"{o}}rklund and Yushan Zhang and Zhongqun Zhang and Song Yan and Wenyan Yang and Dingding Cai and Christoph Mayer and Gustavo Fern{\'{a}}ndez and Kang Ben and Goutam Bhat and Hong Chang and Guangqi Chen and Jiaye Chen and Shengyong Chen and Xilin Chen and Xin Chen and Xiuyi Chen and Yiwei Chen and Yu{-}Hsi Chen and Zhixing Chen and Yangming Cheng and Angelo Ciaramella and Yutao Cui and Benjamin Dzubur and Mohana Murali Dasari and Qili Deng and Debajyoti Dhar and Shangzhe Di and Emanuel Di Nardo and Daniel K. Du and Matteo Dunnhofer and Heng Fan and Zhen{-}Hua Feng and Zhihong Fu and Shang Gao and Rama Krishna Gorthi and Eric Granger and Q. H. Gu and Himanshu Gupta and Jianfeng He and Keji He and Yan Huang and Deepak Jangid and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix J{\"{a}}remo Lawin and Ze Kang and Madhu Kiran and Josef Kittler and Simiao Lai and Xiangyuan Lan and Dongwook Lee and Hyunjeong Lee and Seohyung Lee and Hui Li and Ming Li and Wangkai Li and Xi Li and Xianxian Li and Xiao Li and Zhe Li and Liting Lin and Haibin Ling and Bo Liu and Chang Liu and Si Liu and Huchuan Lu and Rafael M. O. Cruz and Bingpeng Ma and Chao Ma and Jie Ma and Yinchao Ma and Niki Martinel and Alireza Memarmoghadam and Christian Micheloni and Payman Moallem and Le Thanh Nguyen{-}Meidine and Siyang Pan and ChangBeom Park and Danda Pani Paudel and Matthieu Paul and Houwen Peng and Andreas Robinson and Litu Rout and Shiguang Shan and Kristian Simonato and Tianhui Song and Xiaoning Song and Chao Sun and Jingna Sun and Zhangyong Tang and Radu Timofte and Chi{-}Yi Tsai and Luc Van Gool and Om Prakash Verma and Dong Wang and Fei Wang and Liang Wang and Liangliang Wang and Lijun Wang and Limin Wang and Qiang Wang and Gangshan Wu and Jinlin Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Wei Xu and Yong Xu and Yuanyou Xu and Wanli Xue and Zizheng Xun and Bin Yan and Dawei Yang and Jinyu Yang and Wankou Yang and Xiaoyun Yang and Yi Yang and Yichun Yang and Zongxin Yang and Botao Ye and Fisher Yu and Hongyuan Yu and Jiaqian Yu and Qianjin Yu and Weichen Yu and Kang Ze and Jiang Zhai and Chengwei Zhang and Chunhu Zhang and Kaihua Zhang and Tianzhu Zhang and Wenkang Zhang and Zhibin Zhang and Zhipeng Zhang and Jie Zhao and Shao{-}Chuan Zhao and Feng Zheng and Haixia Zheng and Min Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {The Tenth Visual Object Tracking {VOT2022} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, pages = {431--460}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25085-9\_25}, doi = {10.1007/978-3-031-25085-9\_25}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungCSKSLB0BLKL22, author = {Hyun{-}Yong Jung and Myonglae Chu and Min{-}Woong Seo and Suksan Kim and Jiyoun Song and Sanggwon Lee and Sung{-}Jae Byun and Minkyung Kim and Daehee Bae and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Jonghyun Go and Jaekyu Lee and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat}, title = {Design and analysis on low-power and low-noise single slope {ADC} for digital pixel sensors}, booktitle = {Imaging Sensors and Systems 2022, online, January 15-26, 2022}, pages = {1--4}, publisher = {Society for Imaging Science and Technology}, year = {2022}, url = {https://doi.org/10.2352/EI.2022.34.7.ISS-256}, doi = {10.2352/EI.2022.34.7.ISS-256}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungCSKSLB0BLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeYHJSS22, author = {Kangseok Lee and Geunyeong Yu and Youngjun Hwang and Bohwan Jun and Hongrak Son and Yong Ho Song}, title = {ECC-Aided {RAID} for Reliability Improvement of {SSD}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {3772--3778}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10000968}, doi = {10.1109/GLOBECOM48099.2022.10000968}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeYHJSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimJJJL22, author = {Hong Jung Kim and Hyun Seo Jang and Ho Min Jo and Yeong Song Jang and Yun Gil Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing Human Behavior Simulation Technology that Considers Pedestrians' Physical Characteristics in Atypical Architectural Spaces}, booktitle = {{HCI} International 2022 Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {1583}, pages = {73--77}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06394-7\_11}, doi = {10.1007/978-3-031-06394-7\_11}, timestamp = {Sun, 02 Oct 2022 16:02:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimJJJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeJJJK22, author = {Yun Gil Lee and Ho Min Jo and Hyun Seo Jang and Yeong Song Jang and Hong Jung Kim}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing Human-Figured Agent Technology that Responds to Sudden Changes in External Situations in Atypical Architectural Spaces for Advanced Human Behavior Simulation}, booktitle = {{HCI} International 2022 Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {1583}, pages = {78--82}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06394-7\_12}, doi = {10.1007/978-3-031-06394-7\_12}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeJJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{HNPU-V2:} {A} 46.6 {FPS} {DNN} Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895624}, doi = {10.1109/HCS55958.2022.9895624}, timestamp = {Wed, 05 Oct 2022 17:46:21 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KwakPKLYLS22, author = {Sonya S. Kwak and Seongah Park and Dahyun Kang and Hanna Lee and Jung Hyun Yang and Yoonseob Lim and Kahye Song}, editor = {Daisuke Sakamoto and Astrid Weiss and Laura M. Hiatt and Masahiro Shiomi}, title = {PopupBot, a Robotic Pop-up Space for Children: Origami-based Transformable Robotic Playhouse Recognizing Children's Intention}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2022, Sapporo, Hokkaido, Japan, March 7 - 10, 2022}, pages = {1196--1197}, publisher = {{IEEE} / {ACM}}, year = {2022}, url = {https://doi.org/10.1109/HRI53351.2022.9889439}, doi = {10.1109/HRI53351.2022.9889439}, timestamp = {Fri, 07 Oct 2022 14:19:05 +0200}, biburl = {https://dblp.org/rec/conf/hri/KwakPKLYLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/KimSLCHPK22, author = {Namhyuk Kim and Junho Song and Siyoung Lee and Jaewon Choe and Kyungsik Han and Sunghwan Park and Sang{-}Wook Kim}, title = {{APOTS:} {A} Model for Adversarial Prediction of Traffic Speed}, booktitle = {38th {IEEE} International Conference on Data Engineering, {ICDE} 2022, Kuala Lumpur, Malaysia, May 9-12, 2022}, pages = {3353--3359}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDE53745.2022.00316}, doi = {10.1109/ICDE53745.2022.00316}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/KimSLCHPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ParkKSY022, author = {Dongmin Park and Junhyeok Kang and Hwanjun Song and Susik Yoon and Jae{-}Gil Lee}, editor = {Xingquan Zhu and Sanjay Ranka and My T. Thai and Takashi Washio and Xindong Wu}, title = {Multi-view POI-level Cellular Trajectory Reconstruction for Digital Contact Tracing of Infectious Diseases}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2022, Orlando, FL, USA, November 28 - Dec. 1, 2022}, pages = {1137--1142}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDM54844.2022.00144}, doi = {10.1109/ICDM54844.2022.00144}, timestamp = {Thu, 02 Feb 2023 13:50:02 +0100}, biburl = {https://dblp.org/rec/conf/icdm/ParkKSY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeLJLYHS22, author = {Heejin Lee and Junmin Lee and Seha Jeong and Seunghyun Lee and Seungwan Yu and Junho Heo and Byung Cheol Song}, title = {Image Enhancement for Improved Visibility of Digital Displays Under The Sunlight}, booktitle = {2022 {IEEE} International Conference on Image Processing, {ICIP} 2022, Bordeaux, France, 16-19 October 2022}, pages = {3918--3922}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIP46576.2022.9897726}, doi = {10.1109/ICIP46576.2022.9897726}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeeLJLYHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeMLS22, author = {Deokjae Lee and Seungyong Moon and Junhyeok Lee and Hyun Oh Song}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Query-Efficient and Scalable Black-Box Adversarial Attacks on Discrete Sequential Data via Bayesian Optimization}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {12478--12497}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/lee22h.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/LeeMLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/AhnKKJJLLO22, author = {Hyemin Ahn and Obin Kwon and Kyungdo Kim and Jaeyeon Jeong and Howoong Jun and Hongjung Lee and Dongheui Lee and Songhwai Oh}, title = {Visually Grounding Language Instruction for History-Dependent Manipulation}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {675--682}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9812279}, doi = {10.1109/ICRA46639.2022.9812279}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/AhnKKJJLLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChungCCCCKNKPLC22, author = {Heesang Chung and Sung{-}Woo Choi and Seung Nam Choi and Dae{-}Soon Cho and Jungpil Choi and Seon{-}Ae Kim and Gosan Noh and Junhyeong Kim and Manho Park and Namsuk Lee and Minsuk Choi and Jae{-}Su Song and Nakwoon Sung}, title = {Demonstration of millimeter wave vehicle-to-vehicle communication services in highway environment}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1428--1430}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952942}, doi = {10.1109/ICTC55196.2022.9952942}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChungCCCCKNKPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimKNHKKCGSLK22, author = {Goo{-}Young Kim and Donghun Kim and Sang Do Noh and Hong Ku Han and Nam Geun Kim and Yong{-}Shin Kang and Seung Hyun Choi and Dong Hyun Go and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Duck Young Kim and Gregor von Cieminski and David Romero}, title = {Human Digital Twin System for Operator Safety and Work Management}, booktitle = {Advances in Production Management Systems. Smart Manufacturing and Logistics Systems: Turning Ideas into Action - {IFIP} {WG} 5.7 International Conference, {APMS} 2022, Gyeongju, South Korea, September 25-29, 2022, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {664}, pages = {529--536}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16411-8\_61}, doi = {10.1007/978-3-031-16411-8\_61}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimKNHKKCGSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeSNKSSC22, author = {Juwon Lee and Junho Seo and Jeonghun Nam and YongLae Kim and Ki{-}Whan Song and Jai Hyuk Song and Woo Young Choi}, title = {Electric Field Impact on Lateral Charge Diffusivity in Charge Trapping 3D {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {29--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764447}, doi = {10.1109/IRPS48227.2022.9764447}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeSNKSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NamCHDJPLJKKSSC22, author = {Bu{-}Il Nam and Young{-}Ha Choi and Sungki Hong and Ki{-}Young Dong and Wontaeck Jung and Sang{-}Won Park and Soon{-}Yong Lee and Dooyeun Jung and Byoung{-}Hee Kim and Eun{-}Kyoung Kim and Ki{-}Whan Song and Jai Hyuk Song and Woo Young Choi}, title = {Novel Electrical Detection Method for Random Defects on Peripheral Circuits in {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {40--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764437}, doi = {10.1109/IRPS48227.2022.9764437}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NamCHDJPLJKKSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongKL22, author = {Junho Song and Minsu Kim and Hyung{-}Min Lee}, title = {A Three-Level Boost Converter With Peak Current Mode Control for Flying Capacitor Self-Balancing}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {300--301}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031505}, doi = {10.1109/ISOCC56007.2022.10031505}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangMBLBJKLSS22, author = {Kiseo Kang and Donggyu Minn and Seunghun Bae and Jaeho Lee and Seongun Bae and Gichang Jung and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Jae{-}Yoon Sim}, title = {A Cryo-CMOS Controller {IC} With Fully Integrated Frequency Generators for Superconducting Qubits}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {362--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731574}, doi = {10.1109/ISSCC42614.2022.9731574}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangMBLBJKLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/GiancolaCDMSKZB22, author = {Silvio Giancola and Anthony Cioppa and Adrien Deli{\`{e}}ge and Floriane Magera and Vladimir Somers and Le Kang and Xin Zhou and Olivier Barnich and Christophe De Vleeschouwer and Alexandre Alahi and Bernard Ghanem and Marc Van Droogenbroeck and Abdulrahman Darwish and Adrien Maglo and Albert Clap{\'{e}}s and Andreas Luyts and Andrei Boiarov and Artur Xarles and Astrid Orcesi and Avijit Shah and Baoyu Fan and Bharath Comandur and Chen Chen and Chen Zhang and Chen Zhao and Chengzhi Lin and Cheuk{-}Yiu Chan and Chun Chuen Hui and Dengjie Li and Fan Yang and Fan Liang and Fang Da and Feng Yan and Fufu Yu and Guanshuo Wang and H. Anthony Chan and He Zhu and Hongwei Kan and Jiaming Chu and Jianming Hu and Jianyang Gu and Jin Chen and Jo{\~{a}}o V. B. Soares and Jonas Theiner and Jorge De Corte and Jos{\'{e}} Henrique Brito and Jun Zhang and Junjie Li and Junwei Liang and Leqi Shen and Lin Ma and Lingchi Chen and Miguel Santos Marques and Mike Azatov and Nikita Kasatkin and Ning Wang and Qiong Jia and Quoc{-}Cuong Pham and Ralph Ewerth and Ran Song and Rengang Li and Rikke Gade and Ruben Debien and Runze Zhang and Sangrok Lee and Sergio Escalera and Shan Jiang and Shigeyuki Odashima and Shimin Chen and Shoichi Masui and Shouhong Ding and Sin{-}wai Chan and Siyu Chen and Tallal El Shabrawy and Tao He and Thomas B. Moeslund and Wan{-}Chi Siu and Wei Zhang and Wei Li and Xiangwei Wang and Xiao Tan and Xiaochuan Li and Xiaolin Wei and Xiaoqing Ye and Xing Liu and Xinying Wang and Yandong Guo and Yaqian Zhao and Yi Yu and Yingying Li and Yue He and Yujie Zhong and Zhenhua Guo and Zhiheng Li}, editor = {Rainer Lienhart and Thomas B. Moeslund and Hideo Saito}, title = {SoccerNet 2022 Challenges Results}, booktitle = {MMSports@MM 2022: Proceedings of the 5th International {ACM} Workshop on Multimedia Content Analysis in Sports, Lisboa, Portugal, 14 October 2022}, pages = {75--86}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3552437.3558545}, doi = {10.1145/3552437.3558545}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/GiancolaCDMSKZB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/KimLCLKHS22, author = {Wonjung Kim and Seungchul Lee and Youngjae Chang and Taegyeong Lee and Seongwoong Kang and Inseok Hwang and Junehwa Song}, title = {Hivemind: IoT-based democratization of shared devices in a public space: demo}, booktitle = {MobiHoc '22: The Twenty-third International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, Seoul, Republic of Korea, October 17 - 20, 2022}, pages = {289--290}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3492866.3561253}, doi = {10.1145/3492866.3561253}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihoc/KimLCLKHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MoonLS22, author = {Seungyong Moon and JunYeong Lee and Hyun Oh Song}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Rethinking Value Function Learning for Generalization in Reinforcement Learning}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/e19ab2dde2e60cf68d1ded18c38938f4-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/MoonLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeJSCLLKM22, author = {Eungchang Mason Lee and Sungwook Jung and Seungwon Song and Duckyu Choi and Dongkyu Lee and Seunghyun Lee and Seoktae Kim and Hyun Myung}, editor = {Jun Jo and Han{-}Lim Choi and Mard{\'{e}} Helbig and Hyondong Oh and Jemin Hwangbo and Chang{-}Hun Lee and Bela Stantic}, title = {{CEO-MLCPP:} Control-Efficient and Obstacle-Aware Multi-Layer Coverage Path Planner for 3D Reconstruction with UAVs}, booktitle = {Robot Intelligence Technology and Applications 7 - Results from the 10th International Conference on Robot Intelligence Technology and Applications, RiTA 2022, Daejeon, South Korea, 7-9 December, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {642}, pages = {27--36}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26889-2\_3}, doi = {10.1007/978-3-031-26889-2\_3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/LeeJSCLLKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimLPSJ22, author = {Suhyeon Kim and Dokyung Lee and Jaejun Park and Myungji Song and Younhyun Jung}, editor = {Soon Ki Jung and Neil A. Dodgson}, title = {Codeless Content Creator System: Anyone Can Make Their Own Mixed Reality Content Without Relying on Software Developer Tools}, booktitle = {{SIGGRAPH} Asia 2022 Posters, {SA} 2022, Daegu, Republic of Korea, December 6-9, 2022}, pages = {43:1--43:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550082.3564194}, doi = {10.1145/3550082.3564194}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimLPSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/CorrellJSLZTWEB22, author = {Justin M. Correll and Lu Jie and Seungheun Song and Seungjong Lee and Junkang Zhu and Wei Tang and Luke Wormald and Jack Erhardt and Nicolas Breil and Roger Quon and Deepak Kamalanathan and Siddarth A. Krishnan and Michael Chudzik and Zhengya Zhang and Wei D. Lu and Michael P. Flynn}, title = {An 8-bit 20.7 {TOPS/W} Multi-Level Cell ReRAM-based Compute Engine}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {264--265}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830490}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830490}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/CorrellJSLZTWEB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLLSSLLCOKJ22, author = {Jung{-}Hun Park and Kwang{-}Hoon Lee and Yongjae Lee and Jung{-}Woo Sull and Yoonho Song and Sanghee Lee and Hyeonseok Lee and Hoyeon Cho and Jonghyun Oh and Han{-}Gon Ko and Deog{-}Kyoon Jeong}, title = {A 68.7-fJ/b/mm 375-GB/s/mm Single-Ended {PAM-4} Interface with Per-Pin Training Sequence for the Next-Generation {HBM} Controller}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {150--151}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830454}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830454}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLLSSLLCOKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLPSLGJBKHKY22, author = {Hye Yeon Park and Yunki Lee and Jonghoon Park and Hyunseok Song and Taesung Lee and Hyung Keun Gweon and Yunji Jung and Jeongmin Bae and Boseong Kim and Junwon Han and Seungwon Kim and Cheolsang Yoon and Jeongki Kim and Changkeun Lee and Sehoon Yoo and Euiyeol Kim and Hyunmin Baek and Howoo Park and Bumsuk Kim and JungChak Ahn and Joonseo Yim}, title = {Advanced novel optical stack technologies for high {SNR} in {CMOS} Image Sensor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {353--354}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830428}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830428}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLPSLGJBKHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangJLSKYYKKJPA22, author = {Giyoung Yang and Hakchul Jung and Jinyoung Lim and Jaewoo Seo and Ingyum Kim and Jisu Yu and Hyeoungyu You and Jeongsoon Kong and Garoom Kim and Minjae Jeong and Chanhee Park and Sera An and Woojin Rim and Hayoung Kim and Dalhee Lee and Sanghoon Baek and Jonghoon Jung and Taejoong Song and Jongwook Kye}, title = {Standard Cell Design Optimization with Advanced {MOL} Technology in 3nm {GAA} Process}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {363--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830450}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830450}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YangJLSKYYKKJPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13420, author = {Fenfei Guo and Chen Zhang and Zhirui Zhang and Qixin He and Kejun Zhang and Jun Xie and Jordan L. Boyd{-}Graber}, title = {Automatic Song Translation for Tonal Languages}, journal = {CoRR}, volume = {abs/2203.13420}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13420}, doi = {10.48550/ARXIV.2203.13420}, eprinttype = {arXiv}, eprint = {2203.13420}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13420.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03262, author = {Taeyoung Kang and Eunrang Kwon and Junbum Lee and Youngeun Nam and Junmo Song and JeongKyu Suh}, title = {Korean Online Hate Speech Dataset for Multilabel Classification: How Can Social Science Improve Dataset on Hate Speech?}, journal = {CoRR}, volume = {abs/2204.03262}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03262}, doi = {10.48550/ARXIV.2204.03262}, eprinttype = {arXiv}, eprint = {2204.03262}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03262.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06421, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, journal = {CoRR}, volume = {abs/2205.06421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06421}, doi = {10.48550/ARXIV.2205.06421}, eprinttype = {arXiv}, eprint = {2205.06421}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03190, author = {Minho Oh and Euigon Jung and Hyungtae Lim and Wonho Song and Sumin Hu and Eungchang Mason Lee and Junghee Park and Jaekyung Kim and Jangwoo Lee and Hyun Myung}, title = {{TRAVEL:} Traversable Ground and Above-Ground Object Segmentation Using Graph Representation of 3D LiDAR Scans}, journal = {CoRR}, volume = {abs/2206.03190}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03190}, doi = {10.48550/ARXIV.2206.03190}, eprinttype = {arXiv}, eprint = {2206.03190}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08575, author = {Deokjae Lee and Seungyong Moon and Junhyeok Lee and Hyun Oh Song}, title = {Query-Efficient and Scalable Black-Box Adversarial Attacks on Discrete Sequential Data via Bayesian Optimization}, journal = {CoRR}, volume = {abs/2206.08575}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08575}, doi = {10.48550/ARXIV.2206.08575}, eprinttype = {arXiv}, eprint = {2206.08575}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-09382, author = {Junse Lee and Song Noh and Sooyeob Jeong and Namyoon Lee}, title = {Coverage Analysis of {LEO} Satellite Downlink Networks: Orbit Geometry Dependent Approach}, journal = {CoRR}, volume = {abs/2206.09382}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.09382}, doi = {10.48550/ARXIV.2206.09382}, eprinttype = {arXiv}, eprint = {2206.09382}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-09382.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06780, author = {Jungha Kim and Minkyeong Song and Yeoeun Lee and Moonkyeong Jung and Pyojin Kim}, title = {An Empirical Evaluation of Four Off-the-Shelf Proprietary Visual-Inertial Odometry Systems}, journal = {CoRR}, volume = {abs/2207.06780}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06780}, doi = {10.48550/ARXIV.2207.06780}, eprinttype = {arXiv}, eprint = {2207.06780}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-11500, author = {Seungwon Song and Hyungtae Lim and Alex Junho Lee and Hyun Myung}, title = {DynaVINS: {A} Visual-Inertial {SLAM} for Dynamic Environments}, journal = {CoRR}, volume = {abs/2208.11500}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.11500}, doi = {10.48550/ARXIV.2208.11500}, eprinttype = {arXiv}, eprint = {2208.11500}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-11500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02365, author = {Silvio Giancola and Anthony Cioppa and Adrien Deli{\`{e}}ge and Floriane Magera and Vladimir Somers and Le Kang and Xin Zhou and Olivier Barnich and Christophe De Vleeschouwer and Alexandre Alahi and Bernard Ghanem and Marc Van Droogenbroeck and Abdulrahman Darwish and Adrien Maglo and Albert Clap{\'{e}}s and Andreas Luyts and Andrei Boiarov and Artur Xarles and Astrid Orcesi and Avijit Shah and Baoyu Fan and Bharath Comandur and Chen Chen and Chen Zhang and Chen Zhao and Chengzhi Lin and Cheuk{-}Yiu Chan and Chun Chuen Hui and Dengjie Li and Fan Yang and Fan Liang and Fang Da and Feng Yan and Fufu Yu and Guanshuo Wang and H. Anthony Chan and He Zhu and Hongwei Kan and Jiaming Chu and Jianming Hu and Jianyang Gu and Jin Chen and Jo{\~{a}}o V. B. Soares and Jonas Theiner and Jorge De Corte and Jos{\'{e}} Henrique Brito and Jun Zhang and Junjie Li and Junwei Liang and Leqi Shen and Lin Ma and Lingchi Chen and Miguel Santos Marques and Mike Azatov and Nikita Kasatkin and Ning Wang and Qiong Jia and Quoc{-}Cuong Pham and Ralph Ewerth and Ran Song and Rengang Li and Rikke Gade and Ruben Debien and Runze Zhang and Sangrok Lee and Sergio Escalera and Shan Jiang and Shigeyuki Odashima and Shimin Chen and Shoichi Masui and Shouhong Ding and Sin{-}wai Chan and Siyu Chen and Tallal El Shabrawy and Tao He and Thomas B. Moeslund and Wan{-}Chi Siu and Wei Zhang and Wei Li and Xiangwei Wang and Xiao Tan and Xiaochuan Li and Xiaolin Wei and Xiaoqing Ye and Xing Liu and Xinying Wang and Yandong Guo and Yaqian Zhao and Yi Yu and Yingying Li and Yue He and Yujie Zhong and Zhenhua Guo and Zhiheng Li}, title = {SoccerNet 2022 Challenges Results}, journal = {CoRR}, volume = {abs/2210.02365}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02365}, doi = {10.48550/ARXIV.2210.02365}, eprinttype = {arXiv}, eprint = {2210.02365}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02365.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-05742, author = {Juyeop Kim and Junha Park and Songkuk Kim and Jong{-}Seok Lee}, title = {Curved Representation Space of Vision Transformers}, journal = {CoRR}, volume = {abs/2210.05742}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.05742}, doi = {10.48550/ARXIV.2210.05742}, eprinttype = {arXiv}, eprint = {2210.05742}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-05742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-09960, author = {Seungyong Moon and JunYeong Lee and Hyun Oh Song}, title = {Rethinking Value Function Learning for Generalization in Reinforcement Learning}, journal = {CoRR}, volume = {abs/2210.09960}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.09960}, doi = {10.48550/ARXIV.2210.09960}, eprinttype = {arXiv}, eprint = {2210.09960}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-09960.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13866, author = {Changhoon Song and Geonho Hwang and Junho Lee and Myungjoo Kang}, title = {Minimal Width for Universal Property of Deep {RNN}}, journal = {CoRR}, volume = {abs/2211.13866}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13866}, doi = {10.48550/ARXIV.2211.13866}, eprinttype = {arXiv}, eprint = {2211.13866}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongLLCS21, author = {Joonyong Jeong and Gyeongyong Lee and Jungkeol Lee and Jungwook Choi and Yong Ho Song}, title = {Buffer Management With Append-Only Data Isolation for Improving {SSD} Performance}, journal = {{IEEE} Access}, volume = {9}, pages = {157681--157698}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3130278}, doi = {10.1109/ACCESS.2021.3130278}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongLLCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimALS21, author = {Dae Ha Kim and Fazliddin Anvarov and Junmin Lee and Byung Cheol Song}, title = {Metric-Based Attention Feature Learning for Video Action Recognition}, journal = {{IEEE} Access}, volume = {9}, pages = {39218--39228}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3064934}, doi = {10.1109/ACCESS.2021.3064934}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimALS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLS21, author = {Hyunwoo Kim and Jeonghoon Kim and Jungwook Choi and Jungkeol Lee and Yong Ho Song}, title = {Binarized Encoder-Decoder Network and Binarized Deconvolution Engine for Semantic Segmentation}, journal = {{IEEE} Access}, volume = {9}, pages = {8006--8027}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048375}, doi = {10.1109/ACCESS.2020.3048375}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLS21, author = {Taeyong Kim and Taewoong Kwon and Jun Lee and Jungsuk Song}, title = {F/Wvis: Hierarchical Visual Approach for Effective Optimization of Firewall Policy}, journal = {{IEEE} Access}, volume = {9}, pages = {105989--106004}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3100141}, doi = {10.1109/ACCESS.2021.3100141}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKJLJCS21, author = {Gyeongyong Lee and Jaewook Kwak and Joonyong Jeong and Daeyong Lee and Moonseok Jang and Jungwook Choi and Yong Ho Song}, title = {Internal Task-Aware Command Scheduling to Improve Read Performance of Embedded Flash Storage Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {71638--71650}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3079520}, doi = {10.1109/ACCESS.2021.3079520}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKJLJCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLJPYS21, author = {Yeong{-}Rong Lee and Won{-}Seok Lee and Ji{-}Sung Jung and Chan{-}Yeob Park and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Hybrid Beamforming With Reduced {RF} Chain Based on {PZF} and {PD-NOMA} in mmWave Massive {MIMO} Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {60695--60703}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073502}, doi = {10.1109/ACCESS.2021.3073502}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLJPYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSL21, author = {Taejung Park and Hyunjoo Song and Sang June Lee}, title = {Detecting and Recovering Integer Data Manipulated by Multiplication With a Nonintegral Real Number and a Rounding Operation}, journal = {{IEEE} Access}, volume = {9}, pages = {57149--57164}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3071794}, doi = {10.1109/ACCESS.2021.3071794}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer}, journal = {{IEEE} Access}, volume = {9}, pages = {72316--72325}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3079988}, doi = {10.1109/ACCESS.2021.3079988}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21a, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Correction to "5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer"}, journal = {{IEEE} Access}, volume = {9}, pages = {83551}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3087874}, doi = {10.1109/ACCESS.2021.3087874}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongANLJ21, author = {Dae{-}Il Song and Junghwan Ahn and Young{-}Joon Nam and Ju Lee and Hyungkwan Jang}, title = {Open-Circuit Core Loss of Large Turbine Generators Considering the Influence of Key Bar Design}, journal = {{IEEE} Access}, volume = {9}, pages = {70662--70670}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078461}, doi = {10.1109/ACCESS.2021.3078461}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongANLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongPKJLHCL21, author = {Hayeon Song and Soowon Park and Hakrim Kim and Suyeon Jo and Jung{-}In Lee and Seong{-}Jae Han and Inwook Choi and Jun{-}Young Lee}, title = {Is Anxiety-Inducing {VR} Experienced Differently Depending on Personality? The Mediating Role of Presence}, journal = {{IEEE} Access}, volume = {9}, pages = {42161--42168}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3064251}, doi = {10.1109/ACCESS.2021.3064251}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongPKJLHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SuhLGH21, author = {Jun{-}Seuk Suh and Jungah Lee and Gye{-}Tae Gil and Songcheol Hong}, title = {Time-and-Frequency Hybrid Multiplexing for Flexible Ambiguity Controls of DFT-coded {MIMO} {OFDM} Radar}, journal = {{IEEE} Access}, volume = {9}, pages = {137793--137808}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117980}, doi = {10.1109/ACCESS.2021.3117980}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SuhLGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ShanmugamLJ21, author = {Gnanendra Shanmugam and Song Hee Lee and Junhyun Jeon}, title = {EzMAP: Easy Microbiome Analysis Platform}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {179}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04106-7}, doi = {10.1186/S12859-021-04106-7}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ShanmugamLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/KoSL21, author = {Young Man Ko and Min Sun Song and Seung Jun Lee}, title = {Construction of metadata database structured by conceptual elements of text structure and semantic search evaluation of Korean studies}, journal = {Electron. Libr.}, volume = {39}, number = {5}, pages = {678--694}, year = {2021}, url = {https://doi.org/10.1108/EL-03-2021-0055}, doi = {10.1108/EL-03-2021-0055}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/KoSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KwakSL21, author = {Yuyeong Kwak and Junho Song and Hongchul Lee}, title = {Neural network with fixed noise for index-tracking portfolio optimization}, journal = {Expert Syst. Appl.}, volume = {183}, pages = {115298}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115298}, doi = {10.1016/J.ESWA.2021.115298}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KwakSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/SongLPXRCWYKKMM21, author = {Guang Song and Emily M. Lee and Jianbo Pan and Miao Xu and Hee{-}Sool Rho and Yichen Cheng and Nadia Whitt and Shu Yang and Jennifer Kouznetsova and Carleen Klumpp{-}Thomas and Samuel G. Michael and Cedric Moore and Ki{-}Jun Yoon and Kimberly M. Christian and Anton Simeonov and Wenwei Huang and Menghang Xia and Ruili Huang and Madhu Lal{-}Nag and Hengli Tang and Wei Zheng and Jiang Qian and Hongjun Song and Guo{-}li Ming and Heng Zhu}, title = {An Integrated Systems Biology Approach Identifies the Proteasome as {A} Critical Host Machinery for {ZIKV} and {DENV} Replication}, journal = {Genom. Proteom. Bioinform.}, volume = {19}, number = {1}, pages = {108--122}, year = {2021}, url = {https://doi.org/10.1016/j.gpb.2020.06.016}, doi = {10.1016/J.GPB.2020.06.016}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gpb/SongLPXRCWYKKMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SongLE21, author = {Jun{-}Hyeok Song and Eun{-}Taik Lee and Hee{-}Chang Eun}, title = {Optimal sensor placement through expansion of static strain measurements to static displacements}, journal = {Int. J. Distributed Sens. Networks}, volume = {17}, number = {1}, pages = {155014772199171}, year = {2021}, url = {https://doi.org/10.1177/1550147721991712}, doi = {10.1177/1550147721991712}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SongLE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijics/ChengCSHL21, author = {Ting{-}Fang Cheng and Ying{-}Chin Chen and Zhu{-}Dao Song and Ngoc{-}Tu Huynh and Jung{-}San Lee}, title = {Secure session between an IoT device and a cloud server based on elliptic curve cryptosystem}, journal = {Int. J. Inf. Comput. Secur.}, volume = {15}, number = {1}, pages = {67--87}, year = {2021}, url = {https://doi.org/10.1504/IJICS.2021.115348}, doi = {10.1504/IJICS.2021.115348}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijics/ChengCSHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChunSLHJHKYPCCP21, author = {June Young Chun and Kyoung{-}Ho Song and Dong{-}eun Lee and Joo{-}Hee Hwang and Hyun Gul Jung and Eunjeong Heo and Hyung{-}sook Kim and Seonghae Yoon and Jeong Su Park and Pyoeng Gyun Choe and Jae{-}Yong Chung and Wan Beom Park and Ji Hwan Bang and Hee Hwang and Kyoung Un Park and Sang Won Park and Nam Joong Kim and Myoung{-}don Oh and Eu Suk Kim and Hong Bin Kim}, title = {Impact of a computerised clinical decision support system on vancomycin loading and the risk of nephrotoxicity}, journal = {Int. J. Medical Informatics}, volume = {149}, pages = {104403}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104403}, doi = {10.1016/J.IJMEDINF.2021.104403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChunSLHJHKYPCCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SongSLP21, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee and H. Vincent Poor}, title = {Sum-Throughput Maximization in NOMA-Based {WPCN:} {A} Cluster-Specific Beamforming Approach}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {13}, pages = {10543--10556}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3049956}, doi = {10.1109/JIOT.2021.3049956}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SongSLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/SongLKKKKN21, author = {Jinho Song and Junhee Lee and Kwang Hee Ko and Won{-}Don Kim and Tae{-}Won Kang and Jeung{-}Youb Kim and Jong Ho Nam}, title = {Unorganized point classification for robust {NURBS} surface reconstruction using a point-based neural network}, journal = {J. Comput. Des. Eng.}, volume = {8}, number = {1}, pages = {392--408}, year = {2021}, url = {https://doi.org/10.1093/jcde/qwaa086}, doi = {10.1093/JCDE/QWAA086}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/SongLKKKKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/MarinovaDLWMS21, author = {Veselina Marinova and Laurence Dodd and Song{-}Jun Lee and Geoffrey P. F. Wood and Ivan Marziano and Matteo Salvalaglio}, title = {Identifying Conformational Isomers of Organic Molecules in Solution via Unsupervised Clustering}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {5}, pages = {2263--2273}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.0c01387}, doi = {10.1021/ACS.JCIM.0C01387}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/MarinovaDLWMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanIPKSLY21, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{HNPU:} An Adaptive {DNN} Training Processor Utilizing Stochastic Dynamic Fixed-Point and Active Bit-Precision Searching}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2858--2869}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3066400}, doi = {10.1109/JSSC.2021.3066400}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HanIPKSLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaLLCLYSKKJJSCL21, author = {Dae{-}Hoon Na and Jang{-}Woo Lee and Seon{-}Kyoo Lee and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Anil Kavala and Tongsung Kim and Dong{-}Su Jang and Youngmin Jo and Ji{-}Yeon Shin and Byung{-}Kwan Chun and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jinyub Lee and Jai Hyuk Song}, title = {A 1.8-Gb/s/Pin 16-Tb {NAND} Flash Memory Multi-Chip Package With F-Chip for High-Performance and High-Capacity Storage}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {4}, pages = {1129--1140}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3052492}, doi = {10.1109/JSSC.2021.3052492}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NaLLCLYSKKJJSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HamLSSLBSAOW21, author = {Tae Jun Ham and Yejin Lee and Seong Hoon Seo and U. Gyeong Song and Jae W. Lee and David Bruns{-}Smith and Brendan Sweeney and Krste Asanovic and Young H. Oh and Lisa Wu Wills}, title = {Accelerating Genomic Data Analytics With Composable Hardware Acceleration Framework}, journal = {{IEEE} Micro}, volume = {41}, number = {3}, pages = {42--49}, year = {2021}, url = {https://doi.org/10.1109/MM.2021.3072385}, doi = {10.1109/MM.2021.3072385}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HamLSSLBSAOW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ShiSFSOIZCKLSAL21, author = {Wenyu Shi and Qinglan Sun and Guomei Fan and Hideaki Sugawara and Moriya Ohkuma and Takashi Itoh and Yuguang Zhou and Man Cai and Song{-}Gun Kim and Jung{-}Sook Lee and Ivo Sedlacek and David R. Arahal and Teresa Lucena and Hiroko Kawasaki and Lyudmila Evtushenko and Bevan S. Weir and Sarah Alexander and Dlauchy D{\'{e}}nes and Somboon Tanasupawat and Lily Eurwilaichitr and Supawadee Ingsriswang and Bruno Gomez{-}Gil and Manzour H. Hazb{\'{o}}n and Marco A. Riojas and Chatrudee Suwannachart and Su Yao and Peter Vandamme and Fang Peng and Zenghui Chen and Dongmei Liu and Xiuqiang Sun and Xinjiao Zhang and Yuanchun Zhou and Zhen Meng and Linhuan Wu and Juncai Ma}, title = {gcType: a high-quality type strain genome database for microbial phylogenetic and functional research}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D694--D705}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa957}, doi = {10.1093/NAR/GKAA957}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ShiSFSOIZCKLSAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuiMZAABBBBBBBB21, author = {Steve C. N. Hui and Mark Mikkelsen and Helge J. Z{\"{o}}llner and Vishwadeep Ahluwalia and Sarael Alcauter and Laima Baltusis and Deborah A. Barany and Laura R. Barlow and Robert Becker and Jeffrey I. Berman and Adam Berrington and Pallab K. Bhattacharyya and Jakob Udby Blicher and Wolfgang Bogner and Mark S. Brown and Vince D. Calhoun and Ryan Castillo and Kim M. Cecil and Richard A. E. Edden and Yeo Bi Choi and Winnie C. W. Chu and William T. Clarke and Alexander R. Craven and Koen Cuypers and Michael Dacko and Camilo de la Fuente{-}Sandoval and Patricia Desmond and Aleksandra Domagalik and Julien Dumont and Niall W. Duncan and Ulrike Dydak and Katherine Dyke and David A. Edmondson and Gabriele Ende and Lars Ersland and C. John Evans and Alan S. R. Fermin and Antonio Ferretti and Ariane Fillmer and Tao Gong and Ian Greenhouse and James T. Grist and Meng Gu and Ashley D. Harris and Katarzyna Hat and Stefanie Heba and Eva Heckova and John P. Hegarty and Kirstin{-}Friederike Heise and Shiori Honda and Aaron Jacobson and Jacobus F. A. Jansen and Christopher W. Jenkins and Stephen J. Johnston and Christoph Juchem and Alayar Kangarlu and Adam B. Kerr and Karl Landheer and Thomas Lange and Phil Lee and Swati Rane Levendovszky and Catherine Limperopoulos and Feng Liu and William Lloyd and David J. Lythgoe and Maro G. Machizawa and Erin L. MacMillan and Richard J. Maddock and Andrei V. Manzhurtsev and Mar{\'{\i}}a L. Martinez{-}Gudino and Jack J. Miller and Heline Mirzakhanian and Marta Moreno{-}Ortega and Paul G. Mullins and Shinichiro Nakajima and Jamie Near and Ralph Noeske and Wibeke Nordh{\o}y and Georg Oeltzschner and Raul Osorio{-}Duran and Mar{\'{\i}}a Concepci{\'{o}}n Garc{\'{\i}}a Otaduy and Erick H. Pasaye and Ronald Peeters and Scott J. Peltier and Ulrich Pilatus and Nenad Polomac and Eric C. Porges and Subechhya Pradhan and James Joseph Prisciandaro and Nicolaas A. Puts and Caroline D. Rae and Francisco Reyes{-}Madrigal and Timothy P. L. Roberts and Caroline E. Robertson and Jens T. Rosenberg and Diana{-}Georgiana Rotaru and Ruth L. O'Gorman Tuura and Muhammad G. Saleh and Kristian Sandberg and Ryan Sangill and Keith Schembri and Anouk Schrantee and Natalia A. Semenova and Debra Singel and Rouslan Sitnikov and Jolinda Smith and Yulu Song and Craig E. L. Stark and Diederick Stoffers and Stephan P. Swinnen and Rongwen Tain and Costin Tanase and Sofie Tapper and Martin Tegenthoff and Thomas Thiel and Marc Thioux and Peter Truong and Pim van Dijk and Nolan Vella and Rishma Vidyasagar and Andrej Vovk and Guangbin Wang and Lars T. Westlye and Timothy K. Wilbur and William R. Willoughby and Martin Wilson and Hans{-}J{\"{o}}rg Wittsack and Adam J. Woods and Yen{-}Chien Wu and Junqian Xu and Maria Yanez Lopez and David Ka Wai Yeung and Qun Zhao and Xiaopeng Zhou and Gasper Zupan}, title = {Frequency drift in {MR} spectroscopy at 3T}, journal = {NeuroImage}, volume = {241}, pages = {118430}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118430}, doi = {10.1016/J.NEUROIMAGE.2021.118430}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuiMZAABBBBBBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChungLPLKSKCH21, author = {Chaeyeon Chung and Jungsoo Lee and Kyungmin Park and Junsoo Lee and Minjae Kim and Mookyung Song and Yeonwoo Kim and Jaegul Choo and Sungsoo Ray Hong}, title = {Understanding Human-side Impact of Sampling Image Batches in Subjective Attribute Labeling}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW2}}, pages = {296:1--296:26}, year = {2021}, url = {https://doi.org/10.1145/3476037}, doi = {10.1145/3476037}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/ChungLPLKSKCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ChaLCO21, author = {Geonho Cha and Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Reconstruct as Far as You Can: Consensus of Non-Rigid Reconstruction from Feasible Regions}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {43}, number = {2}, pages = {623--637}, year = {2021}, url = {https://doi.org/10.1109/TPAMI.2019.2931317}, doi = {10.1109/TPAMI.2019.2931317}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ChaLCO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeTABCKMSYHP21, author = {Doris Jung Lin Lee and Dixin Tang and Kunal Agarwal and Thyne Boonmark and Caitlyn Chen and Jake Kang and Ujjaini Mukhopadhyay and Jerry Song and Micah Yong and Marti A. Hearst and Aditya G. Parameswaran}, title = {Lux: Always-on Visualization Recommendations for Exploratory Dataframe Workflows}, journal = {Proc. {VLDB} Endow.}, volume = {15}, number = {3}, pages = {727--738}, year = {2021}, url = {http://www.vldb.org/pvldb/vol15/p727-lee.pdf}, doi = {10.14778/3494124.3494151}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeTABCKMSYHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongCKLKK21, author = {Ji Hyeok Jeong and Jun{-}Hyuk Choi and Keun{-}Tae Kim and Song{-}Joo Lee and Dong{-}Joo Kim and Hyungmin Kim}, title = {Multi-Domain Convolutional Neural Networks for Lower-Limb Motor Imagery Using Dry vs. Wet Electrodes}, journal = {Sensors}, volume = {21}, number = {19}, pages = {6672}, year = {2021}, url = {https://doi.org/10.3390/s21196672}, doi = {10.3390/S21196672}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongCKLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungSKL21, author = {Donghwan Jung and Jiyoung Song and Jeasoo Kim and Jaehyuk Lee}, title = {Comparative Experimental Investigation on Optimal Parametric Array Types}, journal = {Sensors}, volume = {21}, number = {15}, pages = {5085}, year = {2021}, url = {https://doi.org/10.3390/s21155085}, doi = {10.3390/S21155085}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungSKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangLKJSI21, author = {Hye{-}Seon Kang and Eung{-}Gu Lee and Cheol{-}Ki Kim and Andy Jung and Catherine Song and Sun Im}, title = {Cough Sounds Recorded via Smart Devices as Useful Non-Invasive Digital Biomarkers of Aspiration Risk: {A} Case Report}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8056}, year = {2021}, url = {https://doi.org/10.3390/s21238056}, doi = {10.3390/S21238056}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangLKJSI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangSKLK21, author = {Sung{-}Gu Kang and Min{-}Su Song and Joon{-}Woo Kim and Jung Woo Lee and Jeonghyun Kim}, title = {Near-Field Communication in Biomedical Applications}, journal = {Sensors}, volume = {21}, number = {3}, pages = {703}, year = {2021}, url = {https://doi.org/10.3390/s21030703}, doi = {10.3390/S21030703}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangSKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKBACPCSKKSKP21, author = {Hyung{-}Mo Kim and Jaehi Kim and Sungje Bock and Jaehyun An and Yun{-}Sik Choi and Xuan{-}Hung Pham and Myeong Geun Cha and Bomi Seong and Wooyeon Kim and Yoon{-}Hee Kim and Hobeom Song and Jung{-}Won Kim and Seung{-}min Park and Sang Hun Lee and Won{-}Yeop Rho and Sangchul Lee and Dae Hong Jeong and Ho{-}Young Lee and Bong{-}Hyun Jun}, title = {Silver-Assembled Silica Nanoparticles in Lateral Flow Immunoassay for Visual Inspection of Prostate-Specific Antigen}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4099}, year = {2021}, url = {https://doi.org/10.3390/s21124099}, doi = {10.3390/S21124099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKBACPCSKKSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJCSL21, author = {Song{-}Bi Lee and Young{-}Jun Jung and Hun{-}Kook Choi and Ik{-}Bu Sohn and Joo{-}Hyeon Lee}, title = {Hybrid {LPG-FBG} Based High-Resolution Micro Bending Strain Sensor}, journal = {Sensors}, volume = {21}, number = {1}, pages = {22}, year = {2021}, url = {https://doi.org/10.3390/s21010022}, doi = {10.3390/S21010022}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJCSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKKLL21, author = {Seongwook Lee and Song{-}Yi Kwon and Bong{-}Jun Kim and Hae{-}Seung Lim and Jae{-}Eun Lee}, title = {Dual-Mode Radar Sensor for Indoor Environment Mapping}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2469}, year = {2021}, url = {https://doi.org/10.3390/s21072469}, doi = {10.3390/S21072469}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLPNHK21, author = {Hyeonkeon Lee and Jongheon Lee and Honghyeon Park and Mi Song Nam and Yun Jung Heo and Sanghoek Kim}, title = {Batteryless, Miniaturized Implantable Glucose Sensor Using a Fluorescent Hydrogel}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8464}, year = {2021}, url = {https://doi.org/10.3390/s21248464}, doi = {10.3390/S21248464}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLPNHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongSPLJLC21, author = {Kyoungho Song and Hansol Son and Suwon Park and Jonghan Lee and Jungsik Jang and Mijung Lee and Hyun{-}joo Choi}, title = {Fabrication of Piezo-Resistance Composites Containing Thermoplastic Polyurethane/Hybrid Filler Using 3D Printing}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6813}, year = {2021}, url = {https://doi.org/10.3390/s21206813}, doi = {10.3390/S21206813}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongSPLJLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/SongJLSJ21, author = {Jiyoung Song and Solyoung Jung and Jaegul Lee and Jeong{-}Hoon Shin and Gilsoo Jang}, title = {Dynamic performance testing and implementation for static var compensator controller via hardware-in-the-loop simulation under large-scale power system with real-time simulators}, journal = {Simul. Model. Pract. Theory}, volume = {106}, pages = {102191}, year = {2021}, url = {https://doi.org/10.1016/j.simpat.2020.102191}, doi = {10.1016/J.SIMPAT.2020.102191}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/SongJLSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChenDLLSXWLTM21, author = {Shuguo Chen and Keping Du and Zhongping Lee and Jianqiang Liu and Qingjun Song and Cheng Xue and Daosheng Wang and Mingsen Lin and Junwu Tang and Chaofei Ma}, title = {Performance of {COCTS} in Global Ocean Color Remote Sensing}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {2}, pages = {1634--1644}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3002460}, doi = {10.1109/TGRS.2020.3002460}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ChenDLLSXWLTM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KobayashiKLS21, author = {Takao Kobayashi and Jung{-}Ho Kim and Seung Ryeol Lee and Kyo{-}Yeong Song}, title = {Nadir Detection of Lunar Lava Tube by Kaguya Lunar Radar Sounder}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {9}, pages = {7395--7418}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3033033}, doi = {10.1109/TGRS.2020.3033033}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KobayashiKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeeSLJL21, author = {Wookey Lee and Justin JongSu Song and Charles Cheolgi Lee and Tae{-}Chang Jo and James Jung{-}Hun Lee}, title = {Graph threshold algorithm}, journal = {J. Supercomput.}, volume = {77}, number = {9}, pages = {9827--9847}, year = {2021}, url = {https://doi.org/10.1007/s11227-021-03665-z}, doi = {10.1007/S11227-021-03665-Z}, timestamp = {Fri, 13 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeeSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WonPLSCKKSSRCL21, author = {Jun Yeon Won and Haewook Park and Seung{-}Eun Lee and Jeong{-}Whan Son and Yina Chung and Guen Bae Ko and Kyeong Yun Kim and Junghyun Song and Seongho Seo and Yeunchul Ryu and Jun{-}Young Chung and Jae Sung Lee}, title = {Development and Initial Results of a Brain {PET} Insert for Simultaneous 7-Tesla {PET/MRI} Using an FPGA-Only Signal Digitization Method}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {6}, pages = {1579--1590}, year = {2021}, url = {https://doi.org/10.1109/TMI.2021.3062066}, doi = {10.1109/TMI.2021.3062066}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WonPLSCKKSSRCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/SongLH21, author = {Junho Song and Yonggu Lee and Euiseok Hwang}, title = {Time-Frequency Mask Estimation Based on Deep Neural Network for Flexible Load Disaggregation in Buildings}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {4}, pages = {3242--3251}, year = {2021}, url = {https://doi.org/10.1109/TSG.2021.3066547}, doi = {10.1109/TSG.2021.3066547}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/SongLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SongHJL21, author = {Yongwoon Song and Jooyoung Hwang and Insoon Jo and Hyukjun Lee}, title = {Highly Available Packet Buffer Design With Hybrid Nonvolatile Memory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {11}, pages = {2008--2012}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3116272}, doi = {10.1109/TVLSI.2021.3116272}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SongHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/SongSL21, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee}, title = {A Maximum Throughput Design for Wireless Powered Communication Networks With {IRS-NOMA}}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {4}, pages = {849--853}, year = {2021}, url = {https://doi.org/10.1109/LWC.2020.3046722}, doi = {10.1109/LWC.2020.3046722}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/SongSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkCCLS21, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Few-shot Font Generation with Localized Style Representations and Factorization}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {2393--2402}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i3.16340}, doi = {10.1609/AAAI.V35I3.16340}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkCCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/SeoSYBLSHY21, author = {Seung{-}Woo Seo and You Young Song and June Yong Yang and Seohui Bae and Hankook Lee and Jinwoo Shin and Sung Ju Hwang and Eunho Yang}, title = {{GTA:} Graph Truncated Attention for Retrosynthesis}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {531--539}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i1.16131}, doi = {10.1609/AAAI.V35I1.16131}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/SeoSYBLSHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/CheonKKLSS21, author = {Jung Hee Cheon and Dongwoo Kim and Duhyeong Kim and Joohee Lee and Junbum Shin and Yongsoo Song}, editor = {Joonsang Baek and Sushmita Ruj}, title = {Lattice-Based Secure Biometric Authentication for Hamming Distance}, booktitle = {Information Security and Privacy - 26th Australasian Conference, {ACISP} 2021, Virtual Event, December 1-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13083}, pages = {653--672}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90567-5\_33}, doi = {10.1007/978-3-030-90567-5\_33}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acisp/CheonKKLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/ChoiLKKCS21, author = {Ikje Choi and Jun Lee and Taewoong Kwon and Kyuil Kim and Yoonsu Choi and Jungsuk Song}, title = {An Easy-to-use Framework to Build and Operate AI-based Intrusion Detection for In-situ Monitoring}, booktitle = {16th Asia Joint Conference on Information Security, AsiaJCIS 2021, Seoul, Republic of Korea, August 19-20, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AsiaJCIS53848.2021.00011}, doi = {10.1109/ASIAJCIS53848.2021.00011}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/ChoiLKKCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKJPKCSJJYLS21, author = {Tongsung Kim and Anil Kavala and Hyunsuk Kang and Youngmin Jo and Jungjune Park and Kyoungtae Kang and Byung{-}Kwan Chun and Dong{-}Ho Shin and Dong{-}Su Jang and Byunghoon Jeong and Chiweon Yoon and Jinyub Lee and Jai Hyuk Song}, title = {A Hybrid {ZQ} Calibration Design for High-Density Flash Memory Toggle 5.0 High-speed Interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634722}, doi = {10.1109/A-SSCC53895.2021.9634722}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKKJPKCSJJYLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JungLWSKK21, author = {Minkyung Jung and Seho Lee and In{-}Nea Wang and Ha Yoon Song and Hakseung Kim and Dong{-}Joo Kim}, title = {Phase Transition in previous Motor Imagery affects Efficiency of Motor Imagery based Brain-computer Interface}, booktitle = {9th International Winter Conference on Brain-Computer Interface, {BCI} 2021, Gangwon, South Korea, February 22-24, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCI51272.2021.9385321}, doi = {10.1109/BCI51272.2021.9385321}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/JungLWSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimCKL21, author = {Keun{-}Tae Kim and Junhyuk Choi and Hyungmin Kim and Song Joo Lee}, title = {Subject-Transfer Approach based on Convolutional Neural Network for the SSSEP-BCIs}, booktitle = {9th International Winter Conference on Brain-Computer Interface, {BCI} 2021, Gangwon, South Korea, February 22-24, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCI51272.2021.9385328}, doi = {10.1109/BCI51272.2021.9385328}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/KimCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HanIPKSLY21, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {An Energy-Efficient Deep Neural Network Training Processor with Bit-Slice-Level Reconfigurability and Sparsity Exploitation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2021, Tokyo, Japan, April 14-16, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/COOLCHIPS52128.2021.9410324}, doi = {10.1109/COOLCHIPS52128.2021.9410324}, timestamp = {Tue, 04 May 2021 18:33:06 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/HanIPKSLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MoonCSL21, author = {Jangwook Moon and Hongbing Cheng and Kee{-}Bong Song and Jungwon Lee}, title = {Line-of-Sight Communications with Antenna Misalignments}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500295}, doi = {10.1109/ICC42927.2021.9500295}, timestamp = {Mon, 09 Aug 2021 11:13:44 +0200}, biburl = {https://dblp.org/rec/conf/icc/MoonCSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkCCLS21, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Multiple Heads are Better than One: Few-shot Font Generation with Multiple Localized Experts}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {13880--13889}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01364}, doi = {10.1109/ICCV48922.2021.01364}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ParkCCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMLFPKCDZ21, author = {Matej Kristan and Jir{\'{\i}} Matas and Ales Leonardis and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Jani K{\"{a}}pyl{\"{a}} and Gustav H{\"{a}}ger and Song Yan and Jinyu Yang and Zhongqun Zhang and Gustavo Fern{\'{a}}ndez and Mohamed H. Abdelpakey and Goutam Bhat and Llukman Cerkezi and Hakan Cevikalp and Shengyong Chen and Xin Chen and Miao Cheng and Ziyi Cheng and Yu{-}Chen Chiu and Ozgun Cirakman and Yutao Cui and Kenan Dai and Mohana Murali Dasari and Qili Deng and Xingping Dong and Daniel K. Du and Matteo Dunnhofer and Zhen{-}Hua Feng and Zhiyong Feng and Zhihong Fu and Shiming Ge and Rama Krishna Gorthi and Yuzhang Gu and Bilge G{\"{u}}nsel and Qing Guo and Filiz Gurkan and Wencheng Han and Yanyan Huang and Felix J{\"{a}}remo Lawin and Shang{-}Jhih Jhang and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix Juefei{-}Xu and J. Yin and Xiao Ke and Fahad Shahbaz Khan and Byeong Hak Kim and Josef Kittler and Xiangyuan Lan and Jun Ha Lee and Bastian Leibe and Hui Li and Jianhua Li and Xianxian Li and Yuezhou Li and Bo Liu and Chang Liu and Jingen Liu and Li Liu and Qingjie Liu and Huchuan Lu and Wei Lu and Jonathon Luiten and Jie Ma and Ziang Ma and Niki Martinel and Christoph Mayer and Alireza Memarmoghadam and Christian Micheloni and Yuzhen Niu and Danda Pani Paudel and Houwen Peng and Shoumeng Qiu and Aravindh Rajiv and Muhammad Rana and Andreas Robinson and Hasan Saribas and Ling Shao and Mohamed S. Shehata and Furao Shen and Jianbing Shen and Kristian Simonato and Xiaoning Song and Zhangyong Tang and Radu Timofte and Philip H. S. Torr and Chi{-}Yi Tsai and Bedirhan Uzun and Luc Van Gool and Paul Voigtlaender and Dong Wang and Guangting Wang and Liangliang Wang and Lijun Wang and Limin Wang and Linyuan Wang and Yong Wang and Yunhong Wang and Chenyan Wu and Gangshan Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Xiang Xu and Wanli Xue and Bin Yan and Wankou Yang and Xiaoyun Yang and Yu Ye and Jun Yin and Chengwei Zhang and Chunhui Zhang and Haitao Zhang and Kaihua Zhang and Kangkai Zhang and Xiaohan Zhang and Xiaolin Zhang and Xinyu Zhang and Zhibin Zhang and Shao{-}Chuan Zhao and Ming Zhen and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu}, title = {The Ninth Visual Object Tracking {VOT2021} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2711--2738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00305}, doi = {10.1109/ICCVW54120.2021.00305}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMLFPKCDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/HamMLJASWKCJAL21, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Jaeyun Jung and Hyoungjoo Ahn and Wook Song and Sangjung Woo and Parichay Kapoor and Dongju Chae and Gichan Jang and Yongjoo Ahn and Jihoon Lee}, title = {NNStreamer: Efficient and Agile Development of On-Device {AI} Systems}, booktitle = {43rd {IEEE/ACM} International Conference on Software Engineering: Software Engineering in Practice, {ICSE} {(SEIP)} 2021, Madrid, Spain, May 25-28, 2021}, pages = {198--207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSE-SEIP52600.2021.00029}, doi = {10.1109/ICSE-SEIP52600.2021.00029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/HamMLJASWKCJAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeJCJPSSC21, author = {Jung{-}Hoon Lee and Min{-}Su Jeong and Jin{-}Uk Cho and Hyun{-}Kyu Jeon and Jong{-}Hyeok Park and Kyoung{-}Deok Shin and Su{-}Jeong Song and Yun{-}Gyung Cheong}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Developing a Ophthalmic Chatbot System}, booktitle = {15th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2021, Seoul, South Korea, January 4-6, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMCOM51814.2021.9377398}, doi = {10.1109/IMCOM51814.2021.9377398}, timestamp = {Thu, 25 Mar 2021 12:01:12 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeJCJPSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ZhouWLS21, author = {Ke Zhou and Jun Wu and Kang B. Lee and Eugene Y. Song}, title = {Security for {IEEE} P1451.0-Based IoT Sensor Networks}, booktitle = {{IECON} 2021 - 47th Annual Conference of the {IEEE} Industrial Electronics Society, Toronto, ON, Canada, October 13-16, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IECON48115.2021.9589277}, doi = {10.1109/IECON48115.2021.9589277}, timestamp = {Wed, 17 Nov 2021 15:21:52 +0100}, biburl = {https://dblp.org/rec/conf/iecon/ZhouWLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/ChoiYLNKJLKSLK21, author = {Jonghwan Choi and Jinho Yang and Joohee Lym and Sang Do Noh and Yong{-}Shin Kang and Yu La Joe and Sang Hyun Lee and Jeong{-}Tae Kang and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {Design and Implementation of Digital Twin-Based Application for Global Manufacturing Enterprises}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {V}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {634}, pages = {12--19}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85914-5\_2}, doi = {10.1007/978-3-030-85914-5\_2}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/ChoiYLNKJLKSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HanLSNJOHJLLSHJ21, author = {Shinhee Han and Junghyuk Lee and Kiseok Suh and Kyungtae Nam and Daeeun Jeong and Sechung Oh and Sohee Hwang and Yongsung Ji and Kilho Lee and Kangho Lee and Yoonjong Song and Yeongki Hong and Gitae Jeong}, title = {Reliability of {STT-MRAM} for various embedded applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405094}, doi = {10.1109/IRPS46558.2021.9405094}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HanLSNJOHJLLSHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LinHSLXCYCWHSWP21, author = {Shy{-}Jay Lin and Yen{-}Lin Huang and MingYaun Song and Chien{-}Ming Lee and Fen Xue and Guan{-}Long Chen and Shan{-}Yi Yang and Yao{-}Jen Chang and I{-}Jung Wang and Yu{-}Chen Hsin and Yi{-}Hui Su and Jeng{-}Hua Wei and Chi{-}Feng Pai and Shan X. Wang and Carlos H. Diaz}, title = {Challenges toward Low-Power {SOT-MRAM}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405127}, doi = {10.1109/IRPS46558.2021.9405127}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LinHSLXCYCWHSWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JangLKPACKKYAPL21, author = {Jun{-}Woo Jang and Sehwan Lee and Dongyoung Kim and Hyunsun Park and Ali Shafiee Ardestani and Yeongjae Choi and Channoh Kim and Yoojin Kim and Hyeongseok Yu and Hamzah Abdel{-}Aziz and Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Myeong Woo Kim and Hanwoong Jung and Heewoo Nam and Dongguen Lim and Seungwon Lee and Joon{-}Ho Song and Suknam Kwon and Joseph Hassoun and Sukhwan Lim and Changkyu Choi}, title = {Sparsity-Aware and Re-configurable {NPU} Architecture for Samsung Flagship Mobile SoC}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {15--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00011}, doi = {10.1109/ISCA52012.2021.00011}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/JangLKPACKKYAPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkJLLLJLKJSLK21, author = {Jun{-}Seok Park and Jun{-}Woo Jang and Heonsoo Lee and Dongwoo Lee and Sehwan Lee and Hanwoong Jung and Seungwon Lee and Suknam Kwon and Kyung{-}Ah Jeong and Joon{-}Ho Song and Sukhwan Lim and Inyup Kang}, title = {9.5 {A} 6K-MAC Feature-Map-Sparsity-Aware Neural Processing Unit in 5nm Flagship Mobile SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {152--154}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365928}, doi = {10.1109/ISSCC42613.2021.9365928}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkJLLLJLKJSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRKCKLBKKBJK21, author = {Taejoong Song and Woojin Rim and Hoonki Kim and Keun Hwi Cho and Taeyeong Kim and Taejung Lee and Geumjong Bae and Dong{-}Won Kim and S. D. Kwon and Sanghoon Baek and Jonghoon Jung and Jongwook Kye and Hakchul Jung and Hyungtae Kim and Soon{-}Moon Jung and Jaehong Park}, title = {24.3 {A} 3nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-BL and an Adaptive Cell-Power Assist Circuit}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {338--340}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365988}, doi = {10.1109/ISSCC42613.2021.9365988}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRKCKLBKKBJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KimLCL0S21, author = {Wonjung Kim and Seungchul Lee and Youngjae Chang and Taegyeong Lee and Inseok Hwang and Junehwa Song}, editor = {Suman Banerjee and Luca Mottola and Xia Zhou}, title = {Hivemind: social control-and-use of IoT towards democratization of public spaces}, booktitle = {MobiSys '21: The 19th Annual International Conference on Mobile Systems, Applications, and Services, Virtual Event, Wisconsin, USA, 24 June - 2 July, 2021}, pages = {467--482}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458864.3466626}, doi = {10.1145/3458864.3466626}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KimLCL0S21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KimLCL0S21a, author = {Wonjung Kim and Seungchul Lee and Youngjae Chang and Taegyeong Lee and Inseok Hwang and Junehwa Song}, editor = {Suman Banerjee and Luca Mottola and Xia Zhou}, title = {Facilitating in-situ shared use of IoT actuators in public spaces}, booktitle = {MobiSys '21: The 19th Annual International Conference on Mobile Systems, Applications, and Services, Virtual Event, Wisconsin, USA, 24 June - 2 July, 2021}, pages = {497--498}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458864.3468444}, doi = {10.1145/3458864.3468444}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KimLCL0S21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/KooISPLKL21, author = {Jinhyung Koo and Junsu Im and Jooyoung Song and Juhyung Park and Eunji Lee and Bryan S. Kim and Sungjin Lee}, editor = {Angela Demke Brown and Jay R. Lorch}, title = {Modernizing File System through In-Storage Indexing}, booktitle = {15th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2021, July 14-16, 2021}, pages = {75--92}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/osdi21/presentation/koo}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/osdi/KooISPLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocup/SongKYKKLRKJZSY21, author = {Dongwoon Song and Taewoong Kang and Jae{-}Bong Yi and Joonyoung Kim and Taeyang Kim and Chung{-}Yeon Lee and Je{-}Hwan Ryu and Minji Kim and Hyun{-}Jun Jo and Byoung{-}Tak Zhang and Jae{-}Bok Song and Seung{-}Joon Yi}, editor = {Rachid Alami and Joydeep Biswas and Maya Cakmak and Oliver Obst}, title = {RoboCup@Home 2021 Domestic Standard Platform League Winner}, booktitle = {RoboCup 2021: Robot World Cup {XXIV}}, series = {Lecture Notes in Computer Science}, volume = {13132}, pages = {291--301}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98682-7\_24}, doi = {10.1007/978-3-030-98682-7\_24}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robocup/SongKYKKLRKJZSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartiot/LeeSKL21, author = {Sunghyeon Lee and Junsup Song and Dimitri Karagiannis and Moonkun Lee}, title = {Analysis Method for Probabilistic Verification for Smart IoT Systems with Process Algebra}, booktitle = {{IEEE} International Conference on Smart Internet of Things, SmartIoT 2021, Jeju, Republic of Korea, August 13-15, 2021}, pages = {221--228}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SmartIoT52359.2021.00042}, doi = {10.1109/SMARTIOT52359.2021.00042}, timestamp = {Mon, 18 Oct 2021 17:08:53 +0200}, biburl = {https://dblp.org/rec/conf/smartiot/LeeSKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LeeKKS21, author = {Jung Hee Lee and Ju Hyung Kim and Yong Hwan Kim and Yongmin Song}, title = {A Study on Priorities for Utilization of {AI} Recruitment System}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {278--279}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00072}, doi = {10.1109/SNPDWINTER52325.2021.00072}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/snpd/LeeKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/SongKLR0KY21, author = {Young{-}Woon Song and Taesik Kim and Meungsuk Lee and Sehwan Rho and Jason Kim and Jungill Kang and Son{-}Cheol Yu}, title = {Development of Safety-Inspection-Purpose Wall-Climbing Robot Utilizing Aerial Drone with Lifting Function}, booktitle = {18th International Conference on Ubiquitous Robots, {UR} 2021, Gangneung, South Korea), July 12-14, 2021}, pages = {411--416}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UR52253.2021.9494637}, doi = {10.1109/UR52253.2021.9494637}, timestamp = {Tue, 19 Jul 2022 18:21:01 +0200}, biburl = {https://dblp.org/rec/conf/urai/SongKLR0KY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKCLCLKLSCS21, author = {Kiseo Kang and ByungJun Kim and Gahyun Choi and Sun{-}Kyung Lee and Jisoo Choi and Jaeho Lee and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Yonuk Chong and Jae{-}Yoon Sim}, title = {A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492343}, doi = {10.23919/VLSICIRCUITS52068.2021.9492343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKCLCLKLSCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06371, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Jaeyun Jung and Hyoungjoo Ahn and Wook Song and Sangjung Woo and Parichay Kapoor and Dongju Chae and Gichan Jang and Yongjoo Ahn and Jihoon Lee}, title = {NNStreamer: Efficient and Agile Development of On-Device {AI} Systems}, journal = {CoRR}, volume = {abs/2101.06371}, year = {2021}, url = {https://arxiv.org/abs/2101.06371}, eprinttype = {arXiv}, eprint = {2101.06371}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06371.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00887, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Multiple Heads are Better than One: Few-shot Font Generation with Multiple Localized Experts}, journal = {CoRR}, volume = {abs/2104.00887}, year = {2021}, url = {https://arxiv.org/abs/2104.00887}, eprinttype = {arXiv}, eprint = {2104.00887}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00887.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00121, author = {Doris Jung Lin Lee and Dixin Tang and Kunal Agarwal and Thyne Boonmark and Caitlyn Chen and Jake Kang and Ujjaini Mukhopadhyay and Jerry Song and Micah Yong and Marti A. Hearst and Aditya G. Parameswaran}, title = {Lux: Always-on Visualization Recommendations for Exploratory Data Science}, journal = {CoRR}, volume = {abs/2105.00121}, year = {2021}, url = {https://arxiv.org/abs/2105.00121}, eprinttype = {arXiv}, eprint = {2105.00121}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09908, author = {Kyu{-}Lim Kim and Jeong{-}Soo Kim and Seung{-}Ri Song and Jun{-}Ho Choi and Chul{-}Min Joo and Jong{-}Seok Lee}, title = {Light Lies: Optical Adversarial Attack}, journal = {CoRR}, volume = {abs/2106.09908}, year = {2021}, url = {https://arxiv.org/abs/2106.09908}, eprinttype = {arXiv}, eprint = {2106.09908}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00844, author = {Younsik Kim and Dongjin Oh and Soonsang Huh and Dongjoon Song and Sunbeom Jeong and Junyoung Kwon and Minsoo Kim and Donghan Kim and Hanyoung Ryu and Jongkeun Jung and Wonshik Kyung and Byungmin Sohn and Suyoung Lee and Jounghoon Hyun and Yeonghoon Lee and Yeongkwan Kim and Changyoung Kim}, title = {Deep learning-based statistical noise reduction for multidimensional spectral data}, journal = {CoRR}, volume = {abs/2107.00844}, year = {2021}, url = {https://arxiv.org/abs/2107.00844}, eprinttype = {arXiv}, eprint = {2107.00844}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-07041, author = {Kyeongbo Kong and Junggi Lee and Youngchul Kwak and Young{-}Rae Cho and Seong{-}Eun Kim and Woo{-}Jin Song}, title = {Mitigating Memorization in Sample Selection for Learning with Noisy Labels}, journal = {CoRR}, volume = {abs/2107.07041}, year = {2021}, url = {https://arxiv.org/abs/2107.07041}, eprinttype = {arXiv}, eprint = {2107.07041}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-07041.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-01663, author = {Eunji Lee and Sundong Kim and Sihyun Kim and Sungwon Park and Meeyoung Cha and Soyeon Jung and Suyoung Yang and Yeonsoo Choi and Sungdae Ji and Minsoo Song and Heeja Kim}, title = {Classification of Goods Using Text Descriptions With Sentences Retrieval}, journal = {CoRR}, volume = {abs/2111.01663}, year = {2021}, url = {https://arxiv.org/abs/2111.01663}, eprinttype = {arXiv}, eprint = {2111.01663}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-01663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-11895, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Few-shot Font Generation with Weakly Supervised Localized Representations}, journal = {CoRR}, volume = {abs/2112.11895}, year = {2021}, url = {https://arxiv.org/abs/2112.11895}, eprinttype = {arXiv}, eprint = {2112.11895}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-11895.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Lee20a, author = {Juneseung Lee}, title = {Factors affecting vocal learning performance In juvenile songbirds}, school = {{ETH} Zurich, Z{\"{u}}rich, Switzerland}, year = {2020}, url = {https://hdl.handle.net/20.500.11850/408636}, doi = {10.3929/ETHZ-B-000408636}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Lee20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongKLCLCS20, author = {Joonyong Jeong and Jaewook Kwak and Daeyong Lee and Seungdo Choi and Jungkeol Lee and Jungwook Choi and Yong Ho Song}, title = {Level Aware Data Placement Technique for Hybrid {NAND} Flash Storage of Log-Structured Merge-Tree Based Key-Value Store System}, journal = {{IEEE} Access}, volume = {8}, pages = {188256--188268}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031322}, doi = {10.1109/ACCESS.2020.3031322}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongKLCLCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJCSLL20, author = {Sora Kim and Youngjae Jo and Jungchan Cho and Jiwoo Song and Younyoung Lee and Minsik Lee}, title = {Spatially Variant Convolutional Autoencoder Based on Patch Division for Pill Defect Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {216781--216792}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3041790}, doi = {10.1109/ACCESS.2020.3041790}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimJCSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKSCLJKLLLP20, author = {Youngil Kim and Jinwoo Jeong and Wang Kexin and Yong Ho Song and Seungdo Choi and Daeyong Lee and Joonyong Jeong and Jaewook Kwak and Jungkeol Lee and Gyeongyong Lee and Sangjin Lee and Kibin Park}, title = {Low-Overhead Compressibility Prediction for High-Performance Lossless Data Compression}, journal = {{IEEE} Access}, volume = {8}, pages = {37105--37123}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2975929}, doi = {10.1109/ACCESS.2020.2975929}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKSCLJKLLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKSPKSLNK20, author = {Jun{-}Seong Kim and Hyun{-}Jin Kim and Mingeon Shin and Jae{-}Hyun Park and Oh{-}Yun Kwon and Reem Song and Sungho Lee and Sangwook Nam and Byung{-}Sung Kim}, title = {79 GHz Active Array {FMCW} Radar System on Low-Cost {FR-4} Substrates}, journal = {{IEEE} Access}, volume = {8}, pages = {213854--213865}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3039513}, doi = {10.1109/ACCESS.2020.3039513}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKSPKSLNK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwakLLJLCS20, author = {Jaewook Kwak and Jungkeol Lee and Daeyong Lee and Joonyong Jeong and Gyeongyong Lee and Jungwook Choi and Yong Ho Song}, title = {{GALRU:} {A} Group-Aware Buffer Management Scheme for Flash Storage Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {185360--185372}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030089}, doi = {10.1109/ACCESS.2020.3030089}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwakLLJLCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHHS20, author = {Seunghyun Lee and Byeongho Heo and Jung{-}Woo Ha and Byung Cheol Song}, title = {Filter Pruning and Re-Initialization via Latent Space Clustering}, journal = {{IEEE} Access}, volume = {8}, pages = {189587--189597}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031031}, doi = {10.1109/ACCESS.2020.3031031}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeHHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJRKS20, author = {Woon{-}Sang Lee and Jun{-}Yong Jang and Jae{-}Hyun Ro and Jaeho Kim and Hyoung{-}Kyu Song}, title = {An Efficient Modified Gauss Seidel Precoder for Downlink Massive {MIMO} Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {202164--202173}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036008}, doi = {10.1109/ACCESS.2020.3036008}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeJRKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLJJKCS20, author = {Daeyong Lee and Jaewook Kwak and Gyeongyong Lee and Moonseok Jang and Joonyong Jeong and Wang Kexin and Jungwook Choi and Yong Ho Song}, title = {Improving Write Performance Through Reliable Asynchronous Operation in Physically-Addressable {SSD}}, journal = {{IEEE} Access}, volume = {8}, pages = {195528--195540}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033886}, doi = {10.1109/ACCESS.2020.3033886}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKLJJKCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeWSL020, author = {Yousik Lee and Samuel Woo and Yunkeun Song and Jungho Lee and Dong Hoon Lee}, title = {Practical Vulnerability-Information-Sharing Architecture for Automotive Security-Risk Analysis}, journal = {{IEEE} Access}, volume = {8}, pages = {120009--120018}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004661}, doi = {10.1109/ACCESS.2020.3004661}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeWSL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NamNTSLP20, author = {Hyohyun Nam and Van{-}Viet Nguyen and Van{-}Son Trinh and Jeong{-}Moon Song and Bok{-}Hyung Lee and Jung{-}Dong Park}, title = {A Full X-Band Phased-Array Transmit/Receive Module Chip in 65-nm {CMOS} Technology}, journal = {{IEEE} Access}, volume = {8}, pages = {76182--76192}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2988501}, doi = {10.1109/ACCESS.2020.2988501}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NamNTSLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/LeeSJ20, author = {Kyoung Lee and Seok{-}Zun Song and Young Jun}, title = {Star-Shapedness of {\unicode{119977}}-Structures in Euclidean Spaces}, journal = {Axioms}, volume = {9}, number = {3}, pages = {107}, year = {2020}, url = {https://doi.org/10.3390/axioms9030107}, doi = {10.3390/AXIOMS9030107}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/LeeSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/WeiSNWJGXWW20, author = {Mingqiang Wei and Zhan Song and Y. Nie and Jianhuang Wu and Zhongping Ji and Yanwen Guo and Haoran Xie and Jun Wang and Fu Lee Wang}, title = {Normal-Based Bas-Relief Modelling via Near-Lighting Photometric Stereo}, journal = {Comput. Graph. Forum}, volume = {39}, number = {1}, pages = {204--219}, year = {2020}, url = {https://doi.org/10.1111/cgf.13754}, doi = {10.1111/CGF.13754}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/WeiSNWJGXWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimLJS20, author = {Dae Ha Kim and Seung Hyun Lee and Jungho Jeon and Byung Cheol Song}, title = {Real-time purchase behavior recognition system based on deep learning-based object detection and tracking for an unmanned product cabinet}, journal = {Expert Syst. Appl.}, volume = {143}, year = {2020}, url = {https://doi.org/10.1016/j.eswa.2019.113063}, doi = {10.1016/J.ESWA.2019.113063}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KimLJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/KimLKJYHKS20, author = {Wonjung Kim and Seungchul Lee and Seonghoon Kim and Sungbin Jo and Chungkuk Yoo and Inseok Hwang and Seungwoo Kang and Junehwa Song}, title = {Dyadic Mirror: Everyday Second-person Live-view for Empathetic Reflection upon Parent-child Interaction}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {4}, number = {3}, pages = {86:1--86:29}, year = {2020}, url = {https://doi.org/10.1145/3411815}, doi = {10.1145/3411815}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/KimLKJYHKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/SongLCK20, author = {Jaeyeol Song and Jin{-}Kook Lee and Jungsik Choi and Inhan Kim}, title = {Deep learning-based extraction of predicate-argument structure {(PAS)} in building design rule sentences{\unicode{9734}}}, journal = {J. Comput. Des. Eng.}, volume = {7}, number = {5}, pages = {563--576}, year = {2020}, url = {https://doi.org/10.1093/jcde/qwaa046}, doi = {10.1093/JCDE/QWAA046}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/SongLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ParkYKPCPSLS20, author = {Jongha Park and Jihye Yun and Namkug Kim and BeomHee Park and Yongwon Cho and Hee Jun Park and Mijeong Song and Minho Lee and Joon Beom Seo}, title = {Fully Automated Lung Lobe Segmentation in Volumetric Chest {CT} with 3D U-Net: Validation with Intra- and Extra-Datasets}, journal = {J. Digit. Imaging}, volume = {33}, number = {1}, pages = {221--230}, year = {2020}, url = {https://doi.org/10.1007/s10278-019-00223-1}, doi = {10.1007/S10278-019-00223-1}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ParkYKPCPSLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {Indirect Time-of-Flight {CMOS} Image Sensor With On-Chip Background Light Cancelling and Pseudo-Four-Tap/Two-Tap Hybrid Imaging for Motion Artifact Suppression}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2849--2865}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021246}, doi = {10.1109/JSSC.2020.3021246}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiLS20, author = {Jun Ho Choi and Kang Il Lee and Byung Cheol Song}, title = {Eye pupil localization algorithm using convolutional neural networks}, journal = {Multim. Tools Appl.}, volume = {79}, number = {43-44}, pages = {32563--32574}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-09711-x}, doi = {10.1007/S11042-020-09711-X}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ChoiLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/HuangSLPKY20, author = {Ruihong Huang and Shaoxu Song and Yunsu Lee and Jungho Park and Soo{-}Hyung Kim and Sungmin Yi}, title = {Effective and Efficient Retrieval of Structured Entities}, journal = {Proc. {VLDB} Endow.}, volume = {13}, number = {6}, pages = {826--839}, year = {2020}, url = {http://www.vldb.org/pvldb/vol13/p826-huang.pdf}, doi = {10.14778/3380750.3380754}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/HuangSLPKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LimJKKL20, author = {Chi Hong Lim and Song Hie Jung and A. Reum Kim and Nam Shin Kim and Chang Seok Lee}, title = {Monitoring for Changes in Spring Phenology at Both Temporal and Spatial Scales Based on {MODIS} {LST} Data in South Korea}, journal = {Remote. Sens.}, volume = {12}, number = {20}, pages = {3282}, year = {2020}, url = {https://doi.org/10.3390/rs12203282}, doi = {10.3390/RS12203282}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LimJKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JangCLSLLK20, author = {Hajun Lee and Yeonwoo Jang and Jun Kyu Choe and Suwoo Lee and Hyeonseo Song and Jin Pyo Lee and Nasreena Lone and Jiyun Kim}, title = {3D-printed programmable tensegrity for soft robotics}, journal = {Sci. Robotics}, volume = {5}, number = {45}, pages = {9024}, year = {2020}, url = {https://doi.org/10.1126/scirobotics.aay9024}, doi = {10.1126/SCIROBOTICS.AAY9024}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JangCLSLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/SongJYKKS20, author = {Younghoon Lee and Won Jun Song and Yeonsu Jung and Hyunjae Yoo and Man{-}Yong Kim and Ho{-}Young Kim and Jeong{-}Yun Sun}, title = {Ionic spiderwebs}, journal = {Sci. Robotics}, volume = {5}, number = {44}, pages = {5405}, year = {2020}, url = {https://doi.org/10.1126/scirobotics.aaz5405}, doi = {10.1126/SCIROBOTICS.AAZ5405}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/SongJYKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekLKKCJCCMK20, author = {Jeong{-}Ho Baek and Eungyeong Lee and Nyunhee Kim and Song Lim Kim and Inchan Choi and Hyeonso Ji and Yong Suk Chung and Man{-}Soo Choi and Jung{-}Kyung Moon and Kyung{-}Hwan Kim}, title = {High Throughput Phenotyping for Various Traits on Soybean Seeds Using Image Analysis}, journal = {Sensors}, volume = {20}, number = {1}, pages = {248}, year = {2020}, url = {https://doi.org/10.3390/s20010248}, doi = {10.3390/S20010248}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekLKKCJCCMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKJKLK20, author = {Junhyuk Choi and Keun{-}Tae Kim and Ji Hyeok Jeong and Laehyun Kim and Song Joo Lee and Hyungmin Kim}, title = {Developing a Motor Imagery-Based Real-Time Asynchronous Hybrid {BCI} Controller for a Lower-Limb Exoskeleton}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7309}, year = {2020}, url = {https://doi.org/10.3390/s20247309}, doi = {10.3390/S20247309}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKJKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHCPLSCLJLJ20, author = {Min Jae Kim and Sung Hyun Hong and Wonhee Cho and Dong{-}Hyuk Park and Eun{-}Byeol Lee and Yoonkyung Song and Yong{-}Sahm Choe and Jun Ho Lee and Yeonji Jang and Wooyoung Lee and Justin Y. Jeon}, title = {Breath Acetone Measurement-Based Prediction of Exercise-Induced Energy and Substrate Expenditure}, journal = {Sensors}, volume = {20}, number = {23}, pages = {6878}, year = {2020}, url = {https://doi.org/10.3390/s20236878}, doi = {10.3390/S20236878}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimHCPLSCLJLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LeeKBS20, author = {Junggi Lee and Kyeongbo Kong and Gyu Jin Bae and Woo{-}Jin Song}, title = {BlockNet: {A} Deep Neural Network for Block-Based Motion Estimation Using Representative Matching}, journal = {Symmetry}, volume = {12}, number = {5}, pages = {840}, year = {2020}, url = {https://doi.org/10.3390/sym12050840}, doi = {10.3390/SYM12050840}, timestamp = {Fri, 26 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LeeKBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WangLSK20, author = {Zong{-}Sheng Wang and Jung Lee and Chang Geun Song and Sun{-}Jeong Kim}, title = {Efficient Chaotic Imperialist Competitive Algorithm with Dropout Strategy for Global Optimization}, journal = {Symmetry}, volume = {12}, number = {4}, pages = {635}, year = {2020}, url = {https://doi.org/10.3390/sym12040635}, doi = {10.3390/SYM12040635}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/WangLSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/MinLYHJSK20, author = {Chulhong Min and Youngki Lee and Chungkuk Yoo and Inseok Hwang and Younghyun Ju and Junehwa Song and Seungwoo Kang}, title = {Scalable Power Impact Prediction of Mobile Sensing Applications at Pre-Installation Time}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {19}, number = {6}, pages = {1448--1464}, year = {2020}, url = {https://doi.org/10.1109/TMC.2019.2909897}, doi = {10.1109/TMC.2019.2909897}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/MinLYHJSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/SongLCLC20, author = {Sooeun Song and Changsung Lee and Hyoung Jun Cho and Goeun Lim and Jong{-}Moon Chung}, title = {Clustered Virtualized Network Functions Resource Allocation based on Context-Aware Grouping in 5G Edge Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {19}, number = {5}, pages = {1072--1083}, year = {2020}, url = {https://doi.org/10.1109/TMC.2019.2907593}, doi = {10.1109/TMC.2019.2907593}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/SongLCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeOMSLL20, author = {Jungjoo Lee and Taeseok Oh and Jihwan Moon and Chang{-}Ick Song and Byeongsi Lee and Inkyu Lee}, title = {Hybrid Beamforming With Variable {RF} Attenuator for Multi-User mmWave Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {8}, pages = {9131--9134}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2996193}, doi = {10.1109/TVT.2020.2996193}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeOMSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/LeeCSC20, author = {Changsung Lee and Hyoung Jun Cho and Sooeun Song and Jong{-}Moon Chung}, title = {Prediction-Based Conditional Handover for 5G mm-Wave Networks: {A} Deep-Learning Approach}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {15}, number = {1}, pages = {54--62}, year = {2020}, url = {https://doi.org/10.1109/MVT.2019.2959065}, doi = {10.1109/MVT.2019.2959065}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vtm/LeeCSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apit/HahmLJOP20, author = {Han Hee Hahm and Jung Song Lee and Seongmi Jeong and Semina Oh and Soon Cheol Park}, title = {A Digital Solution and Challenges in the Safeguarding Practices of Intangible Cultural Heritage: {A} Case of 'ichngo.net' Platform}, booktitle = {{APIT} 2020: 2nd Asia Pacific Information Technology Conference, Bali Island, Indonesia, January, 2020}, pages = {94--99}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379310.3379316}, doi = {10.1145/3379310.3379316}, timestamp = {Thu, 17 Mar 2022 09:12:08 +0100}, biburl = {https://dblp.org/rec/conf/apit/HahmLJOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avi/KwonSKSL20, author = {Taewoong Kwon and Iksoo Shin and Kyuil Kim and Jungsuk Song and Jun Lee}, editor = {Genny Tortora and Giuliana Vitiello and Marco Winckler}, title = {Integrated Visual Analytics Approach against Multivariate Cybersecurity Attack}, booktitle = {{AVI} '20: International Conference on Advanced Visual Interfaces, Island of Ischia, Italy, September 28 - October 2, 2020}, pages = {87:1--87:3}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3399715.3399944}, doi = {10.1145/3399715.3399944}, timestamp = {Mon, 05 Feb 2024 20:32:29 +0100}, biburl = {https://dblp.org/rec/conf/avi/KwonSKSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ChoiKLLK20, author = {Junhyuk Choi and Keun{-}Tae Kim and Jaehyung Lee and Song Joo Lee and Hyungmin Kim}, title = {Robust Semi-synchronous {BCI} Controller for Brain-Actuated Exoskeleton System}, booktitle = {8th International Winter Conference on Brain-Computer Interface, {BCI} 2020, Gangwon, Korea (South), February 26-28, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BCI48061.2020.9061658}, doi = {10.1109/BCI48061.2020.9061658}, timestamp = {Wed, 23 Mar 2022 08:02:42 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ChoiKLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/OhCLPKSKLS20, author = {Changhoon Oh and Jinhan Choi and Sungwoo Lee and SoHyun Park and Daeryong Kim and Jungwoo Song and Dongwhan Kim and Joonhwan Lee and Bongwon Suh}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Understanding User Perception of Automated News Generation System}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376811}, doi = {10.1145/3313831.3376811}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/OhCLPKSKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShinSSPLJ20, author = {Donghoon Shin and Jaeyoon Song and Seokwoo Song and Jisoo Park and Joonhwan Lee and Soojin Jun}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {TalkingBoogie: Collaborative Mobile {AAC} System for Non-verbal Children with Developmental Disabilities and Their Caregivers}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--13}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376154}, doi = {10.1145/3313831.3376154}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ShinSSPLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csse/WangLSK20, author = {Zong{-}Sheng Wang and Jung Lee and Chang Geun Song and Sun{-}Jeong Kim}, title = {Data-driven point sampling with blue-noise properties for triangular meshes}, booktitle = {{CSSE} 2020: 3rd International Conference on Computer Science and Software Engineering, Beijing, China, May 22-24, 2020}, pages = {77--82}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3403746.3403908}, doi = {10.1145/3403746.3403908}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csse/WangLSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LugmayrDTABCCCC20, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2058--2076}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Lugmayr\_NTIRE\_2020\_Challenge\_on\_Real-World\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00255}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LugmayrDTABCCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeJS20, author = {Kang Il Lee and Jungho Jeon and Byung Cheol Song}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Deep Learning-Based Pupil Center Detection for Fast and Accurate Eye Tracking System}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XIX}}, series = {Lecture Notes in Computer Science}, volume = {12364}, pages = {36--52}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58529-7\_3}, doi = {10.1007/978-3-030-58529-7\_3}, timestamp = {Fri, 13 Nov 2020 12:38:35 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/NtavelisRBTHWGS20, author = {Evangelos Ntavelis and Andr{\'{e}}s Romero and Siavash Bigdeli and Radu Timofte and Zheng Hui and Xiumei Wang and Xinbo Gao and Chajin Shin and Taeoh Kim and Hanbin Son and Sangyoun Lee and Chao Li and Fu Li and Dongliang He and Shilei Wen and Errui Ding and Mengmeng Bai and Shuchen Li and Yu Zeng and Zhe Lin and Jimei Yang and Jianming Zhang and Eli Shechtman and Huchuan Lu and Weijian Zeng and Haopeng Ni and Yiyang Cai and Chenghua Li and Dejia Xu and Haoning Wu and Yu Han and S. M. Nadim Uddin and Hae Woong Jang and Soikat Hasan Ahmed and Jungmin Yoon and Yong Ju Jung and Chu{-}Tak Li and Zhi{-}Song Liu and Li{-}Wen Wang and Wan{-}Chi Siu and Daniel Pak{-}Kong Lun and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Pratik Narang and Murari Mandal and Pranjal Singh Chauhan}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Image Extreme Inpainting}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {716--741}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_43}, doi = {10.1007/978-3-030-67070-2\_43}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/NtavelisRBTHWGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WeiLTLZPLXFZLHD20, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {392--422}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_24}, doi = {10.1007/978-3-030-67070-2\_24}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WeiLTLZPLXFZLHD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HamJKOPSPLPLJ20, author = {Tae Jun Ham and Sungjun Jung and Seonghak Kim and Young H. Oh and Yeonhong Park and Yoonho Song and Jung{-}Hun Park and Sanghee Lee and Kyoung Park and Jae W. Lee and Deog{-}Kyoon Jeong}, title = {A\({}^{\mbox{3}}\): Accelerating Attention Mechanisms in Neural Networks with Approximation}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {328--341}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00035}, doi = {10.1109/HPCA47549.2020.00035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/HamJKOPSPLPLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeSKYKKSPLCKGG20, author = {Changmin Lee and Wonjae Shin and Dae Jeong Kim and Yongjun Yu and Sung{-}Joon Kim and Taekyeong Ko and Deokho Seo and Jongmin Park and Kwanghee Lee and Seongho Choi and Namhyung Kim and Vishak G and Arun George and Vishwas V and Donghun Lee and Kang{-}Woo Choi and Changbin Song and Dohan Kim and Insu Choi and Ilgyu Jung and Yong Ho Song and Jinman Han}, title = {{NVDIMM-C:} {A} Byte-Addressable Non-Volatile Memory Module for Compatibility with Standard {DDR} Memory Interfaces}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {502--514}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00048}, doi = {10.1109/HPCA47549.2020.00048}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LeeSKYKKSPLCKGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KimLKJY0KS20, author = {Wonjung Kim and Seungchul Lee and Seonghoon Kim and Sungbin Jo and Chungkuk Yoo and Inseok Hwang and Seungwoo Kang and Junehwa Song}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Gregory D. Abowd and Flora D. Salim}, title = {Computational support for facilitating parental reflective functioning in everyday parent-child interaction}, booktitle = {UbiComp/ISWC '20: 2020 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2020 {ACM} International Symposium on Wearable Computers, Virtual Event, Mexico, September 12-17, 2020}, pages = {54--58}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410530.3414400}, doi = {10.1145/3410530.3414400}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/KimLKJY0KS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SongS0P20, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee and H. Vincent Poor}, title = {Sum-Throughput Maximization for NOMA-Based {WPCN} with Signal Alignment}, booktitle = {2020 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCWorkshops49005.2020.9145160}, doi = {10.1109/ICCWORKSHOPS49005.2020.9145160}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/SongS0P20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/LeeJSC20, author = {Geunbae Lee and Myungkyo Jung and Myoungwoo Song and Jaegul Choo}, title = {Unsupervised anomaly detection of the gas turbine operation via convolutional auto-encoder}, booktitle = {{IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2020, Detroit, MI, USA, June 8-10, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPHM49022.2020.9187054}, doi = {10.1109/ICPHM49022.2020.9187054}, timestamp = {Tue, 22 Sep 2020 11:57:51 +0200}, biburl = {https://dblp.org/rec/conf/icphm/LeeJSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiKLCMLO20, author = {Yunho Choi and Hogun Kee and Kyungjae Lee and Jaegoo Choy and Junhong Min and Sohee Lee and Songhwai Oh}, title = {Hierarchical 6-DoF Grasping with Approaching Direction Selection}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {1553--1559}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196678}, doi = {10.1109/ICRA40945.2020.9196678}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/ChoiKLCMLO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/AnOLJJ20, author = {Baik Song An and Jinho On and Byunggyu Lee and Sumin Jang and Sungik Jun}, title = {Dynamic Power Management for Heterogeneous Server Environments}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1599--1601}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289195}, doi = {10.1109/ICTC49870.2020.9289195}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/AnOLJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HamBSLSSOALW20, author = {Tae Jun Ham and David Bruns{-}Smith and Brendan Sweeney and Yejin Lee and Seong Hoon Seo and U. Gyeong Song and Young H. Oh and Krste Asanovic and Jae W. Lee and Lisa Wu Wills}, title = {Genesis: {A} Hardware Acceleration Framework for Genomic Data Analysis}, booktitle = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020}, pages = {254--267}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCA45697.2020.00031}, doi = {10.1109/ISCA45697.2020.00031}, timestamp = {Mon, 19 Feb 2024 07:32:24 +0100}, biburl = {https://dblp.org/rec/conf/isca/HamBSLSSOALW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LeeJSGBHLJ20, author = {Gyusun Lee and Wenjing Jin and Wonsuk Song and Jeonghun Gong and Jonghyun Bae and Tae Jun Ham and Jae W. Lee and Jinkyu Jeong}, title = {A Case for Hardware-Based Demand Paging}, booktitle = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020}, pages = {1103--1116}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCA45697.2020.00093}, doi = {10.1109/ISCA45697.2020.00093}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/LeeJSGBHLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/Al-ShidaifatJJL20, author = {Alaaddin Al{-}Shidaifat and Chamindra Jayawickrama and Yechan Jung and Songwook Lee and Hanjung Song and Nihan Kahraman}, title = {Chaotic True Random Number Generator for Secure Communication Applications}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {244--245}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333113}, doi = {10.1109/ISOCC50952.2020.9333113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/Al-ShidaifatJJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuhCLNPOKCLKPKL20, author = {Hwang Huh and Wanik Cho and Jinhaeng Lee and Yujong Noh and Yongsoon Park and Sunghwa Ok and Jongwoo Kim and Kayoung Cho and Hyunchul Lee and Geonu Kim and Kangwoo Park and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Chankeun Kwon and Hanna Cho and Chanhui Jeong and Yujin Yang and Jayoon Goo and Jangwon Park and Juhyeong Lee and Heonki Kirr and Kangwook Jo and Cheoljoong Park and Hyeonsu Nam and Hyunseok Song and Sangkyu Lee and Woopyo Jeong and Kun{-}Ok Ahn and Tae{-}Sung Jung}, title = {13.2 {A} 1Tb 4b/Cell 96-Stacked-WL 3D {NAND} Flash Memory with 30MB/s Program Throughput Using Peripheral Circuit Under Memory Cell Array Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {220--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063117}, doi = {10.1109/ISSCC19947.2020.9063117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuhCLNPOKCLKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJJSSSKLJKJKS20, author = {Young{-}Duk Kim and Wookyeong Jeong and Lakkyung Jung and Dongsuk Shin and Jae Geun Song and Jinook Song and Hyeokman Kwon and Jaeyoung Lee and Jaesu Jung and Myungjin Kang and Jaehun Jeong and Yoonjoo Kwon and Nak Hee Seong}, title = {2.4 {A} 7nm High-Performance and Energy-Efficient Mobile Application Processor with Tri-Cluster CPUs and a Sparsity-Aware {NPU}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {48--50}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062907}, doi = {10.1109/ISSCC19947.2020.9062907}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJJSSSKLJKJKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {5.4 {A} Dynamic Pseudo 4-Tap {CMOS} Time-of-Flight Image Sensor with Motion Artifact Suppression and Background Light Cancelling Over 120klux}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {100--102}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063101}, doi = {10.1109/ISSCC19947.2020.9063101}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ChoiKPYLKSL20, author = {Jun{-}Ho Choi and Kyungmin Kim and Taejin Park and Junho Yun and Jong{-}Hwan Lee and Songkuk Kim and Hyunjung Shim and Jong{-}Seok Lee}, title = {Real-time Integrated Human Activity Recognition System based on Multimodal User Understanding}, booktitle = {{IUI} '20: 25th International Conference on Intelligent User Interfaces, Cagliari, Italy, March 17-20, 2020, Companion}, pages = {89--90}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379336.3381482}, doi = {10.1145/3379336.3381482}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iui/ChoiKPYLKSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/KimKKSMNP020, author = {Minseok Kim and Junhyeok Kang and Doyoung Kim and Hwanjun Song and Hyangsuk Min and Youngeun Nam and Dongmin Park and Jae{-}Gil Lee}, editor = {Rajesh Gupta and Yan Liu and Jiliang Tang and B. Aditya Prakash}, title = {Hi-COVIDNet: Deep Learning Approach to Predict Inbound {COVID-19} Patients and Case Study in South Korea}, booktitle = {{KDD} '20: The 26th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, CA, USA, August 23-27, 2020}, pages = {3466--3473}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394486.3412864}, doi = {10.1145/3394486.3412864}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/KimKKSMNP020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/MeiSLPKY20, author = {Yinan Mei and Shaoxu Song and Yunsu Lee and Jungho Park and Soo{-}Hyung Kim and Sungmin Yi}, editor = {Rajesh Gupta and Yan Liu and Jiliang Tang and B. Aditya Prakash}, title = {Representing Temporal Attributes for Schema Matching}, booktitle = {{KDD} '20: The 26th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, CA, USA, August 23-27, 2020}, pages = {709--719}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394486.3403115}, doi = {10.1145/3394486.3403115}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/MeiSLPKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/SultanaRSL20, author = {Sharmin Sultana and Adam Robinson and Daniel Y. Song and Junghoon Lee}, editor = {Baowei Fei and Cristian A. Linte}, title = {CNN-based hierarchical coarse-to-fine segmentation of pelvic {CT} images for prostate cancer radiotherapy}, booktitle = {Medical Imaging 2020: Image-Guided Procedures, Robotic Interventions, and Modeling, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11315}, pages = {113151I}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549979}, doi = {10.1117/12.2549979}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/SultanaRSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/SongH0K20, author = {Junho Song and Kyungsik Han and Dongwon Lee and Sang{-}Wook Kim}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {Understanding emotions in {SNS} images from posters' perspectives}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {450--457}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3373923}, doi = {10.1145/3341105.3373923}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/SongH0K20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChoiKLS20, author = {Seungpyo Choi and Seonghoon Kim and Taegyeong Lee and Junehwa Song}, editor = {Jin Nakazawa and Polly Huang}, title = {Scenario-based energy estimation for continuous mobile sensing applications: poster abstract}, booktitle = {SenSys '20: The 18th {ACM} Conference on Embedded Networked Sensor Systems, Virtual Event, Japan, November 16-19, 2020}, pages = {715--716}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3384419.3430405}, doi = {10.1145/3384419.3430405}, timestamp = {Wed, 04 May 2022 13:03:25 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChoiKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/LeeWCS20, author = {Seungchul Lee and Jeongho Won and Seungpyo Choi and Junehwa Song}, editor = {Jin Nakazawa and Polly Huang}, title = {Exploring drivers' embarrassing moments in using automotive navigation: poster abstract}, booktitle = {SenSys '20: The 18th {ACM} Conference on Embedded Networked Sensor Systems, Virtual Event, Japan, November 16-19, 2020}, pages = {677--678}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3384419.3430403}, doi = {10.1145/3384419.3430403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/LeeWCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeNKCLYSKLJCJJ20, author = {Jang{-}Woo Lee and Dae{-}Hoon Na and Anil Kavala and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Tongsung Kim and Seon{-}Kyoo Lee and Dong{-}Su Jang and Byung{-}Kwan Chun and Youngmin Jo and Sunwon Jung and Doo{-}Il Jung and Chan{-}ho Kim and Daewoon Kang and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Sangjoon Hwang and Jai Hyuk Song}, title = {A 1.8 Gb/s/pin 16Tb {NAND} Flash Memory Multi-Chip Package with F-Chip of Toggle 4.0 Specification for High Performance and High Capacity Storage Systems}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163052}, doi = {10.1109/VLSICIRCUITS18222.2020.9163052}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeNKCLYSKLJCJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-10941, author = {Tae Jun Ham and Sungjun Jung and Seonghak Kim and Young H. Oh and Yeonhong Park and Yoonho Song and Jung{-}Hun Park and Sanghee Lee and Kyoung Park and Jae W. Lee and Deog{-}Kyoon Jeong}, title = {A\({}^{\mbox{3}}\): Accelerating Attention Mechanisms in Neural Networks with Approximation}, journal = {CoRR}, volume = {abs/2002.10941}, year = {2020}, url = {https://arxiv.org/abs/2002.10941}, eprinttype = {arXiv}, eprint = {2002.10941}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-10941.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01996, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01996}, year = {2020}, url = {https://arxiv.org/abs/2005.01996}, eprinttype = {arXiv}, eprint = {2005.01996}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-08701, author = {Woo{-}Seok Lee and Junghyo Jo and Taegeun Song}, title = {Machine learning for the diagnosis of early stage diabetes using temporal glucose profiles}, journal = {CoRR}, volume = {abs/2005.08701}, year = {2020}, url = {https://arxiv.org/abs/2005.08701}, eprinttype = {arXiv}, eprint = {2005.08701}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-08701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-11042, author = {Song Park and Sanghyuk Chun and Junbum Cha and Bado Lee and Hyunjung Shim}, title = {Few-shot Font Generation with Localized Style Representations and Factorization}, journal = {CoRR}, volume = {abs/2009.11042}, year = {2020}, url = {https://arxiv.org/abs/2009.11042}, eprinttype = {arXiv}, eprint = {2009.11042}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-11042.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12072, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.12072}, year = {2020}, url = {https://arxiv.org/abs/2009.12072}, eprinttype = {arXiv}, eprint = {2009.12072}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02859, author = {Sang Hwan Son and Se{-}Kyu Oh and Byung Jun Park and Min Jun Song and Jong Min Lee}, title = {Idle speed control with low-complexity offset-free explicit model predictive control in presence of system delay}, journal = {CoRR}, volume = {abs/2012.02859}, year = {2020}, url = {https://arxiv.org/abs/2012.02859}, eprinttype = {arXiv}, eprint = {2012.02859}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimXYLS19, author = {Hyung June Kim and Yifan Xie and Heekwon Yang and Chankil Lee and Taek Lyul Song}, title = {An Efficient Indoor Target Tracking Algorithm Using {TDOA} Measurements With Applications to Ultra-Wideband Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {91435--91445}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2927005}, doi = {10.1109/ACCESS.2019.2927005}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimXYLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KongLKKKS19, author = {Kyeongbo Kong and Junggi Lee and Youngchul Kwak and Minsung Kang and Seong Gyun Kim and Woo{-}Jin Song}, title = {Recycling: Semi-Supervised Learning With Noisy Labels in Deep Neural Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {66998--67005}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2918794}, doi = {10.1109/ACCESS.2019.2918794}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KongLKKKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeL019, author = {Dayoung Lee and Jungwoo Lee and Minseok Song}, title = {Video Quality Adaptation for Limiting Transcoding Energy Consumption in Video Servers}, journal = {{IEEE} Access}, volume = {7}, pages = {126253--126264}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939007}, doi = {10.1109/ACCESS.2019.2939007}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSKLSPYP19, author = {Woo Jung Park and Jin Woo Song and Chang Ho Kang and Jae Hong Lee and Myung Hwan Seo and Sang Yeon Park and Jong Yun Yeo and Chan Gook Park}, title = {{MEMS} 3D {DR/GPS} Integrated System for Land Vehicle Application Robust to {GPS} Outages}, journal = {{IEEE} Access}, volume = {7}, pages = {73336--73348}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2920095}, doi = {10.1109/ACCESS.2019.2920095}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkSKLSPYP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongJCLSC19, author = {Sooeun Song and Jaewook Jung and Minsu Choi and Changsung Lee and Jungkyu Sun and Jong{-}Moon Chung}, title = {Multipath Based Adaptive Concurrent Transfer for Real-Time Video Streaming Over 5G Multi-RAT Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {146470--146479}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945357}, doi = {10.1109/ACCESS.2019.2945357}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SongJCLSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongSLP19, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee and H. Vincent Poor}, title = {Sum-Throughput Maximization With QoS Constraints for Cooperative WPCNs}, journal = {{IEEE} Access}, volume = {7}, pages = {130622--130637}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936137}, doi = {10.1109/ACCESS.2019.2936137}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongSLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SongLLCLJC19, author = {Jae{-}Bok Song and KwangHyun Lim and ByeongHyeok Lee and Deuk Jae Cho and HanJin Lee and JunHyuk Jang and KyungHi Chang}, title = {SMART-Navigation over Pilot LTE-Maritime: Deployment and Coexistence with {PS-LTE}}, journal = {{IEEE} Commun. Mag.}, volume = {57}, number = {9}, pages = {126--131}, year = {2019}, url = {https://doi.org/10.1109/MCOM.2019.1800260}, doi = {10.1109/MCOM.2019.1800260}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/SongLLCLJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/ChaLCO19, author = {Geonho Cha and Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Deep pose consensus networks}, journal = {Comput. Vis. Image Underst.}, volume = {182}, pages = {64--70}, year = {2019}, url = {https://doi.org/10.1016/j.cviu.2019.03.004}, doi = {10.1016/J.CVIU.2019.03.004}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/ChaLCO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WonSJLLPSMS19, author = {You{-}Sun Won and Dongseung Shin and Sohee Jung and Jae{-}Ho Lee and Cheolhyo Lee and Miryong Park and Yunjeong Song and Kiyoung Moon and Dong{-}Wook Seo}, title = {Method to improve degraded range resolution due to non-ideal factors in {FMCW} radar}, journal = {{IEICE} Electron. Express}, volume = {16}, number = {1}, pages = {20180924}, year = {2019}, url = {https://doi.org/10.1587/elex.15.20180924}, doi = {10.1587/ELEX.15.20180924}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WonSJLLPSMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongCL19, author = {Yongwoon Song and Dongkeon Choi and Hyuk{-}Jun Lee}, title = {Designing a High Performance {SRAM-DRAM} Hybrid Memory Architecture for Packet Buffers}, journal = {{IEICE} Trans. Electron.}, volume = {102-C}, number = {12}, pages = {849--852}, year = {2019}, url = {https://doi.org/10.1587/transele.2019ECS6003}, doi = {10.1587/TRANSELE.2019ECS6003}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SongCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WonSPJLLS19, author = {You{-}Sun Won and Dongseung Shin and Miryong Park and Sohee Jung and Jaeho Lee and Cheolhyo Lee and Yunjeong Song}, title = {24GHz {FMCW} Radar Module for Pedestrian Detection in Crosswalks}, journal = {{IEICE} Trans. Electron.}, volume = {102-C}, number = {5}, pages = {416--419}, year = {2019}, url = {https://doi.org/10.1587/transele.2018ECS6019}, doi = {10.1587/TRANSELE.2018ECS6019}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/WonSPJLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HyunPYSLY19, author = {Dongmin Hyun and Chanyoung Park and Min{-}Chul Yang and Ilhyeon Song and Jung{-}Tae Lee and Hwanjo Yu}, title = {Target-aware convolutional neural network for target-level sentiment analysis}, journal = {Inf. Sci.}, volume = {491}, pages = {166--178}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2019.03.076}, doi = {10.1016/J.INS.2019.03.076}, timestamp = {Sat, 10 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HyunPYSLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/OhSEJSSL19, author = {Byungkook Oh and Sangjin Shin and Sungkwang Eom and Jooik Jung and Minjae Song and Seungmin Seo and Kyong{-}Ho Lee}, title = {Reliable TF-based recommender system for capturing complex correlations among contexts}, journal = {J. Intell. Inf. Syst.}, volume = {52}, number = {2}, pages = {337--365}, year = {2019}, url = {https://doi.org/10.1007/s10844-018-0514-7}, doi = {10.1007/S10844-018-0514-7}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/OhSEJSSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSHBJK19, author = {Yeonho Lee and Yoonjae Choi and Junyoung Song and Sewook Hwang and Sang{-}Geun Bae and Jaehun Jun and Chulwoo Kim}, title = {12-Gb/s Over Four Balanced Lines Utilizing {NRZ} Braid Clock Signaling With No Data Overhead and Spread Transition Scheme for 8K {UHD} Intra-Panel Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {2}, pages = {463--475}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2878814}, doi = {10.1109/JSSC.2018.2878814}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSHBJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/LeeHLS19, author = {Jungwoo Lee and Hwangje Han and Sungjin Lee and Minseok Song}, title = {Lifetime-aware solid-state disk {(SSD)} cache management for video servers}, journal = {Multim. Syst.}, volume = {25}, number = {6}, pages = {695--708}, year = {2019}, url = {https://doi.org/10.1007/s00530-019-00620-0}, doi = {10.1007/S00530-019-00620-0}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/LeeHLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/SongJGL19, author = {Woo{-}Hyuck Song and Honggyu Jung and In{-}Youb Gwak and Seong{-}Whan Lee}, title = {Oblique aerial image matching based on iterative simulation and homography evaluation}, journal = {Pattern Recognit.}, volume = {87}, pages = {317--331}, year = {2019}, url = {https://doi.org/10.1016/j.patcog.2018.10.027}, doi = {10.1016/J.PATCOG.2018.10.027}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/SongJGL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/HuangSLK19, author = {Yuan Huang and Taek Lyul Song and Won Jun Lee and Thia Kirubarajan}, title = {Multiple detection joint integrated track splitting for multiple extended target tracking}, journal = {Signal Process.}, volume = {162}, pages = {126--140}, year = {2019}, url = {https://doi.org/10.1016/j.sigpro.2019.04.015}, doi = {10.1016/J.SIGPRO.2019.04.015}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/HuangSLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/BaeHSLK19, author = {Sang{-}Geun Bae and Sewook Hwang and Junyoung Song and Yeonho Lee and Chulwoo Kim}, title = {A {\(\Delta\)}{\(\Sigma\)} Modulator-Based Spread-Spectrum Clock Generator with Digital Compensation and Calibration for Phase-Locked Loop Bandwidth}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {2}, pages = {192--196}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2018.2846690}, doi = {10.1109/TCSII.2018.2846690}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/BaeHSLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/DengHZCLLZS19, author = {Lei Deng and Yinghui He and Ying Zhang and Minghua Chen and Zongpeng Li and Jack Y. B. Lee and Ying Jun Zhang and Lingyang Song}, title = {Device-to-Device Load Balancing for Cellular Networks}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {4}, pages = {3040--3054}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2018.2890225}, doi = {10.1109/TCOMM.2018.2890225}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/DengHZCLLZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KongSLS19, author = {Kyeongbo Kong and Seung{-}Jun Shin and Junggi Lee and Woo{-}Jin Song}, title = {How to Estimate Global Motion Non-Iteratively From a Coarsely Sampled Motion Vector Field}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {12}, pages = {3729--3742}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2882513}, doi = {10.1109/TCSVT.2018.2882513}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KongSLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JeongKSKLSLSK19, author = {Seungtaek Jeong and Donghyun Kim and Jinwook Song and Hongseok Kim and Seongsoo Lee and Chiuk Song and Jaehak Lee and Junyeop Song and Joungho Kim}, title = {Smartwatch Strap Wireless Power Transfer System With Flexible {PCB} Coil and Shielding Material}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {5}, pages = {4054--4064}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2860534}, doi = {10.1109/TIE.2018.2860534}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JeongKSKLSLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKJPASKLK19, author = {Hojung Kim and Yongkyu Kim and Hyunwook Ji and Hyunsik Park and Jungkwuen An and Hoon Song and Yun Tae Kim and Hong{-}Seok Lee and Kichul Kim}, title = {A Single-Chip {FPGA} Holographic Video Processor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {3}, pages = {2066--2073}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2835424}, doi = {10.1109/TIE.2018.2835424}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKJPASKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeBKLSHS19, author = {Geon Seok Lee and Su Sik Bang and Gu{-}Young Kwon and Yeong Ho Lee and Song{-}Ho Sohn and Sang{-}Chul Han and Yong{-}June Shin}, title = {Time-Frequency-Based Condition Monitoring of 22.9-kV {HTS} Cable Systems: Cooling Process and Current Imbalance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {10}, pages = {8116--8125}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2881937}, doi = {10.1109/TIE.2018.2881937}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeBKLSHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeKCKSJSPHPCLS19, author = {Seongsoo Lee and Donghyun Kim and Yeonje Cho and Hongseok Kim and Chiuk Song and Seungtaek Jeong and Jinwook Song and Gyeyoung Park and Seokwoo Hong and Junyong Park and Kyungjun Cho and Hyunsuk Lee and Chulhun Seo and Seungyoung Ahn and Joungho Kim}, title = {Low Leakage Electromagnetic Field Level and High Efficiency Using a Novel Hybrid Loop-Array Design for Wireless High Power Transfer System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {6}, pages = {4356--4367}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2851988}, doi = {10.1109/TIE.2018.2851988}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeKCKSJSPHPCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SongHLJS19, author = {Youngsoo Song and Daijoon Hyun and Jingon Lee and Jinwook Jung and Youngsoo Shin}, title = {Cut Optimization for Redundant Via Insertion in Self-Aligned Double Patterning}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {6}, pages = {61:1--61:21}, year = {2019}, url = {https://doi.org/10.1145/3355391}, doi = {10.1145/3355391}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SongHLJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LeeSCLC19, author = {Changsung Lee and Sooeun Song and Hyoung Jun Cho and Goeun Lim and Jong{-}Moon Chung}, title = {Optimal Multipath {TCP} Offloading Over 5G {NR} and {LTE} Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {1}, pages = {293--296}, year = {2019}, url = {https://doi.org/10.1109/LWC.2018.2870595}, doi = {10.1109/LWC.2018.2870595}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LeeSCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LeeWLSML19, author = {Yousik Lee and Samuel Woo and Jungho Lee and Yunkeun Song and Heeseok Moon and Dong Hoon Lee}, title = {Enhanced Android App-Repackaging Attack on In-Vehicle Network}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2019}, year = {2019}, url = {https://doi.org/10.1155/2019/5650245}, doi = {10.1155/2019/5650245}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LeeWLSML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/ShinCKLS19, author = {Iksoo Shin and Yunsoo Choi and Taewoong Kwon and Hyeakro Lee and Jungsuk Song}, title = {Platform Design and Implementation for Flexible Data Processing and Building {ML} Models of {IDS} Alerts}, booktitle = {14th Asia Joint Conference on Information Security, AsiaJCIS 2019, Kobe, Japan, August 1-2, 2019}, pages = {64--71}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AsiaJCIS.2019.000-4}, doi = {10.1109/ASIAJCIS.2019.000-4}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/ShinCKLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aughuman/LeeMMMCSK19, author = {Seungchul Lee and Chulhong Min and Alessandro Montanari and Akhil Mathur and Youngjae Chang and Junehwa Song and Fahim Kawsar}, editor = {Katrin Wolf and Haimo Zhang and Redha Ta{\"{\i}}ar and Jean{-}Marc Seigneur}, title = {Automatic Smile and Frown Recognition with Kinetic Earables}, booktitle = {Proceedings of the 10th Augmented Human International Conference 2019, Reims, France, March 11-12, 2019}, pages = {25:1--25:4}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3311823.3311869}, doi = {10.1145/3311823.3311869}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aughuman/LeeMMMCSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeCKCKL19, author = {Jaehyung Lee and Kabmun Cha and Hyungmin Kim and Junhyuk Choi and Choong Hyun Kim and Song{-}Joo Lee}, title = {Hybrid {MI-SSSEP} Paradigm for classifying left and right movement toward {BCI} for exoskeleton control}, booktitle = {7th International Winter Conference on Brain-Computer Interface, {BCI} 2019, Gangwon, Korea (South), February 18-20, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWW-BCI.2019.8737319}, doi = {10.1109/IWW-BCI.2019.8737319}, timestamp = {Tue, 22 Mar 2022 14:42:10 +0100}, biburl = {https://dblp.org/rec/conf/bci3/LeeCKCKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShinORSLLS19, author = {Joon Gi Shin and Eiji Onchi and Maria Jose Reyes and JunBong Song and Uichin Lee and Seung{-}Hee Lee and Daniel Saakes}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Slow Robots for Unobtrusive Posture Correction}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {613}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300843}, doi = {10.1145/3290605.3300843}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ShinORSLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedTB19, author = {Abdelrahman Abdelhamed and Radu Timofte and Michael S. Brown and Songhyun Yu and Bumjun Park and Jechang Jeong and Seung{-}Won Jung and Dong{-}Wook Kim and Jae Ryun Chung and Jiaming Liu and Yuzhi Wang and Chi{-}Hao Wu and Qin Xu and Chuan Wang and Shaofan Cai and Yifan Ding and Haoqiang Fan and Jue Wang and Kai Zhang and Wangmeng Zuo and Magauiya Zhussip and Dongwon Park and Shakarim Soltanayev and Se Young Chun and Zhiwei Xiong and Chang Chen and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Sung{-}Jea Ko and Dong{-}Pan Lim and Seung{-}Wook Kim and Seo{-}Won Ji and Sang{-}Won Lee and Wenyi Tang and Yuchen Fan and Yuqian Zhou and Ding Liu and Thomas S. Huang and Deyu Meng and Lei Zhang and Hongwei Yong and Yiyun Zhao and Pengliang Tang and Yue Lu and Raimondo Schettini and Simone Bianco and Simone Zini and Chi Li and Yang Wang and Zhiguo Cao}, title = {{NTIRE} 2019 Challenge on Real Image Denoising: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2197--2210}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Abdelhamed\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Denoising\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00273}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLCLLCLSK19, author = {Sang{-}Hyo Kim and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Jae{-}Hyeok Song and Choul{-}Young Kim}, title = {Design and Analyze of Compact Ku-Band Wilkinson Power Combiner in 65 nm {CMOS} Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706442}, doi = {10.23919/ELINFOCOM.2019.8706442}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLCLLCLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeSNPC19, author = {Junmo Lee and Kwang{-}Sub Song and Kyoung Jin Noh and Tae{-}Jun Park and Joon{-}Hyuk Chang}, title = {{DNN} based multi-speaker speech synthesis with temporal auxiliary speaker {ID} embedding}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706390}, doi = {10.23919/ELINFOCOM.2019.8706390}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeSNPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/SongLCLLCLKK19, author = {Jae{-}Hyeok Song and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Sang{-}Hyo Kim and Choul{-}Young Kim}, title = {Wireless {DC} power Generator for Passive {RFID} by using Cockcroft-Walton Voltage Multiplier in {CMOS} 0.18um Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706387}, doi = {10.23919/ELINFOCOM.2019.8706387}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/SongLCLLCLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaip/KimSKLSPC19, author = {Daeun Dana Kim and Muhammad Tanseef Shahid and Yunseong Kim and Won Jun Lee and Hyun Chul Song and Francesco Piccialli and Kwang Nam Choi}, title = {Generating Pedestrian Training Dataset using {DCGAN}}, booktitle = {{ICAIP} 2019: 3rd International Conference on Advances in Image Processing, Chengdu, China, November, 2019}, pages = {1--4}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3373419.3373458}, doi = {10.1145/3373419.3373458}, timestamp = {Tue, 25 Apr 2023 14:07:19 +0200}, biburl = {https://dblp.org/rec/conf/icaip/KimSKLSPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/CaoLDZYS19, author = {Shiyue Cao and Chia{-}Yi Lee and Haibin Duan and Jun Zhu and Shangwu Yuan and Wenqi Song}, title = {Quadrotor Swarm Flight Experimentation Inspired by Pigeon Flock Topology}, booktitle = {15th {IEEE} International Conference on Control and Automation, {ICCA} 2019, Edinburgh, United Kingdom, July 16-19, 2019}, pages = {657--662}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCA.2019.8899745}, doi = {10.1109/ICCA.2019.8899745}, timestamp = {Fri, 22 Nov 2019 16:47:22 +0100}, biburl = {https://dblp.org/rec/conf/icca/CaoLDZYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/JungPKSLY19, author = {Jinhwan Jung and Kien Pham and Joohyun Kang and Junehwa Song and Sung{-}Ju Lee and Yung Yi}, title = {Hierarchical Duty-Cycling of Wireless Sensors}, booktitle = {28th International Conference on Computer Communication and Networks, {ICCCN} 2019, Valencia, Spain, July 29 - August 1, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCCN.2019.8847181}, doi = {10.1109/ICCCN.2019.8847181}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/JungPKSLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/DuZWWSLBSZKLZAS19, author = {Dawei Du and Yue Zhang and Zexin Wang and Zhikang Wang and Zichen Song and Ziming Liu and Liefeng Bo and Hailin Shi and Rui Zhu and Aashish Kumar and Aijin Li and Almaz Zinollayev and Anuar Askergaliyev and Arne Schumann and Binjie Mao and Pengfei Zhu and Byeongwon Lee and Chang Liu and Changrui Chen and Chunhong Pan and Chunlei Huo and Da Yu and Dechun Cong and Dening Zeng and Dheeraj Reddy Pailla and Di Li and Longyin Wen and Dong Wang and Donghyeon Cho and Dongyu Zhang and Furui Bai and George Jose and Guangyu Gao and Guizhong Liu and Haitao Xiong and Hao Qi and Haoran Wang and Xiao Bian and Heqian Qiu and Hongliang Li and Huchuan Lu and Ildoo Kim and Jaekyum Kim and Jane Shen and Jihoon Lee and Jing Ge and Jingjing Xu and Jingkai Zhou and Haibin Ling and Jonas Meier and Jun Won Choi and Junhao Hu and Junyi Zhang and Junying Huang and Kaiqi Huang and Keyang Wang and Lars Sommer and Lei Jin and Lei Zhang and Qinghua Hu and Lianghua Huang and Lin Sun and Lucas Steinmann and Meixia Jia and Nuo Xu and Pengyi Zhang and Qiang Chen and Qingxuan Lv and Qiong Liu and Qishang Cheng and Tao Peng and Sai Saketh Chennamsetty and Shuhao Chen and Shuo Wei and Srinivas S. S. Kruthiventi and Sungeun Hong and Sungil Kang and Tong Wu and Tuo Feng and Varghese Alex Kollerathu and Wanqi Li and Jiayu Zheng and Wei Dai and Weida Qin and Weiyang Wang and Xiaorui Wang and Xiaoyu Chen and Xin Chen and Xin Sun and Xin Zhang and Xin Zhao and Xindi Zhang and Xinyao Wang and Xinyu Zhang and Xuankun Chen and Xudong Wei and Xuzhang Zhang and Yanchao Li and Yifu Chen and Yu Heng Toh and Yu Zhang and Yu Zhu and Yunxin Zhong}, title = {VisDrone-DET2019: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {213--226}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00030}, doi = {10.1109/ICCVW.2019.00030}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/DuZWWSLBSZKLZAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiLKS19, author = {Jun Ho Choi and Kang Il Lee and Young Chan Kim and Byung Cheol Song}, title = {Accurate Eye Pupil Localization Using Heterogeneous {CNN} Models}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {2179--2183}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803121}, doi = {10.1109/ICIP.2019.8803121}, timestamp = {Wed, 11 Dec 2019 16:30:23 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChoiLKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeSMPJ19, author = {Beom Suk Lee and Jae Geun Song and Sung Jun Moon and In Hwan Park and Ju Wook Jang}, title = {Blockchain Architectures for {P2P} Energy Trading Between Neighbors}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1013--1017}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939856}, doi = {10.1109/ICTC46691.2019.8939856}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeSMPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongLH19, author = {Junho Song and Younggu Lee and Euiseok Hwang}, title = {Adaptive Compressive Sensing based Data Compression on Energy Consumption in Smart Grid}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {568--570}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939737}, doi = {10.1109/ICTC46691.2019.8939737}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8-1/SongL19, author = {Junsup Song and Moonkun Lee}, editor = {Jaap Gordijn and Wided Gu{\'{e}}dria and Henderik A. Proper}, title = {Process Algebra to Control Nondeterministic Behavior of Enterprise Smart IoT Systems with Probability}, booktitle = {The Practice of Enterprise Modeling - 12th {IFIP} Working Conference, PoEM 2019, Luxembourg, Luxembourg, November 27-29, 2019, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {369}, pages = {184--196}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35151-9\_12}, doi = {10.1007/978-3-030-35151-9\_12}, timestamp = {Mon, 25 Nov 2019 18:57:04 +0100}, biburl = {https://dblp.org/rec/conf/ifip8-1/SongL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeMKS19, author = {Won{-}Bum Lee and Byung{-}Yoon Moon and Tae{-}Jung Kim and Jae{-}Bok Song}, title = {Wall-Mounted Robot Arm Equipped with 3-DOF Roll-Pitch-Pitch Counterbalance Mechanism}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {3571--3576}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967817}, doi = {10.1109/IROS40897.2019.8967817}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeMKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLLLUPHSLSLSH19, author = {Y. Ji and H. J. Goo and J. Lim and S. B. Lee and S. Lee and Taiki Uemura and J. C. Park and S. I. Han and S. C. Shin and J. H. Lee and Y. J. Song and K. M. Lee and H. M. Shin and S. H. Hwang and B. Y. Seo and Y. K. Lee and J. C. Kim and Gwanhyeob Koh and K. C. Park and Sangwoo Pae and Gi{-}Tae Jeong and J. S. Yoon and E. S. Jung}, title = {Reliability of 8Mbit Embedded-STT-MRAM in 28nm {FDSOI} Technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720429}, doi = {10.1109/IRPS.2019.8720429}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiGLLLUPHSLSLSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBGPSCKKKJMH19, author = {Inhak Lee and Hanwool Jeong and Sangyeop Baeck and Siddharth Gupta and Changnam Park and Dongwook Seo and Jaeseung Choi and Jaeyoung Kim and Hoon Kim and Jungmyung Kang and Sunyung Jang and Daeyoung Moon and Sangshin Han and Taehyung Kim and Jaehyun Lim and Younghwan Park and Hyejin Hwang and Jeonseung Kang and Taejoong Song}, title = {A Voltage and Temperature Tracking {SRAM} Assist Supporting 740mV Dual-Rail Offset for Low-Power and High-Performance Applications in 7nm {EUV} FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {392--394}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662439}, doi = {10.1109/ISSCC.2019.8662439}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBGPSCKKKJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSLSCK19, author = {Hyunsu Park and Junyoung Song and Yeonho Lee and Jincheol Sim and Jonghyuck Choi and Chulwoo Kim}, title = {A 3-bit/2UI 27Gb/s {PAM-3} Single-Ended Transceiver Using One-Tap {DFE} for Next-Generation Memory Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {382--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662462}, doi = {10.1109/ISSCC.2019.8662462}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkSLSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCPJLSLK19, author = {Jinook Song and Yunkyo Cho and Jun{-}Seok Park and Jun{-}Woo Jang and Sehwan Lee and Joon{-}Ho Song and Jae{-}Gon Lee and Inyup Kang}, title = {An 11.5TOPS/W 1024-MAC Butterfly Structure Dual-Core Sparsity-Aware Neural Processing Unit in 8nm Flagship Mobile SoC}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {130--132}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662476}, doi = {10.1109/ISSCC.2019.8662476}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongCPJLSLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ksem/SongCL19, author = {Junsup Song and Yeongbok Choe and Moonkun Lee}, editor = {Christos Douligeris and Dimitris Karagiannis and Dimitris Apostolou}, title = {Application of Probabilistic Process Model for Smart Factory Systems}, booktitle = {Knowledge Science, Engineering and Management - 12th International Conference, {KSEM} 2019, Athens, Greece, August 28-30, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11776}, pages = {25--36}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29563-9\_3}, doi = {10.1007/978-3-030-29563-9\_3}, timestamp = {Thu, 22 Aug 2019 12:56:23 +0200}, biburl = {https://dblp.org/rec/conf/ksem/SongCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/SultanaSL19, author = {Sharmin Sultana and Daniel Y. Song and Junghoon Lee}, editor = {Baowei Fei and Cristian A. Linte}, title = {A deformable multimodal image registration using {PET/CT} and {TRUS} for intraoperative focal prostate brachytherapy}, booktitle = {Medical Imaging 2019: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10951}, pages = {109511I}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512996}, doi = {10.1117/12.2512996}, timestamp = {Tue, 07 Jul 2020 11:42:07 +0200}, biburl = {https://dblp.org/rec/conf/miigp/SultanaSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeeLPLLLXXZS19, author = {Taegyeong Lee and Zhiqi Lin and Saumay Pushp and Caihua Li and Yunxin Liu and Youngki Lee and Fengyuan Xu and Chenren Xu and Lintao Zhang and Junehwa Song}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Occlumency: Privacy-preserving Remote Deep-learning Inference Using {SGX}}, booktitle = {The 25th Annual International Conference on Mobile Computing and Networking, MobiCom 2019, Los Cabos, Mexico, October 21-25, 2019}, pages = {46:1--46:17}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3300061.3345447}, doi = {10.1145/3300061.3345447}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LeeLPLLLXXZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeRALKP019, author = {Jungho Lee and Woo{-}Jong Ryu and Yoonjoo Ahn and Song{-}Eun Lee and Kang{-}Min Kim and Jun{-}Hyung Park and SangKeun Lee}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {meChat: In-device Conversational Photo Sharing Service}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {655--656}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328568}, doi = {10.1145/3307334.3328568}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/LeeRALKP019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeLSM19, author = {Junseok Lee and Hyunjun Lim and Seungwon Song and Hyun Myung}, title = {Concept Design for Mole-Like Excavate Robot and Its Localization Method}, booktitle = {7th International Conference on Robot Intelligence Technology and Applications, RiTA 2019, Daejeon, Korea (South), November 1-3, 2019}, pages = {56--60}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RITAPP.2019.8932732}, doi = {10.1109/RITAPP.2019.8932732}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/LeeLSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/LeeSSHLJ19, author = {Gyusun Lee and Seokha Shin and Wonsuk Song and Tae Jun Ham and Jae W. Lee and Jinkyu Jeong}, editor = {Dahlia Malkhi and Dan Tsafrir}, title = {Asynchronous {I/O} Stack: {A} Low-latency Kernel {I/O} Stack for Ultra-Low Latency SSDs}, booktitle = {Proceedings of the 2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, pages = {603--616}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/atc19/presentation/lee-gyusun}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/LeeSSHLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKSK18, author = {Jae{-}Woo Lee and Jun{-}Taek Kong and Woo{-}Jin Song and Seong{-}Eun Kim}, title = {Data-Reserved Periodic Diffusion {LMS} With Low Communication Cost Over Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {54636--54650}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2872719}, doi = {10.1109/ACCESS.2018.2872719}, timestamp = {Sat, 29 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhSJAKL18, author = {Taeseok Oh and Chang{-}Ick Song and Jaehoon Jung and Minki Ahn and Joonsuk Kim and Inkyu Lee}, title = {A New {RF} Beam Training Method and Asymptotic Performance Analysis for Multi-User Millimeter Wave Systems}, journal = {{IEEE} Access}, volume = {6}, pages = {48125--48135}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2867905}, doi = {10.1109/ACCESS.2018.2867905}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhSJAKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LeeTRWLSMSBJ18, author = {Juho Lee and Erika Tejedor and Karri Ranta{-}aho and Hu Wang and Kyung{-}Tak Lee and Eliane Semaan and Eiman Mohyeldin and Juyeon Song and Christian Bergljung and Sangyeob Jung}, title = {Spectrum for 5G: Global Status, Challenges, and Enabling Technologies}, journal = {{IEEE} Commun. Mag.}, volume = {56}, number = {3}, pages = {12--18}, year = {2018}, url = {https://doi.org/10.1109/MCOM.2018.1700818}, doi = {10.1109/MCOM.2018.1700818}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LeeTRWLSMSBJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/KongLKSS18, author = {Jun{-}Taek Kong and Jae{-}Woo Lee and Seong{-}Eun Kim and Seung{-}Jun Shin and Woo{-}Jin Song}, title = {Corrigendum to "Diffusion {LMS} algorithms with multi combination for distributed estimation: Formulation and performance analysis" [Digit. Signal Process. 71 {(2017)} 117-130]}, journal = {Digit. Signal Process.}, volume = {75}, pages = {264}, year = {2018}, url = {https://doi.org/10.1016/j.dsp.2018.02.002}, doi = {10.1016/J.DSP.2018.02.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/KongLKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YooCSL18, author = {Do Guen Yoo and Dong Eil Chang and Yang Ho Song and Jung Ho Lee}, title = {Optimal Placement of Pressure Gauges for Water Distribution Networks Using Entropy Theory Based on Pressure Dependent Hydraulic Simulation}, journal = {Entropy}, volume = {20}, number = {8}, pages = {576}, year = {2018}, url = {https://doi.org/10.3390/e20080576}, doi = {10.3390/E20080576}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YooCSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimSL18, author = {Yoojin Kim and Yongwoon Song and Hyuk{-}Jun Lee}, title = {Energy Efficient Mobile Positioning System Using Adaptive Particle Filter}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {6}, pages = {997--999}, year = {2018}, url = {https://doi.org/10.1587/transfun.E101.A.997}, doi = {10.1587/TRANSFUN.E101.A.997}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/LeeJS18, author = {Soyeong Lee and Sunhae Jung and Hyunjoo Song}, title = {CNN-Based Drug Recognition and Braille Embosser System for the Blind}, journal = {J. Comput. Sci. Eng.}, volume = {12}, number = {4}, pages = {149--156}, year = {2018}, url = {https://doi.org/10.5626/jcse.2018.12.4.149}, doi = {10.5626/JCSE.2018.12.4.149}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/LeeJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/LeeRCPOKS18, author = {Sunho Lee and Cheol Ryu and Sang Kyun Cha and Kunsoo Park and Jungsuk Oh and Kihong Kim and Changbin Song}, title = {Interval Disaggregation with Product Life Cycles and Constraints}, journal = {J. Comput. Sci. Eng.}, volume = {12}, number = {2}, pages = {63--76}, year = {2018}, url = {https://doi.org/10.5626/jcse.2018.12.2.63}, doi = {10.5626/JCSE.2018.12.2.63}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/LeeRCPOKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/LeeSSO18, author = {Junho Lee and Dowon Song and Sunbeom So and Hakjoo Oh}, title = {Automatic diagnosis and correction of logical errors for functional programming assignments}, journal = {Proc. {ACM} Program. Lang.}, volume = {2}, number = {{OOPSLA}}, pages = {158:1--158:30}, year = {2018}, url = {https://doi.org/10.1145/3276528}, doi = {10.1145/3276528}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/LeeSSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChaLCO18, author = {Geonho Cha and Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Non-rigid surface recovery with a robust local-rigidity prior}, journal = {Pattern Recognit. Lett.}, volume = {110}, pages = {51--57}, year = {2018}, url = {https://doi.org/10.1016/j.patrec.2018.03.019}, doi = {10.1016/J.PATREC.2018.03.019}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/ChaLCO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeLSJSKLLLOP18, author = {Nam Ho Bae and Sun Young Lim and Younseong Song and Soon Woo Jeong and Seol Yi Shin and Yong Tae Kim and Tae{-}Jae Lee and Kyoung G. Lee and Seok Jae Lee and Yong{-}Jun Oh and Yoo Min Park}, title = {A Disposable and Multi-Chamber Film-Based {PCR} Chip for Detection of Foodborne Pathogen}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3158}, year = {2018}, url = {https://doi.org/10.3390/s18093158}, doi = {10.3390/S18093158}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BaeLSJSKLLLOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongHLK18, author = {Junyoung Song and Sewook Hwang and Hyun{-}Woo Lee and Chulwoo Kim}, title = {A 1-V 10-Gb/s/pin Single-Ended Transceiver With Controllable Active-Inductor-Based Driver and Adaptively Calibrated Cascaded-Equalizer for Post-LPDDR4 Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {1}, pages = {331--342}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2717900}, doi = {10.1109/TCSI.2017.2717900}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/SongKLK18, author = {Kaeun Song and Hyungjin Kim and Junyeong Lee and Young{-}Gul Kim}, title = {Dissecting movie performance across multiple distribution channels: An elastic justification theory perspective}, journal = {Telematics Informatics}, volume = {35}, number = {1}, pages = {159--167}, year = {2018}, url = {https://doi.org/10.1016/j.tele.2017.10.009}, doi = {10.1016/J.TELE.2017.10.009}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/SongKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeSKKKJ18, author = {Jin Hwan Lee and Jun{-}Young Song and Dae{-}Woo Kim and Jong{-}Wook Kim and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Particle Swarm Optimization Algorithm With Intelligent Particle Number Control for Optimal Design of Electric Machines}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {2}, pages = {1791--1798}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2760838}, doi = {10.1109/TIE.2017.2760838}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeSKKKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmicn/SongLK18, author = {Junghwan Song and Munyoung Lee and Ted "Taekyoung" Kwon}, editor = {Edmund Yeh and David Oran}, title = {{SMIC:} subflow-level multi-path interest control for information centric networking}, booktitle = {Proceedings of the 5th {ACM} Conference on Information-Centric Networking, {ICN} '18, Boston, Massachusetts, USA, September 21-23, 2018}, pages = {77--87}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3267955.3267971}, doi = {10.1145/3267955.3267971}, timestamp = {Wed, 29 May 2019 13:58:10 +0200}, biburl = {https://dblp.org/rec/conf/acmicn/SongLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LowWLSF18, author = {Jun En Low and Luke Thura Soe Win and Jiong Le Lee and Gim Song Soh and Shaohui Foong}, title = {Towards a Stable Three-Mode Transformable HOvering Rotorcraft {(THOR)}}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {492--497}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452702}, doi = {10.1109/AIM.2018.8452702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/LowWLSF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/KwonSCLP18, author = {Taewoong Kwon and Jungsuk Song and Sangsu Choi and Yunsoo Lee and Jinhak Park}, title = {{VISNU:} {A} Novel Visualization Methodology of Security Events Optimized for a Centralized {SOC}}, booktitle = {13th Asia Joint Conference on Information Security, AsiaJCIS 2018, Guilin, China, August 8-9, 2018}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/AsiaJCIS.2018.00010}, doi = {10.1109/ASIAJCIS.2018.00010}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/KwonSCLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ChoiLKLK18, author = {Junhyuk Choi and Song Joo Lee and Seung{-}Jong Kim and Jong Min Lee and Hyungmin Kim}, title = {Detecting voluntary gait initiation/termination intention using {EEG}}, booktitle = {6th International Conference on Brain-Computer Interface, {BCI} 2018, Gangwon, Korea (South), January 15-17, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IWW-BCI.2018.8311532}, doi = {10.1109/IWW-BCI.2018.8311532}, timestamp = {Tue, 22 Mar 2022 14:54:58 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ChoiLKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/SongLJLL18, author = {Yoon{-}Kyu Song and Jihun Lee and Jungwoo Jang and Chae{-}Eun Lee and Ah{-}Hyoung Lee}, title = {A neural recording microimplants with wireless data and energy transfer link}, booktitle = {6th International Conference on Brain-Computer Interface, {BCI} 2018, Gangwon, Korea (South), January 15-17, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IWW-BCI.2018.8311506}, doi = {10.1109/IWW-BCI.2018.8311506}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/SongLJLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/OhSCKLS18, author = {Changhoon Oh and Jungwoo Song and Jinhan Choi and Seonghyeon Kim and Sungwoo Lee and Bongwon Suh}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {I Lead, You Help but Only with Enough Details: Understanding User Experience of Co-Creation with Artificial Intelligence}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {649}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174223}, doi = {10.1145/3173574.3174223}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/OhSCKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WenZDBLHLCLMNWW18, author = {Longyin Wen and Pengfei Zhu and Dawei Du and Xiao Bian and Haibin Ling and Qinghua Hu and Chenfeng Liu and Hao Cheng and Xiaoyu Liu and Wenya Ma and Qinqin Nie and Haotian Wu and Lianjie Wang and Asanka G. Perera and Baochang Zhang and Byeongho Heo and Chunlei Liu and Dongdong Li and Emmanouil Michail and Hanlin Chen and Hao Liu and Haojie Li and Ioannis Kompatsiaris and Jian Cheng and Jiaqing Fan and Jie Zhang and Jin Young Choi and Jing Li and Jinyu Yang and Jongwon Choi and Juanping Zhao and Jungong Han and Kaihua Zhang and Kaiwen Duan and Ke Song and Konstantinos Avgerinakis and Kyuewang Lee and Lu Ding and Martin Lauer and Panagiotis Giannakeris and Peizhen Zhang and Qiang Wang and Qianqian Xu and Qingming Huang and Qingshan Liu and Robert Lagani{\`{e}}re and Ruixin Zhang and Sangdoo Yun and Shengyin Zhu and Sihang Wu and Stefanos Vrochidis and Wei Tian and Wei Zhang and Weidong Chen and Weiming Hu and Wenhao Wang and Wenhua Zhang and Wenrui Ding and Xiaohao He and Xiaotong Li and Xin Zhang and Xinbin Luo and Xixi Hu and Yang Meng and Yangliu Kuai and Yanyun Zhao and Yaxuan Li and Yifan Yang and Yifan Zhang and Yong Wang and Yuankai Qi and Zhipeng Deng and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {VisDrone-SOT2018: The Vision Meets Drone Single-Object Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {469--495}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_28}, doi = {10.1007/978-3-030-11021-5\_28}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WenZDBLHLCLMNWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimHSMKPYRYLCLL18, author = {Dae{-}Ub Kim and Kyeong{-}Eun Han and Jongtae Song and Sang{-}Rok Moon and Bup{-}Joong Kim and Chansung Park and Sanghwa Yoo and Yongwook Ra and JiWook Youn and Young{-}Wuk Lee and Junseok Choi and Jongmin Lim and Daehun Lim and Changhyun Kang and Kwangjoon Kim}, title = {Photonic Frame Based Packet Switching Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535297}, doi = {10.1109/ECOC.2018.8535297}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimHSMKPYRYLCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SongSL18, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee}, title = {Sum-Rate Maximization Under QoS Constraints for {WPCN} with User Cooperation}, booktitle = {{IEEE} Globecom Workshops, {GC} Wkshps 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOMW.2018.8644129}, doi = {10.1109/GLOCOMW.2018.8644129}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/SongSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ShinSYL18, author = {Han Sol Shin and Jee Ho Song and Tae Jun Yu and Kun Lee}, editor = {Aaron Marcus and Wentao Wang}, title = {Crack-Free Isosurface of Volumetric Scattered Data}, booktitle = {Design, User Experience, and Usability: Designing Interactions - 7th International Conference, {DUXU} 2018, Held as Part of {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10919}, pages = {327--337}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91803-7\_24}, doi = {10.1007/978-3-319-91803-7\_24}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/ShinSYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SongSYL18, author = {Jee Ho Song and Han Sol Shin and Tae Jun Yu and Kun Lee}, editor = {Constantine Stephanidis}, title = {User-Based Error Verification Method of Laser Beam Homogenizer}, booktitle = {{HCI} International 2018 - Posters' Extended Abstracts, 20th International Conference, {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {850}, pages = {206--210}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92270-6\_28}, doi = {10.1007/978-3-319-92270-6\_28}, timestamp = {Tue, 12 Sep 2023 07:57:19 +0200}, biburl = {https://dblp.org/rec/conf/hci/SongSYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/LeePMS18, author = {Seungchul Lee and Saumay Pushp and Chulhong Min and Junehwa Song}, title = {Exploring Relationship-aware Dynamic Message Screening for Mobile Messengers}, booktitle = {Proceedings of the 2018 {ACM} International Joint Conference and 2018 International Symposium on Pervasive and Ubiquitous Computing and Wearable Computers, UbiComp/ISWC 2018 Adjunct, Singapore, October 08-12, 2018}, pages = {134--137}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3267305.3267673}, doi = {10.1145/3267305.3267673}, timestamp = {Mon, 28 Aug 2023 21:17:48 +0200}, biburl = {https://dblp.org/rec/conf/huc/LeePMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-10/SongLYPKC18, author = {Joo{-}Yeop Song and Woomyo Lee and Jeong{-}Han Yun and Hyunjae Park and Sin{-}Kyu Kim and Young{-}June Choi}, editor = {Jason Staggs and Sujeet Shenoi}, title = {Generating Abnormal Industrial Control Network Traffic for Intrusion Detection System Testing}, booktitle = {Critical Infrastructure Protection {XII} - 12th {IFIP} {WG} 11.10 International Conference, {ICCIP} 2018, Arlington, VA, USA, March 12-14, 2018, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {542}, pages = {265--281}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04537-1\_14}, doi = {10.1007/978-3-030-04537-1\_14}, timestamp = {Fri, 21 Dec 2018 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/ifip11-10/SongLYPKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8-1/SongL18, author = {Junsup Song and Moonkun Lee}, editor = {Robert Andrei Buchmann and Dimitris Karagiannis and Marite Kirikova}, title = {A Composition Method to Model Collective Behavior}, booktitle = {The Practice of Enterprise Modeling - 11th {IFIP} {WG} 8.1. Working Conference, PoEM 2018, Vienna, Austria, October 31 - November 2, 2018, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {335}, pages = {121--137}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02302-7\_8}, doi = {10.1007/978-3-030-02302-7\_8}, timestamp = {Thu, 25 Oct 2018 12:37:22 +0200}, biburl = {https://dblp.org/rec/conf/ifip8-1/SongL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeCCKS18, author = {Joun Yeop Lee and Sung Jun Cheon and Byoung Jin Choi and Nam Soo Kim and Eunwoo Song}, editor = {B. Yegnanarayana}, title = {Acoustic Modeling Using Adversarially Trained Variational Recurrent Neural Network for Speech Synthesis}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {917--921}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1598}, doi = {10.21437/INTERSPEECH.2018-1598}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeCCKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/LeeS18, author = {Junghoon Lee and Daniel Y. Song}, editor = {Baowei Fei and Robert J. Webster III}, title = {CT-ultrasound deformable registration for PET-determined prostate brachytherapy}, booktitle = {Medical Imaging 2018: Image-Guided Procedures, Robotic Interventions, and Modeling, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10576}, pages = {105762Z}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293120}, doi = {10.1117/12.2293120}, timestamp = {Wed, 22 Feb 2023 21:12:03 +0100}, biburl = {https://dblp.org/rec/conf/miigp/LeeS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/KangKSLPSKCCHY18, author = {Jeehoon Kang and Yoonseung Kim and Youngju Song and Juneyoung Lee and Sanghoon Park and Mark Dongyeon Shin and Yonghyun Kim and Sungkeun Cho and Joonwon Choi and Chung{-}Kil Hur and Kwangkeun Yi}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {Crellvm: verified credible compilation for {LLVM}}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {631--645}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192377}, doi = {10.1145/3192366.3192377}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/KangKSLPSKCCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scn/CheonKLS18, author = {Jung Hee Cheon and Duhyeong Kim and Joohee Lee and Yongsoo Song}, editor = {Dario Catalano and Roberto De Prisco}, title = {Lizard: Cut Off the Tail! {A} Practical Post-quantum Public-Key Encryption from {LWE} and {LWR}}, booktitle = {Security and Cryptography for Networks - 11th International Conference, {SCN} 2018, Amalfi, Italy, September 5-7, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11035}, pages = {160--177}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-98113-0\_9}, doi = {10.1007/978-3-319-98113-0\_9}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scn/CheonKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HyunPYSLY18, author = {Dongmin Hyun and Chanyoung Park and Min{-}Chul Yang and Ilhyeon Song and Jung{-}Tae Lee and Hwanjo Yu}, editor = {Kevyn Collins{-}Thompson and Qiaozhu Mei and Brian D. Davison and Yiqun Liu and Emine Yilmaz}, title = {Review Sentiment-Guided Scalable Deep Recommender System}, booktitle = {The 41st International {ACM} {SIGIR} Conference on Research {\&} Development in Information Retrieval, {SIGIR} 2018, Ann Arbor, MI, USA, July 08-12, 2018}, pages = {965--968}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209978.3210111}, doi = {10.1145/3209978.3210111}, timestamp = {Wed, 16 Sep 2020 13:34:22 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HyunPYSLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/JungSJKYSCHLCC18, author = {Eunju Jung and Kwang Ho Song and Dongsik Jang and Jayoung Kim and Ilgeon Yoo and Bokyung Son and Jihyun Choi and Seo Jeong Hwang and So Yeon Lee and Youjin Chong and Eunmi Cho}, title = {The Beneficial Effects of an Autonomously Operated Engineering Education Outreach Program: {A} Case Study of the Servant Leadership Program}, booktitle = {{IEEE} International Conference on Teaching, Assessment, and Learning for Engineering, {TALE} 2018, Wollongong, Australia, December 4-7, 2018}, pages = {944--949}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TALE.2018.8615426}, doi = {10.1109/TALE.2018.8615426}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/tale/JungSJKYSCHLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JungSLJYK18, author = {Taesung Jung and Iickho Song and Seungwon Lee and Seungjae Jung and Seokho Yoon and Joonhyuk Kang}, title = {Cell Range Expansion with Geometric Information of Pico-Cell in Heterogeneous Networks}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCSpring.2018.8417616}, doi = {10.1109/VTCSPRING.2018.8417616}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/JungSLJYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/KimLKS18, author = {Mirae Kim and Jungkeol Lee and Youngil Kim and Yong Ho Song}, title = {An analysis of energy consumption under various memory mappings for FRAM-based IoT devices}, booktitle = {4th {IEEE} World Forum on Internet of Things, WF-IoT 2018, Singapore, February 5-8, 2018}, pages = {574--579}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WF-IoT.2018.8355212}, doi = {10.1109/WF-IOT.2018.8355212}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/wf-iot/KimLKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-08190, author = {Geonho Cha and Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Deep Pose Consensus Networks}, journal = {CoRR}, volume = {abs/1803.08190}, year = {2018}, url = {http://arxiv.org/abs/1803.08190}, eprinttype = {arXiv}, eprint = {1803.08190}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-08190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimSKLC18, author = {Andrey Kim and Yongsoo Song and Miran Kim and Keewoo Lee and Jung Hee Cheon}, title = {Logistic Regression Model Training based on the Approximate Homomorphic Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {254}, year = {2018}, url = {http://eprint.iacr.org/2018/254}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KimSKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LeeKKSSC18, author = {Joohee Lee and Dongwoo Kim and Duhyeong Kim and Yongsoo Song and Junbum Shin and Jung Hee Cheon}, title = {Instant Privacy-Preserving Biometric Authentication for Hamming Distance}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1214}, year = {2018}, url = {https://eprint.iacr.org/2018/1214}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/LeeKKSSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SongJALK17, author = {WonJun Song and Hyungjoon Jung and Jung Ho Ahn and Jae W. Lee and John Kim}, title = {Evaluation of Performance Unfairness in {NUMA} System Architecture}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {1}, pages = {26--29}, year = {2017}, url = {https://doi.org/10.1109/LCA.2016.2602876}, doi = {10.1109/LCA.2016.2602876}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/SongJALK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SongLKSHPLS17, author = {Youngchan Song and Hyunna Lee and Ho Chul Kang and Juneseuk Shin and Gil{-}Sun Hong and Seong Ho Park and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Interactive registration between supine and prone scans in computed tomography colonography using band-height images}, journal = {Comput. Biol. Medicine}, volume = {80}, pages = {124--136}, year = {2017}, url = {https://doi.org/10.1016/j.compbiomed.2016.11.020}, doi = {10.1016/J.COMPBIOMED.2016.11.020}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SongLKSHPLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/ChoLO17, author = {Jungchan Cho and Minsik Lee and Songhwai Oh}, title = {Single image 3D human pose estimation using a procrustean normal distribution mixture model and model transformation}, journal = {Comput. Vis. Image Underst.}, volume = {155}, pages = {150--161}, year = {2017}, url = {https://doi.org/10.1016/j.cviu.2016.11.002}, doi = {10.1016/J.CVIU.2016.11.002}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/ChoLO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/KongLKSS17, author = {Jun{-}Taek Kong and Jae{-}Woo Lee and Seong{-}Eun Kim and Seung{-}Jun Shin and Woo{-}Jin Song}, title = {Diffusion {LMS} algorithms with multi combination for distributed estimation: Formulation and performance analysis}, journal = {Digit. Signal Process.}, volume = {71}, pages = {117--130}, year = {2017}, url = {https://doi.org/10.1016/j.dsp.2017.09.004}, doi = {10.1016/J.DSP.2017.09.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/KongLKSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungLSY17, author = {Yong{-}An Jung and Yung{-}Lyul Lee and Hyoung{-}Kyu Song and Young{-}Hwan You}, title = {Fine Timing Offset Estimation Scheme for Baseband {OFDM} Power-Line Communication}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {2}, pages = {743--745}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.743}, doi = {10.1587/TRANSFUN.E100.A.743}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungLSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungLSY17a, author = {Yong{-}An Jung and Yung{-}Lyul Lee and Hyoung{-}Kyu Song and Young{-}Hwan You}, title = {Improved Symbol Timing Detection Scheme for OFDM-Based {DVB-T2}}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {5}, pages = {1271--1273}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.1271}, doi = {10.1587/TRANSFUN.E100.A.1271}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungLSY17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeCLS17, author = {Hui Jung Lee and Dong{-}Yoon Choi and Kyoung Won Lim and Byung Cheol Song}, title = {A Single Image Super-Resolution Algorithm Using Non-Local-Mean Self-Similarity and Noise-Robust Saliency Map}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {7}, pages = {1463--1474}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016EDP7291}, doi = {10.1587/TRANSINF.2016EDP7291}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeCLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/DashbalbarSLL17, author = {Amarmend Dashbalbar and Sang{-}Min Song and Jung{-}Won Lee and Byungjeong Lee}, title = {Towards Enacting a SPEM-based Test Process with Maturity Levels}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {2}, pages = {1217--1233}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.02.034}, doi = {10.3837/TIIS.2017.02.034}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/DashbalbarSLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongKLKY17, author = {Junseok Song and Kyung Tae Kim and Byung Jun Lee and Sang{-}Young Kim and Hee Yong Youn}, title = {A novel classification approach based on Na{\"{\i}}ve Bayes for Twitter sentiment analysis}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {6}, pages = {2996--3011}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.06.011}, doi = {10.3837/TIIS.2017.06.011}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SongKLKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenCLKCLWLLS17, author = {Shao{-}Wen Chen and Wan{-}June Chiu and Min{-}Song Lin and Feng{-}Jiun Kuo and Min{-}Lun Chai and Jin{-}Der Lee and Jong{-}Rong Wang and Hao{-}Tzu Lin and Wei{-}Keng Lin and Chunkuan Shih}, title = {1D and {Q2D} thermal resistance analysis of micro channel structure and flat plate heat pipe}, journal = {Microelectron. Reliab.}, volume = {72}, pages = {103--114}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.03.030}, doi = {10.1016/J.MICROREL.2017.03.030}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChenCLKCLWLLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/LeeCO17, author = {Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Procrustean Normal Distribution for Non-Rigid Structure from Motion}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {39}, number = {7}, pages = {1388--1400}, year = {2017}, url = {https://doi.org/10.1109/TPAMI.2016.2596720}, doi = {10.1109/TPAMI.2016.2596720}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/LeeCO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCKLSS17, author = {Juhyen Lee and Eun Jung Choi and Inwon Kim and Minhe Lee and Chinnadurai Satheeshkumar and Changsik Song}, title = {Tuning Sensory Properties of Triazole-Conjugated Spiropyrans: Metal-Ion Selectivity and Paper-Based Colorimetric Detection of Cyanide}, journal = {Sensors}, volume = {17}, number = {8}, pages = {1816}, year = {2017}, url = {https://doi.org/10.3390/s17081816}, doi = {10.3390/S17081816}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCKLSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinLSJ17, author = {Kyu{-}Sik Shin and Daesung Lee and Sang{-}Woo Song and Jae Pil Jung}, title = {Application of Surface Protective Coating to Enhance Environment-Withstanding Property of the {MEMS} 2D Wind Direction and Wind Speed Sensor}, journal = {Sensors}, volume = {17}, number = {9}, pages = {2152}, year = {2017}, url = {https://doi.org/10.3390/s17092152}, doi = {10.3390/S17092152}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinLSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongLLJ17, author = {Jung{-}Hwan Song and Kee Woong Lee and Woo{-}Kyung Lee and Chul{-}Ho Jung}, title = {High Resolution Full-Aperture {ISAR} Processing through Modified Doppler History Based Motion Compensation}, journal = {Sensors}, volume = {17}, number = {6}, pages = {1234}, year = {2017}, url = {https://doi.org/10.3390/s17061234}, doi = {10.3390/S17061234}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SongLLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/AhnLSS17, author = {Do{-}Chang Ahn and Jae{-}Woo Lee and Seung{-}Jun Shin and Woo{-}Jin Song}, title = {A new robust variable weighting coefficients diffusion {LMS} algorithm}, journal = {Signal Process.}, volume = {131}, pages = {300--306}, year = {2017}, url = {https://doi.org/10.1016/j.sigpro.2016.08.023}, doi = {10.1016/J.SIGPRO.2016.08.023}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/AhnLSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HwangSLK17, author = {Sewook Hwang and Junyoung Song and Yeonho Lee and Chulwoo Kim}, title = {A 1.62-5.4-Gb/s Receiver for DisplayPort Version 1.2a With Adaptive Equalization and Referenceless Frequency Acquisition Techniques}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {10}, pages = {2691--2702}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2695612}, doi = {10.1109/TCSI.2017.2695612}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/HwangSLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongLCB17, author = {Wonjong Song and Junan Lee and Nayeon Cho and Jinwook Burm}, title = {An Ultralow Power Time-Domain Temperature Sensor With Time-Domain Delta-Sigma {TDC}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {10}, pages = {1117--1121}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2015.2503717}, doi = {10.1109/TCSII.2015.2503717}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongLCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/HwangSCJCL17, author = {Jung Yeon Hwang and Boyeon Song and Daeseon Choi and Seung{-}Hun Jin and Hyun Sook Cho and Mun{-}Kyu Lee}, title = {Simplified small exponent test for batch verification}, journal = {Theor. Comput. Sci.}, volume = {662}, pages = {48--58}, year = {2017}, url = {https://doi.org/10.1016/j.tcs.2016.12.017}, doi = {10.1016/J.TCS.2016.12.017}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/HwangSCJCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/SongLKLKS17, author = {Hyunjoo Song and Jeongjin Lee and Tae Jung Kim and Kyoung Ho Lee and Bo Hyoung Kim and Jinwook Seo}, title = {GazeDx: Interactive Visual Analytics Framework for Comparative Gaze Analysis with Volumetric Medical Images}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {23}, number = {1}, pages = {311--320}, year = {2017}, url = {https://doi.org/10.1109/TVCG.2016.2598796}, doi = {10.1109/TVCG.2016.2598796}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/SongLKLKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SongLHK17, author = {Junyoung Song and Hyun{-}Woo Lee and Sewook Hwang and Chulwoo Kim}, title = {A 10 Gbits/s/pin DFE-Less Graphics {DRAM} Interface With Adaptive-Bandwidth {PLL} for Avoiding Noise Interference and {CIJ} Reduction Technique}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {344--353}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2580713}, doi = {10.1109/TVLSI.2016.2580713}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SongLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiWCYSL17, author = {Jun Li and Miaowen Wen and Xiang Cheng and Yier Yan and Sang Seob Song and Moon Ho Lee}, title = {Generalized Precoding-Aided Quadrature Spatial Modulation}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {66}, number = {2}, pages = {1881--1886}, year = {2017}, url = {https://doi.org/10.1109/TVT.2016.2565618}, doi = {10.1109/TVT.2016.2565618}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiWCYSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/JeonSLMJL17, author = {Younghyun Jeon and Chang{-}Ick Song and Sang{-}Rim Lee and Seungjoo Maeng and Jaehoon Jung and Inkyu Lee}, title = {New Beamforming Designs for Joint Spatial Division and Multiplexing in Large-Scale {MISO} Multi-User Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {16}, number = {5}, pages = {3029--3041}, year = {2017}, url = {https://doi.org/10.1109/TWC.2017.2673845}, doi = {10.1109/TWC.2017.2673845}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/JeonSLMJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/virology/ParkLKJK17, author = {Sung{-}Kyu Park and Song{-}Ha Lee and Taek{-}Young Kim and Hyo{-}Jung Jun and Tae{-}Sung Kim}, title = {A performance evaluation of information security training in public sector}, journal = {J. Comput. Virol. Hacking Tech.}, volume = {13}, number = {4}, pages = {289--296}, year = {2017}, url = {https://doi.org/10.1007/s11416-017-0305-7}, doi = {10.1007/S11416-017-0305-7}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/virology/ParkLKJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LiJYYSL17, author = {Jun Li and Xueqin Jiang and Yier Yan and Wenjun Yu and Sang Seob Song and Moon Ho Lee}, title = {Low Complexity Detection for Quadrature Spatial Modulation Systems}, journal = {Wirel. Pers. Commun.}, volume = {95}, number = {4}, pages = {4171--4183}, year = {2017}, url = {https://doi.org/10.1007/s11277-017-4057-y}, doi = {10.1007/S11277-017-4057-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LiJYYSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/LeeCCS17, author = {Younsu Lee and Sang{-}Soo Choi and Jangwon Choi and Jungsuk Song}, title = {A Lightweight Malware Classification Method Based on Detection Results of Anti-Virus Software}, booktitle = {12th Asia Joint Conference on Information Security, AsiaJCIS 2017, Seoul, South Korea, August 10-11, 2017}, pages = {5--9}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AsiaJCIS.2017.20}, doi = {10.1109/ASIAJCIS.2017.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/LeeCCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SongKJCALK17, author = {WonJun Song and Gwangsun Kim and Hyungjoon Jung and Jongwook Chung and Jung Ho Ahn and Jae W. Lee and John Kim}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {History-Based Arbitration for Fairness in Processor-Interconnect of {NUMA} Servers}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {765--777}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037753}, doi = {10.1145/3037697.3037753}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SongKJCALK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/BaeSLCJH17, author = {JaeHwui Bae and JinHyuk Song and Jewon Lee and Dong{-}Joon Choi and Joonyoung Jung and Namho Hur}, title = {Study on an efficient fractional frequency offset estimation for {OFDM} systems with roll-off period}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986238}, doi = {10.1109/BMSB.2017.7986238}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/BaeSLCJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/candc/LohLS17, author = {Zhide Loh and Jung{-}Joo Lee and Kee Hong Song}, editor = {David A. Shamma and Jude Yew and Brian P. Bailey}, title = {Long Live the Sensor! Designing with Energy Harvesting}, booktitle = {Proceedings of the 2017 {ACM} {SIGCHI} Conference on Creativity and Cognition, C{\&}C 2017, Singapore, June 27 - 30, 2017}, pages = {323--335}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3059454.3059488}, doi = {10.1145/3059454.3059488}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/candc/LohLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JungKSHLKS17, author = {Daekyoung Jung and Wonjae Kim and Hyunjoo Song and Jeongin Hwang and Bongshin Lee and Bo Hyoung Kim and Jinwook Seo}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {ChartSense: Interactive Data Extraction from Chart Images}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017}, pages = {6706--6717}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3025453.3025957}, doi = {10.1145/3025453.3025957}, timestamp = {Tue, 06 Nov 2018 16:58:42 +0100}, biburl = {https://dblp.org/rec/conf/chi/JungKSHLKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/SongLX17, author = {Yan Song and Chia{-}Jung Lee and Fei Xia}, editor = {Roger Levy and Lucia Specia}, title = {Learning Word Representations with Regularization from Prior Knowledge}, booktitle = {Proceedings of the 21st Conference on Computational Natural Language Learning (CoNLL 2017), Vancouver, Canada, August 3-4, 2017}, pages = {143--152}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/K17-1016}, doi = {10.18653/V1/K17-1016}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/conll/SongLX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/SongLKY17, author = {Byung Hoo Song and Byung Jun Lee and Kyung Tae Kim and Hee Yong Youn}, title = {Enhanced query processing using weighted predicate tree in edge computing environment}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2017, Helsinki, Finland, September 18-20, 2017}, pages = {48--53}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CSCN.2017.8088597}, doi = {10.1109/CSCN.2017.8088597}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cscn/SongLKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KangKHPLMLS17, author = {Bumsoo Kang and Wonjung Kim and Inseok Hwang and Chunjong Park and Seungchul Lee and Chulhong Min and Sung{-}Ju Lee and Junehwa Song}, editor = {Charlotte P. Lee and Steven E. Poltrock and Louise Barkhuus and Marcos Borges and Wendy A. Kellogg}, title = {Zaturi: Blending Hours Spent at Work and Hours Devoted to Children}, booktitle = {Proceedings of the 2017 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2017, Portland, OR, USA, February 25 - March 1, 2017, Companion Volume}, pages = {9--12}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3022198.3023275}, doi = {10.1145/3022198.3023275}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KangKHPLMLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KangMKHPLLS17, author = {Bumsoo Kang and Chulhong Min and Wonjung Kim and Inseok Hwang and Chunjong Park and Seungchul Lee and Sung{-}Ju Lee and Junehwa Song}, editor = {Charlotte P. Lee and Steven E. Poltrock and Louise Barkhuus and Marcos Borges and Wendy A. Kellogg}, title = {Zaturi: We Put Together the 25th Hour for You. Create a Book for Your Baby}, booktitle = {Proceedings of the 2017 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2017, Portland, OR, USA, February 25 - March 1, 2017}, pages = {1850--1863}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/2998181.2998186}, doi = {10.1145/2998181.2998186}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KangMKHPLLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/SongL17, author = {Yan Song and Chia{-}Jung Lee}, editor = {Mirella Lapata and Phil Blunsom and Alexander Koller}, title = {Learning User Embeddings from Emails}, booktitle = {Proceedings of the 15th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2017, Valencia, Spain, April 3-7, 2017, Volume 2: Short Papers}, pages = {733--738}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/e17-2116}, doi = {10.18653/V1/E17-2116}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/SongL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeHSJNKK17, author = {Ju Hyung Lee and Hyun Ki Hong and Byeong{-}Wook Song and Yu Jin Jung and Young Cheol Na and Nam Hyun Kim and Bong{-}Soo Kim}, title = {Preliminary study on Low Intensity Focused Ultrasound system For neuromodulation}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {4545--4548}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037867}, doi = {10.1109/EMBC.2017.8037867}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeHSJNKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKKSLKWY17, author = {Jiwon Lee and Minseo Kim and Kwantae Kim and Kiseok Song and Sanghoon Lee and Weon Kim and Jong Shin Woo and Hoi{-}Jun Yoo}, title = {An adaptive DC-balanced and multi-mode stimulator {IC} with 1G{\(\Omega\)} output impedance for compact electro-acupuncture system}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {1457--1460}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037109}, doi = {10.1109/EMBC.2017.8037109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeKKSLKWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SeoCPLBJLSSSSK17, author = {Jungmin Seo and Gwang Jin Choi and Sangwan Park and Jihun Lee and Changhoon Baek and Jungwoo Jang and Jaegook Lim and Soowon Shin and Kangmoon Seo and Jong{-}Mo Seo and Yoon{-}Kyu Song and Sung June Kim}, title = {Wireless navigation of pigeons using polymer-based fully implantable stimulator: {A} pilot study using depth electrodes}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {917--920}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8036974}, doi = {10.1109/EMBC.2017.8036974}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SeoCPLBJLSSSSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/er/SongRL17, author = {Junsup Song and Maryam Rahmani and Moonkun Lee}, editor = {Sergio de Cesare and Ulrich Frank}, title = {Behavior Ontology to Model Collective Behavior of Emergency Medical Systems}, booktitle = {Advances in Conceptual Modeling - {ER} 2017 Workshops AHA, MoBiD, MREBA, OntoCom, and QMMQ, Valencia, Spain, November 6-9, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10651}, pages = {5--15}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70625-2\_1}, doi = {10.1007/978-3-319-70625-2\_1}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/er/SongRL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimSBLLLHY17, author = {Kwantae Kim and Kiseok Song and Kyeongryeol Bong and Jaehyuk Lee and Kwonjoon Lee and Yongsu Lee and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A 24 {\(\mu\)}W 38.51 m{\(\Omega\)}rms resolution bio-impedance sensor with dual path instrumentation amplifier}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {223--226}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094566}, doi = {10.1109/ESSCIRC.2017.8094566}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimSBLLLHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SongSYL17, author = {Jee Ho Song and Han Sol Shin and Tae Jun Yu and Kun Lee}, editor = {Aaron Marcus and Wentao Wang}, title = {Laser Intensity Data Visualization for Laser Physics}, booktitle = {Design, User Experience, and Usability: Designing Pleasurable Experiences - 6th International Conference, {DUXU} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10289}, pages = {585--593}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58637-3\_46}, doi = {10.1007/978-3-319-58637-3\_46}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/SongSYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/OhSJL17, author = {Taeseok Oh and Chang{-}Ick Song and Jaehoon Jung and Inkyu Lee}, title = {A new {RF} beam training method for multi-user millimeter wave systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7997051}, doi = {10.1109/ICC.2017.7997051}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/OhSJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChouKKYCLMCBSMM17, author = {Namsun Chou and YooNa Kang and Ho{-}Seong Kang and Jeong{-}Dae Yun and Wonju Chun and Kyeong Jae Lee and HyunMin Moon and Ik{-}Kyu Choi and Donghak Byun and Inho Song and Dong{-}Jun Moon and Jin{-}Hee Moon and Byeong Han Lee and Jungsuk Kim and Sung{-}Keun You and Sohee Kim}, title = {Development of an intrafascicular neural interface for peripheral nerve implantation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {847--850}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009354}, doi = {10.1109/ICORR.2017.8009354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChouKKYCLMCBSMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongLKKKBS17, author = {Ju Yeon Hong and Wangjoo Lee and Bong{-}Su Kim and Min{-}Soo Kang and Jung{-}Bin Kim and Woo{-}Jin Byun and Myung Sun Song}, title = {Use of tractroid factor in deformed parabolic reflector antenna which transfers orbital angular momentum modes}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1229--1231}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190906}, doi = {10.1109/ICTC.2017.8190906}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongLKKKBS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip8-1/RahmaniSL17, author = {Maryam Rahmani and Junsup Song and Moonkun Lee}, editor = {Dominik Bork and Dimitris Karagiannis and Jan Vanthienen}, title = {{PRISM:} {A} Knowledge Engineering Tool to Model Collective Behaviors of Real-time IoT Systems}, booktitle = {Proceedings of the 1st International Workshop on Practicing Open Enterprise Modeling within OMiLAB (PrOse 2017) co-located with 10th {IFIP} {WG} 8.1 Working Conference on the Practice of Enterprise Modelling (PoEM 2017), Leuven, Belgium, November 22, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1999}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1999/paper1.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:10 +0100}, biburl = {https://dblp.org/rec/conf/ifip8-1/RahmaniSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/KimCCJJKLLLYCLC17, author = {Won Kim and Ahyoung Choi and Jaehyuk Choi and Ok{-}Ran Jeong and Yong Ju Jung and Sangwoo Kang and Joohyung Lee and Sang{-}Woong Lee and Woong{-}Kee Loh and Joon Yoo and Seongcheol Chung and Hyungchul Lee and Sungmi Chon and YoungCheol Jeon and Han Sook Kim and Jin{-}Whan Kim and Jung{-}Hun Lee and Youna Min and Geun{-}Sil Song and Sun Ok Yang}, editor = {Maria Indrawan{-}Santiago and Matthias Steinbauer and Ivan Luiz Salvadori and Ismail Khalil and Gabriele Anderst{-}Kotsis}, title = {Korea's software education initiative}, booktitle = {Proceedings of the 19th International Conference on Information Integration and Web-based Applications {\&} Services, iiWAS 2017, Salzburg, Austria, December 4-6, 2017}, pages = {553--557}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3151759.3151800}, doi = {10.1145/3151759.3151800}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/KimCCJJKLLLYCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/JeongJKKSRL17, author = {Hae{-}Duck J. Jeong and Gil{-}Seong Jeong and Won{-}Jung Kim and Jinwon Kim and Hanbin Song and Myeong{-}Un Ryu and Jongsuk R. Lee}, editor = {Leonard Barolli and Tomoya Enokido}, title = {A Search for Computationally Efficient Supervised Learning Algorithms of Anomalous Traffic}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {590--600}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_58}, doi = {10.1007/978-3-319-61542-4\_58}, timestamp = {Wed, 05 Jul 2017 12:58:46 +0200}, biburl = {https://dblp.org/rec/conf/imis/JeongJKKSRL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iqsp/SeoSASSKCKKKKKL17, author = {Wontaek Seo and Hoon Song and Jungkwuen An and Juwon Seo and Geeyoung Sung and Yun{-}Tae Kim and Chil{-}Sung Choi and Sunil Kim and Hojung Kim and Yongkyu Kim and Young Kim and Yunhee Kim and Hong{-}Seok Lee and Sungwoo Hwang}, editor = {Robin B. Jenkin and Elaine Jin}, title = {Image Quality Assessment for Holographic Display}, booktitle = {Image Quality and System Performance XIV, Electronic Imaging 2017, IQSP, Burlingame, CA, USA, 29 January - 2 February 2017}, pages = {186--190}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.12.IQSP-241}, doi = {10.2352/ISSN.2470-1173.2017.12.IQSP-241}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iqsp/SeoSASSKCKKKKKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/LeeHS17, author = {Jungwoo Lee and Hwangje Han and Minseok Song}, title = {Balancing Transcoding Against Quality-of-Experience to Limit Energy Consumption in Video-on-Demand Systems}, booktitle = {19th {IEEE} International Symposium on Multimedia, {ISM} 2017, Taichung, Taiwan, December 11-13, 2017}, pages = {334--337}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISM.2017.61}, doi = {10.1109/ISM.2017.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/LeeHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCBJSHK17, author = {Yeonho Lee and Yoonjae Choi and Sang{-}Geun Bae and Jaehun Jun and Junyoung Song and Sewook Hwang and Chulwoo Kim}, title = {29.5 12Gb/s over four balanced lines utilizing {NRZ} braid clock signaling with 100{\%} data payload and spread transition scheme for 8K {UHD} intra-panel interfaces}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {490--491}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870475}, doi = {10.1109/ISSCC.2017.7870475}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCBJSHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/LeeKSHDMRL17, author = {Juneyoung Lee and Yoonseung Kim and Youngju Song and Chung{-}Kil Hur and Sanjoy Das and David Majnemer and John Regehr and Nuno P. Lopes}, editor = {Albert Cohen and Martin T. Vechev}, title = {Taming undefined behavior in {LLVM}}, booktitle = {Proceedings of the 38th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2017, Barcelona, Spain, June 18-23, 2017}, pages = {633--647}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3062341.3062343}, doi = {10.1145/3062341.3062343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/LeeKSHDMRL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ram/TanGALLSF17, author = {Chee How Tan and Jake Tze Huan Goh and Wei Jun Ang and Jiong Le Lee and Ervine Shengwei Lin and Gim Song Soh and Shaohui Foong}, title = {Design and development of micro-aerial vehicle for tree inspections}, booktitle = {2017 {IEEE} International Conference on Cybernetics and Intelligent Systems {(CIS)} and {IEEE} Conference on Robotics, Automation and Mechatronics (RAM), Ningbo, China, November 19-21, 2017}, pages = {593--598}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCIS.2017.8274844}, doi = {10.1109/ICCIS.2017.8274844}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ram/TanGALLSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChangKSCLLOB17, author = {Jung{-}Woo Chang and Suk{-}Ju Kang and Min{-}Woo Seo and Song{-}Woo Choi and Sang{-}Lyn Lee and Ho{-}Chul Lee and Eui Yeol Oh and Jong{-}Sang Baek}, editor = {Diego Gutierrez and Hui Huang}, title = {Real-time temporal quality compensation technique for head mounted displays}, booktitle = {{SIGGRAPH} Asia 2017 Posters, Bangkok, Thailand, November 27 - 30, 2017}, pages = {5:1--5:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3145690.3145714}, doi = {10.1145/3145690.3145714}, timestamp = {Tue, 06 Nov 2018 16:58:04 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChangKSCLLOB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ShinJLJSJ17, author = {Dong{-}Hwan Shin and Sungho Jin and Seonghun Lee and Choong{-}Pyo Jeong and Younghwan Song and Woo{-}Young Jung}, title = {Multi-body dynamics simulations of high speed transfer robots disposed between presses}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {253--254}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992811}, doi = {10.1109/URAI.2017.7992811}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ShinJLJSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/SongWLLLLK17, author = {Ling{-}Yen Song and Chun Wang and Chien{-}Nan Jimmy Liu and Yun{-}Jing Lin and Meng{-}Jung Lee and Yu{-}Lan Lo and Shu{-}Yi Kao}, title = {Non-regression approach for the behavioral model generator in mixed-signal system verification}, booktitle = {2017 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2017, Abu Dhabi, United Arab Emirates, October 23-25, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-SoC.2017.8203462}, doi = {10.1109/VLSI-SOC.2017.8203462}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/SongWLLLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/SongL17, author = {Yan Song and Chia{-}Jung Lee}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {Embedding Projection for Query Understanding}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {839--840}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3054253}, doi = {10.1145/3041021.3054253}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/SongL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-02636, author = {Lei Deng and Yinghui He and Ying Zhang and Minghua Chen and Zongpeng Li and Jack Y. B. Lee and Ying Jun Zhang and Lingyang Song}, title = {Device-to-Device Load Balancing for Cellular Networks}, journal = {CoRR}, volume = {abs/1710.02636}, year = {2017}, url = {http://arxiv.org/abs/1710.02636}, eprinttype = {arXiv}, eprint = {1710.02636}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-02636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LeeKLBKCSJPC16, author = {Jun{-}Young Lee and Brian Byunghyun Kang and Dae{-}Young Lee and Sang{-}Min Baek and Woong{-}Bae Kim and Woo{-}Young Choi and Jeong{-}Ryul Song and Hyeong{-}Joon Joo and Daegeun Park and Kyu{-}Jin Cho}, title = {Development of a Multi-functional Soft Robot {(SNUMAX)} and Performance in RoboSoft Grand Challenge}, journal = {Frontiers Robotics {AI}}, volume = {3}, pages = {63}, year = {2016}, url = {https://doi.org/10.3389/frobt.2016.00063}, doi = {10.3389/FROBT.2016.00063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LeeKLBKCSJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/frma/JungL016, author = {Hyo Jung Jung and Keeheon Lee and Min Song}, title = {Examining Characteristics of Traditional and Twitter Citation}, journal = {Frontiers Res. Metrics Anal.}, volume = {1}, pages = {6}, year = {2016}, url = {https://doi.org/10.3389/frma.2016.00006}, doi = {10.3389/FRMA.2016.00006}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/frma/JungL016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LiWCYSL16, author = {Jun Li and Miaowen Wen and Xiang Cheng and Yier Yan and Sang Seob Song and Moon Ho Lee}, title = {Differential Spatial Modulation With Gray Coded Antenna Activation Order}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {6}, pages = {1100--1103}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2557801}, doi = {10.1109/LCOMM.2016.2557801}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LiWCYSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungKLS16, author = {Su{-}Hyun Jung and Young Min Ko and Seongjoo Lee and Hyoung{-}Kyu Song}, title = {Advanced Beamforming Scheme Using Power Control for IoT Applications in Batteryless Backscatter System}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {2}, pages = {656--659}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.656}, doi = {10.1587/TRANSFUN.E99.A.656}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ChoLO16, author = {Jungchan Cho and Minsik Lee and Songhwai Oh}, title = {Complex Non-rigid 3D Shape Recovery Using a Procrustean Normal Distribution Mixture Model}, journal = {Int. J. Comput. Vis.}, volume = {117}, number = {3}, pages = {226--246}, year = {2016}, url = {https://doi.org/10.1007/s11263-015-0860-7}, doi = {10.1007/S11263-015-0860-7}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ChoLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/SongLCLR16, author = {Hyunjoo Song and Yoon{-}Yim Lee and Jong{-}Yun Choi and Jun{-}Suk Lee and Dae Woong Rhee}, title = {A study on the elements of game development using the content of the Nuri curriculum}, journal = {Int. J. Comput. Vis. Robotics}, volume = {6}, number = {3}, pages = {267--275}, year = {2016}, url = {https://doi.org/10.1504/IJCVR.2016.077373}, doi = {10.1504/IJCVR.2016.077373}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/SongLCLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/PakAL0LS16, author = {Jung{-}Min Pak and Choon Ki Ahn and Chang Joo Lee and Peng Shi and Myo{-}Taeg Lim and Moon Kyou Song}, title = {Fuzzy horizon group shift {FIR} filtering for nonlinear systems with Takagi-Sugeno model}, journal = {Neurocomputing}, volume = {174}, pages = {1013--1020}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.10.029}, doi = {10.1016/J.NEUCOM.2015.10.029}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/PakAL0LS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/UmLKJSJ16, author = {Jung{-}Ho Um and Seungwoo Lee and Taehong Kim and Chang{-}Hoo Jeong and Sa{-}Kwang Song and Hanmin Jung}, title = {Semantic complex event processing model for reasoning research activities}, journal = {Neurocomputing}, volume = {209}, pages = {39--45}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.11.121}, doi = {10.1016/J.NEUCOM.2015.11.121}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/UmLKJSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/RyuLLKLYCAJ16, author = {Seon Young Ryu and Dong Chang Lee and Sang Bong Lee and Tae Woo Kim and Taek Jun Lee and Po Song Yang and Sung Woo Chung and Youngan An and Kyung{-}Sool Jang}, title = {Olfactory identification and white matter integrity in amnestic mild cognitive impairment: {A} preliminary study}, journal = {Int. J. Imaging Syst. Technol.}, volume = {26}, number = {4}, pages = {270--276}, year = {2016}, url = {https://doi.org/10.1002/ima.22198}, doi = {10.1002/IMA.22198}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/RyuLLKLYCAJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lht/KoSL16, author = {Young Man Ko and Min Sun Song and Seung Jun Lee}, title = {Construction of the structural definition-based terminology ontology system and semantic search evaluation}, journal = {Libr. Hi Tech}, volume = {34}, number = {4}, pages = {705--732}, year = {2016}, url = {https://doi.org/10.1108/LHT-08-2016-0090}, doi = {10.1108/LHT-08-2016-0090}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lht/KoSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/SongL16, author = {Ha Yoon Song and Jun Seok Lee}, title = {Finding a simple probability distribution for human mobile speed}, journal = {Pervasive Mob. Comput.}, volume = {25}, pages = {26--47}, year = {2016}, url = {https://doi.org/10.1016/j.pmcj.2015.10.015}, doi = {10.1016/J.PMCJ.2015.10.015}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/SongL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/LeeJS16, author = {Keeheon Lee and Hyo Jung Jung and Min Song}, title = {Subject-method topic network analysis in communication studies}, journal = {Scientometrics}, volume = {109}, number = {3}, pages = {1761--1787}, year = {2016}, url = {https://doi.org/10.1007/s11192-016-2135-7}, doi = {10.1007/S11192-016-2135-7}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/LeeJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/MinLYKHS16, author = {Chulhong Min and Youngki Lee and Chungkuk Yoo and Seungwoo Kang and Inseok Hwang and Junehwa Song}, title = {PowerForecaster: Predicting Power Impact of Mobile Sensing Applications at Pre-Installation Time}, journal = {GetMobile Mob. Comput. Commun.}, volume = {20}, number = {1}, pages = {30--33}, year = {2016}, url = {https://doi.org/10.1145/2972413.2972424}, doi = {10.1145/2972413.2972424}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/MinLYKHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/UmLKJSJ16, author = {Jung{-}Ho Um and Seungwoo Lee and Taehong Kim and Chang{-}Hoo Jeong and Sa{-}Kwang Song and Hanmin Jung}, title = {Distributed {RDF} store for efficient searching billions of triples based on Hadoop}, journal = {J. Supercomput.}, volume = {72}, number = {5}, pages = {1825--1840}, year = {2016}, url = {https://doi.org/10.1007/s11227-016-1670-6}, doi = {10.1007/S11227-016-1670-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/UmLKJSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LeeKMJHS16, author = {Youngki Lee and Seungwoo Kang and Chulhong Min and Younghyun Ju and Inseok Hwang and Junehwa Song}, title = {CoMon+: {A} Cooperative Context Monitoring System for Multi-Device Personal Sensing Environments}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {15}, number = {8}, pages = {1908--1924}, year = {2016}, url = {https://doi.org/10.1109/TMC.2015.2452900}, doi = {10.1109/TMC.2015.2452900}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LeeKMJHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/ChoiOPKMLHES16, author = {Woohyeok Choi and Jeungmin Oh and Taiwoo Park and Seongjun Kang and Miri Moon and Uichin Lee and Inseok Hwang and Darren Edge and Junehwa Song}, title = {Designing Interactive Multiswimmer Exergames: {A} Case Study}, journal = {{ACM} Trans. Sens. Networks}, volume = {12}, number = {3}, pages = {17:1--17:40}, year = {2016}, url = {https://doi.org/10.1145/2888399}, doi = {10.1145/2888399}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/ChoiOPKMLHES16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HwangSBLK16, author = {Sewook Hwang and Junyoung Song and Sang{-}Geun Bae and Yeonho Lee and Chulwoo Kim}, title = {An Add-On Type Real-Time Jitter Tolerance Enhancer for Digital Communication Receivers}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {3}, pages = {1092--1103}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2435026}, doi = {10.1109/TVLSI.2015.2435026}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HwangSBLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeCPKS16, author = {Eui{-}Hak Lee and Hwan{-}Jun Choi and Jong{-}Ho Paik and Dong{-}Sun Kim and Hyoung{-}Kyu Song}, title = {A Proposed {H-STCDD} Scheme in Cooperative Communication System}, journal = {Wirel. Pers. Commun.}, volume = {88}, number = {4}, pages = {1015--1025}, year = {2016}, url = {https://doi.org/10.1007/s11277-016-3227-7}, doi = {10.1007/S11277-016-3227-7}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LeeCPKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeOKLSKKKYHLPL16, author = {Dong{-}Soo Lee and SeongJin Oh and Sung{-}Jin Kim and CheolHo Lee and ChangHun Song and Jungyeon Kim and WooSeob Kim and HongJin Kim and Sang{-}Sun Yoo and Sukkyun Hong and Jeong{-}Woo Lee and YoungGun Pu and Kang{-}Yoon Lee}, title = {Low power {FSK} transceiver using {ADPLL} with direct modulation and integrated {SPDT} for {BLE} application}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {113--116}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844148}, doi = {10.1109/ASSCC.2016.7844148}, timestamp = {Mon, 04 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeOKLSKKKYHLPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/LeeMHKJNL16, author = {SeolHwa Lee and Andrew Matteson and Danial Hooshyar and SongHyun Kim and JaeBum Jung and GiChun Nam and Heuiseok Lim}, title = {Comparing Programming Language Comprehension between Novice and Expert Programmers Using {EEG} Analysis}, booktitle = {16th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2016, Taichung, Taiwan, October 31 - November 2, 2016}, pages = {350--355}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBE.2016.30}, doi = {10.1109/BIBE.2016.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/LeeMHKJNL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmcsn/SungLMLSY16, author = {Tien{-}Wen Sung and Chia{-}Jung Lee and Sheng{-}Hui Meng and Fu{-}Tian Lin and Yi{-}Chun Sun and Chu{-}Sing Yang}, title = {An Algorithm for Improving Network Throughput of Wireless Distribution System}, booktitle = {Third International Conference on Computing Measurement Control and Sensor Network, {CMCSN} 2016, Matsue, Japan, May 20-22, 2016}, pages = {178--181}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CMCSN.2016.41}, doi = {10.1109/CMCSN.2016.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cmcsn/SungLMLSY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/LeeSJC16, author = {Soo{-}Hwan Lee and Myeong{-}Uk Song and Jun{-}Kwon Jung and Tai{-}Myoung Chung}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {A Study of Malicious Code Classification System Using MinHash in Network Quarantine Using {SDN}}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {594--599}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_91}, doi = {10.1007/978-981-10-3023-9\_91}, timestamp = {Tue, 08 Oct 2019 14:37:27 +0200}, biburl = {https://dblp.org/rec/conf/csa2/LeeSJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KimJKHLKK16, author = {Nam Wook Kim and Jonghyuk Jung and Eun{-}Young Ko and Songyi Han and Chang Won Lee and Juho Kim and Jihee Kim}, editor = {Darren Gergle and Meredith Ringel Morris and Pernille Bj{\o}rn and Joseph A. Konstan}, title = {BudgetMap: Engaging Taxpayers in the Issue-Driven Classification of a Government Budget}, booktitle = {Proceedings of the 19th {ACM} Conference on Computer-Supported Cooperative Work {\&} Social Computing, {CSCW} 2016, San Francisco, CA, USA, February 27 - March 2, 2016}, pages = {1026--1037}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2818048.2820004}, doi = {10.1145/2818048.2820004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KimJKHLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeCO16, author = {Minsik Lee and Jungchan Cho and Songhwai Oh}, title = {Consensus of Non-rigid Reconstructions}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {4670--4678}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.505}, doi = {10.1109/CVPR.2016.505}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LeeCO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeEJNJR16, author = {Byungjae Lee and Enkhbayar Erdenee and SongGuo Jin and Mi Young Nam and Young Giu Jung and Phill{-}Kyu Rhee}, editor = {Gang Hua and Herv{\'{e}} J{\'{e}}gou}, title = {Multi-class Multi-object Tracking Using Changing Point Detection}, booktitle = {Computer Vision - {ECCV} 2016 Workshops - Amsterdam, The Netherlands, October 8-10 and 15-16, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9914}, pages = {68--83}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48881-3\_6}, doi = {10.1007/978-3-319-48881-3\_6}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/LeeEJNJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeSRY16, author = {Kwonjoon Lee and Kiseok Song and Taehwan Roh and Hoi{-}Jun Yoo}, title = {A fabric wrist patch sensor for continuous and comprehensive monitoring of the cardiovascular system}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {6070--6073}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7592113}, doi = {10.1109/EMBC.2016.7592113}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeSRY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SongCLKSL16, author = {Jiho Song and Junil Choi and Keonkook Lee and Taeyoung Kim and Ji{-}Yun Seol and David J. Love}, title = {Advanced Quantizer Designs for {FD-MIMO} Systems Using Uniform Planar Arrays}, booktitle = {2016 {IEEE} Global Communications Conference, {GLOBECOM} 2016, Washington, DC, USA, December 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GLOCOM.2016.7841572}, doi = {10.1109/GLOCOM.2016.7841572}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/SongCLKSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HongSKL16, author = {Jungkih Hong and Scott Song and Minseok Kim and Wonseok Lee}, editor = {Aaron Marcus}, title = {Service Modeling for Situation-Aware Communication Method Decision}, booktitle = {Design, User Experience, and Usability: Technological Contexts - 5th International Conference, {DUXU} 2016, Held as Part of {HCI} International 2016, Toronto, Canada, July 17-22, 2016, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9748}, pages = {36--44}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40406-6\_4}, doi = {10.1007/978-3-319-40406-6\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HongSKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ShinSYL16, author = {Han Sol Shin and Jee Ho Song and Tae Jun Yu and Kun Lee}, editor = {Aaron Marcus}, title = {Creative Interaction for Plasma Physics}, booktitle = {Design, User Experience, and Usability: Technological Contexts - 5th International Conference, {DUXU} 2016, Held as Part of {HCI} International 2016, Toronto, Canada, July 17-22, 2016, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9748}, pages = {214--222}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40406-6\_20}, doi = {10.1007/978-3-319-40406-6\_20}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ShinSYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/MinLLLKCKS16, author = {Chulhong Min and Seungchul Lee and Changhun Lee and Youngki Lee and Seungwoo Kang and Seungpyo Choi and Wonjung Kim and Junehwa Song}, editor = {Paul Lukowicz and Antonio Kr{\"{u}}ger and Andreas Bulling and Youn{-}Kyung Lim and Shwetak N. Patel}, title = {{PADA:} power-aware development assistant for mobile sensing applications}, booktitle = {Proceedings of the 2016 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp 2016, Heidelberg, Germany, September 12-16, 2016}, pages = {946--957}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2971648.2971676}, doi = {10.1145/2971648.2971676}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/MinLLLKCKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/MemonLS16, author = {Sufyan Ali Memon and Won Jun Lee and Taek Lyul Song}, title = {Efficient smoothing for multiple maneuvering targets in heavy clutter}, booktitle = {2016 International Conference on Control, Automation and Information Sciences (ICCAIS), Ansan, South Korea, October 27-29, 2016}, pages = {249--254}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCAIS.2016.7822469}, doi = {10.1109/ICCAIS.2016.7822469}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccais/MemonLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/SongSJLJC16, author = {Byung{-}hun Song and Junho Shin and Hana Jang and Yongkil Lee and Jongpil Jeong and Jun{-}Dong Cho}, editor = {De{-}Shuang Huang and Kyungsook Han and Abir Hussain}, title = {On Cross-Layer Based Handoff Scheme in Heterogeneous Mobile Networks}, booktitle = {Intelligent Computing Methodologies - 12th International Conference, {ICIC} 2016, Lanzhou, China, August 2-5, 2016, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9773}, pages = {482--493}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42297-8\_45}, doi = {10.1007/978-3-319-42297-8\_45}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/SongSJLJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/SongLSJL16, author = {Seungbeom Song and Jaiyong Lee and Kyuho Son and Hangyong Jung and Jihoon Lee}, title = {A congestion avoidance algorithm in {SDN} environment}, booktitle = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, pages = {420--423}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICOIN.2016.7427148}, doi = {10.1109/ICOIN.2016.7427148}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/SongLSJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimSL16, author = {Jung{-}Bin Kim and Myung Sun Song and In{-}Ho Lee}, title = {Achievable rate of best relay selection for non-orthogonal multiple access-based cooperative relaying systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {960--962}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763340}, doi = {10.1109/ICTC.2016.7763340}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/Wangjoo-LeeKS16, author = {Wangjoo{-}Lee and Jung{-}Bin Kim and Myung Sun Song}, title = {Experimental results of triply multiplexed microwave orbital angular momentum mode transmission}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {765--767}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763290}, doi = {10.1109/ICTC.2016.7763290}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/Wangjoo-LeeKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JungL16, author = {Song Jung and Sangwon Lee}, title = {Developing a Model for Continuous User Engagement in Social Media}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {19:1--19:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857566}, doi = {10.1145/2857546.2857566}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/JungL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/DehghanLLSFP16, author = {Ehsan Dehghan and Yi Le and Junghoon Lee and Daniel Y. Song and Gabor Fichtinger and Jerry L. Prince}, title = {{CT} and {MRI} fusion for postimplant prostate brachytherapy evaluation}, booktitle = {13th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2016, Prague, Czech Republic, April 13-16, 2016}, pages = {625--628}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISBI.2016.7493345}, doi = {10.1109/ISBI.2016.7493345}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/isbi/DehghanLLSFP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/LeeS16, author = {Junwoo Lee and Minseok Song}, title = {Cache Management for Video Servers by the Combined Use of {DRAM} and {SSD}}, booktitle = {{IEEE} International Symposium on Multimedia, {ISM} 2016, San Jose, CA, USA, December 11-13, 2016}, pages = {537--540}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISM.2016.0118}, doi = {10.1109/ISM.2016.0118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/LeeS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRPKJYBCKLKK16, author = {Taejoong Song and Woojin Rim and Sunghyun Park and Yongho Kim and Jonghoon Jung and Giyong Yang and Sanghoon Baek and Jaeseung Choi and Bongjae Kwon and Yunwoo Lee and Sungbong Kim and Gyu{-}Hong Kim and Hyo{-}Sig Won and Ja{-}Hum Ku and Sunhom Steve Paak and E. S. Jung and Steve Sungho Park and Kinam Kim}, title = {17.1 {A} 10nm FinFET 128Mb {SRAM} with assist adjustment system for power, performance, and area optimization}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {306--307}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418029}, doi = {10.1109/ISSCC.2016.7418029}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRPKJYBCKLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanakaHVGKPYPEG16, author = {Tomoharu Tanaka and Mark Helm and Tommaso Vali and Ramin Ghodsi and Koichi Kawai and Jae{-}Kwan Park and Shigekazu Yamada and Feng Pan and Yuichi Einaga and Ali Ghalam and Toru Tanzawa and Jason Guo and Takaaki Ichikawa and Erwin Yu and Satoru Tamada and Tetsuji Manabe and Jiro Kishimoto and Yoko Oikawa and Yasuhiro Takashima and Hidehiko Kuge and Midori Morooka and Ali Mohammadzadeh and Jong Kang and Jeff Tsai and Emanuele Sirizotti and Eric Lee and Luyen Vu and Yuxing Liu and Hoon Choi and Kwonsu Cheon and Daesik Song and Daniel Shin and Jung Hee Yun and Michele Piccardi and Kim{-}Fung Chan and Yogesh Luthra and Dheeraj Srinivasan and Srinivasarao Deshmukh and Kalyan Kavalipurapu and Dan Nguyen and Girolamo Gallo and Sumant Ramprasad and Michelle Luo and Qiang Tang and Michele Incarnati and Agostino Macerola and Luigi Pilolli and Luca De Santis and Massimo Rossini and Violante Moschiano and Giovanni Santin and Bernardino Tronca and Hyunseok Lee and Vipul Patel and Ted Pekny and Aaron Yip and Naveen Prabhu and Purval Sule and Trupti Bemalkhedkar and Kiranmayee Upadhyayula and Camila Jaramillo}, title = {7.7 {A} 768Gb 3b/cell 3D-floating-gate {NAND} flash memory}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {142--144}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417947}, doi = {10.1109/ISSCC.2016.7417947}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TanakaHVGKPYPEG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YoonJKKLKSKHKKL16, author = {Young Jun Yoon and Byung Deuk Jeon and Byung Soo Kim and Ki Up Kim and Tae Yong Lee and Nohhyup Kwak and Woo{-}Yeol Shin and Na Yeon Kim and Yunseok Hong and Kyeong Pil Kang and Dong Yoon Ka and Seong Ju Lee and Yong Sun Kim and Young Kyu Noh and Jaehoon Kim and Dong Keum Kang and Ho Uk Song and Hyeon Gon Kim and Jonghoon Oh}, title = {18.4 An 1.1V 68.2GB/s 8Gb Wide-IO2 {DRAM} with non-contact microbump {I/O} test scheme}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {320--322}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418036}, doi = {10.1109/ISSCC.2016.7418036}, timestamp = {Sun, 10 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YoonJKKLKSKHKKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/ParkSL16, author = {Seyoun Park and Danny Y. Song and Junghoon Lee}, editor = {Robert J. Webster III and Ziv R. Yaniv}, title = {Deformable registration of x-ray to {MRI} for post-implant dosimetry in prostate brachytherapy}, booktitle = {Medical Imaging 2016: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, California, United States, 27 February - 3 March 2016}, series = {{SPIE} Proceedings}, volume = {9786}, pages = {97860L}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2216911}, doi = {10.1117/12.2216911}, timestamp = {Wed, 22 Feb 2023 21:12:03 +0100}, biburl = {https://dblp.org/rec/conf/miigp/ParkSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeYSHLYK16, author = {Yeon{-}Chang Lee and Jungwan Yeom and Kiburm Song and Jiwoon Ha and Kichun Lee and Jangho Yeo and Sang{-}Wook Kim}, title = {Recommendation of research papers in DBpia: {A} Hybrid approach exploiting content and collaborative data}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {2966--2971}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844691}, doi = {10.1109/SMC.2016.7844691}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeYSHLYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSSWCKKKKJKH16, author = {Sangheon Lee and Jeonghwan Song and Changhyuk Seong and Jiyong Woo and Jong{-}Moon Choi and Soon{-}Chan Kwon and Ho{-}Joon Kim and Hyun{-}Suk Kang and Soo Gil Kim and Hoe Gwon Jung and Kee{-}Won Kwon and Hyunsang Hwang}, title = {Full chip integration of 3-d cross-point ReRAM with leakage-compensating write driver and disturbance-aware sense amplifier}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573503}, doi = {10.1109/VLSIC.2016.7573503}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSSWCKKKKJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/SongSL16, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee}, title = {Interference Alignment Based on Alamouti Code for {M} x 2 {X} Channels with Multiple Antennas}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VTCSpring.2016.7504294}, doi = {10.1109/VTCSPRING.2016.7504294}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/SongSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/CheonKLS16, author = {Jung Hee Cheon and Duhyeong Kim and Joohee Lee and Yong Soo Song}, title = {Lizard: Cut off the Tail! // Practical Post-Quantum Public-Key Encryption from {LWE} and {LWR}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1126}, year = {2016}, url = {http://eprint.iacr.org/2016/1126}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/CheonKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/SongJLTKY15, author = {Mee Song and Euna Jeong and Tae{-}Kyu Lee and Yury Tsoy and Yong{-}Jun Kwon and Sukjoon Yoon}, title = {Analysis of image-based phenotypic parameters for high throughput gene perturbation assays}, journal = {Comput. Biol. Chem.}, volume = {58}, pages = {192--198}, year = {2015}, url = {https://doi.org/10.1016/j.compbiolchem.2015.07.005}, doi = {10.1016/J.COMPBIOLCHEM.2015.07.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/SongJLTKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LeeSCPKKC15, author = {Munyoung Lee and Junghwan Song and Kideok Cho and Sangheon Pack and Ted Taekyoung Kwon and Jussi Kangasharju and Yanghee Choi}, title = {Content discovery for information-centric networking}, journal = {Comput. Networks}, volume = {83}, pages = {1--14}, year = {2015}, url = {https://doi.org/10.1016/j.comnet.2014.10.006}, doi = {10.1016/J.COMNET.2014.10.006}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/LeeSCPKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/LiSGL15, author = {Jun Li and Sang Seob Song and Ying Guo and Moon Lee}, title = {Joint optimization of source and relay precoding for {AF} {MIMO} relay systems}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2015}, pages = {175}, year = {2015}, url = {https://doi.org/10.1186/s13638-015-0377-3}, doi = {10.1186/S13638-015-0377-3}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/LiSGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiLBYS15, author = {Sung{-}Bok Choi and Eui{-}Hak Lee and Jung{-}In Baik and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Cooperative Communication Using the {DF} Protocol in the Hierarchical Modulation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {98-A}, number = {9}, pages = {1990--1994}, year = {2015}, url = {https://doi.org/10.1587/transfun.E98.A.1990}, doi = {10.1587/TRANSFUN.E98.A.1990}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiLBYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SongLKKKC15, author = {Jungsuk Song and Younsu Lee and Kyuil Kim and Seokhun Kim and Sookyun Kim and Sang{-}Soo Choi}, title = {Automated Verification Methodology of Security Events Based on Heuristic Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {817918:1--817918:10}, year = {2015}, url = {https://doi.org/10.1155/2015/817918}, doi = {10.1155/2015/817918}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SongLKKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/LeeCS15, author = {Hui Jung Lee and Dong{-}Yoon Choi and Byung Cheol Song}, title = {Learning-based superresolution algorithm using quantized pattern and bimodal postprocessing for text images}, journal = {J. Electronic Imaging}, volume = {24}, number = {6}, pages = {063011}, year = {2015}, url = {https://doi.org/10.1117/1.JEI.24.6.063011}, doi = {10.1117/1.JEI.24.6.063011}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/LeeCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSBLJKKCSKPK15, author = {Hyunbae Lee and Taeksang Song and Sangyeon Byeon and Kwanghun Lee and Inhwa Jung and Seongjin Kang and Ohkyu Kwon and Koeun Cheon and Donghwan Seol and Jong{-}Ho Kang and Gunwoo Park and Yunsaing Kim}, title = {A 16.8 Gbps/Channel Single-Ended Transceiver in 65 nm {CMOS} for SiP-Based {DRAM} Interface on Si-Carrier Channel}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2613--2624}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2466469}, doi = {10.1109/JSSC.2015.2466469}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSBLJKKCSKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLKSPKHJLCSY15, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Namkyu Jang and Jaemo Yang and Haekang Jung and Joohwan Cho and Hyeongon Kim and Jinkook Kim}, title = {A 1.1 {V} 2y-nm 4.35 Gb/s/pin 8 Gb {LPDDR4} Mobile Device With Bandwidth Improvement Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1945--1959}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2429588}, doi = {10.1109/JSSC.2015.2429588}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongLKSPKHJLCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkSLLR15, author = {Simon Soon{-}Hyoung Park and Justin JongSu Song and James Jung{-}Hoon Lee and Wookey Lee and Sangbok Ree}, title = {How to measure similarity for multiple categorical data sets?}, journal = {Multim. Tools Appl.}, volume = {74}, number = {10}, pages = {3489--3505}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-1914-5}, doi = {10.1007/S11042-014-1914-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkSLLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/SongCL15, author = {Chang{-}Woo Song and Kyung{-}Yong Chung and Jung{-}Hyun Lee}, title = {Catching up faster data in digital crime using mobile devices}, journal = {Multim. Tools Appl.}, volume = {74}, number = {20}, pages = {9007--9016}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1725-0}, doi = {10.1007/S11042-013-1725-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/SongCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/ChoLNPS15, author = {Dae{-}Ki Cho and Uichin Lee and Youngtae Noh and Taiwoo Park and Junehwa Song}, title = {PlaceWalker: An energy-efficient place logging method that considers kinematics of normal human walking}, journal = {Pervasive Mob. Comput.}, volume = {19}, pages = {24--36}, year = {2015}, url = {https://doi.org/10.1016/j.pmcj.2014.04.001}, doi = {10.1016/J.PMCJ.2014.04.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/ChoLNPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeSIIHJ15, author = {Byunghyun Lee and Jong{-}Hwa Song and Jun{-}Hyuck Im and Sunghyuck Im and Moonbeom Heo and Gyu{-}In Jee}, title = {{GPS/DR} Error Estimation for Autonomous Vehicle Localization}, journal = {Sensors}, volume = {15}, number = {8}, pages = {20779--20798}, year = {2015}, url = {https://doi.org/10.3390/s150820779}, doi = {10.3390/S150820779}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeSIIHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeJS15, author = {Geunyong Lee and Jonghun Jung and Jong{-}In Song}, title = {A Multiband Power Amplifier With a Reconfigurable Output-Matching Network for 10-MHz {BW} {LTE} Mobile Phone Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {6}, pages = {558--562}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2407775}, doi = {10.1109/TCSII.2015.2407775}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeePSKEKB15, author = {Junan Lee and Himchan Park and Bongsub Song and Kiwoon Kim and Jaeha Eom and Kyunghoon Kim and Jinwook Burm}, title = {High Frame-Rate {VGA} {CMOS} Image Sensor Using Non-Memory Capacitor Two-Step Single-Slope ADCs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {9}, pages = {2147--2155}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2015.2451791}, doi = {10.1109/TCSI.2015.2451791}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeePSKEKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLLLPJLYB15, author = {Jae{-}hee Kim and Byung{-}Song Lee and Junho Lee and Seung{-}Hwan Lee and Chan{-}Bae Park and Shin{-}Myung Jung and Soo{-}Gil Lee and Kyung{-}Pyo Yi and Jeihoon Baek}, title = {Development of 1-MW Inductive Power Transfer System for a High-Speed Train}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {10}, pages = {6242--6250}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2417122}, doi = {10.1109/TIE.2015.2417122}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLLLPJLYB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YuLS15, author = {Seung{-}Jun Yu and Eui{-}Young Lee and Hyoung{-}Kyu Song}, title = {A Combination of {STBC} and {SM} Scheme with Iterative Detection in {LTE} Systems}, journal = {Wirel. Pers. Commun.}, volume = {83}, number = {2}, pages = {1203--1211}, year = {2015}, url = {https://doi.org/10.1007/s11277-015-2445-8}, doi = {10.1007/S11277-015-2445-8}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/YuLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/LeeSCP15, author = {JunHwan Lee and Youngseog Song and Eunyoung Choi and Juho Park}, title = {mmWave cellular mobile communication for Giga Korea 5G project}, booktitle = {21st Asia-Pacific Conference on Communications, {APCC} 2015, Kyoto, Japan, October 14-16, 2015}, pages = {179--183}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APCC.2015.7412507}, doi = {10.1109/APCC.2015.7412507}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/apcc/LeeSCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LiYWSL15, author = {Jun Li and Yier Yan and Duan Wei and Sang Seob Song and Moon Ho Lee}, title = {Tensor decomposition of Toeplitz Jacket matrices for big data processing}, booktitle = {2015 International Conference on Big Data and Smart Computing, {BIGCOMP} 2015, Jeju, South Korea, February 9-11, 2015}, pages = {11--14}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/35021BIGCOMP.2015.7072840}, doi = {10.1109/35021BIGCOMP.2015.7072840}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/LiYWSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/SongJKLCCCY15, author = {Min{-}Ho Song and Ji{-}Sung Jung and Mihye Kim and Sangho Lee and Sung Soo Choi and Eun{-}Suk Choi and Doung Chankhihort and Kwan{-}Hee Yoo}, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {An Ontology Navigation System for 3D Spinal Model}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {277--279}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060.2837115}, doi = {10.1145/2837060.2837115}, timestamp = {Sun, 19 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/SongJKLCCCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KangBKPLJPKLS15, author = {Hyun gil Kang and Sua Bae and Pilsu Kim and Jiwon Park and Gunho Lee and Woojin Jung and Minsuk Park and Kang{-}sik Kim and Wooyoul Lee and Tai{-}Kyong Song}, title = {Column-based micro-beamformer for improved 2D beamforming using a matrix array transducer}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BioCAS.2015.7348450}, doi = {10.1109/BIOCAS.2015.7348450}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KangBKPLJPKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/LeeHKS15, author = {JungSeung Lee and HyoungMin Ham and InHwan Kim and JooSeok Song}, editor = {Indrajit Ray and Ninghui Li and Christopher Kruegel}, title = {{POSTER:} Page Table Manipulation Attack}, booktitle = {Proceedings of the 22nd {ACM} {SIGSAC} Conference on Computer and Communications Security, Denver, CO, USA, October 12-16, 2015}, pages = {1644--1646}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2810103.2810121}, doi = {10.1145/2810103.2810121}, timestamp = {Tue, 10 Nov 2020 19:58:09 +0100}, biburl = {https://dblp.org/rec/conf/ccs/LeeHKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/LeePBS15, author = {JungSeung Lee and Han Park and GyeongYong Bang and JooSeok Song}, editor = {Indrajit Ray and Ninghui Li and Christopher Kruegel}, title = {{POSTER:} {A} Password-based Authentication by Splitting Roles of User Interface}, booktitle = {Proceedings of the 22nd {ACM} {SIGSAC} Conference on Computer and Communications Security, Denver, CO, USA, October 12-16, 2015}, pages = {1641--1643}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2810103.2810107}, doi = {10.1145/2810103.2810107}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/LeePBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/SongLLJ15, author = {Seokhwa Song and JaeSeung Lee and Jaesik Lee and Moon{-}Seog Jun}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {User Authentication Method Design Based on Biometrics in a Multi-cloud Environment}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {283--288}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_41}, doi = {10.1007/978-981-10-0281-6\_41}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csa2/SongLLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KangLOKHS15, author = {Bumsoo Kang and Sujin Lee and Alice Oh and Seungwoo Kang and Inseok Hwang and Junehwa Song}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {Towards Understanding Relational Orientation: Attachment Theory and Facebook Activities}, booktitle = {Proceedings of the 18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {1404--1415}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2675133.2675211}, doi = {10.1145/2675133.2675211}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KangLOKHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KoYLHJLSYSC15, author = {Minsam Ko and Subin Yang and Joonwon Lee and Christian Heizmann and Jinyoung Jeong and Uichin Lee and Daehee Shin and Koji Yatani and Junehwa Song and Kyong{-}Mee Chung}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {{NUGU:} {A} Group-based Intervention App for Improving Self-Regulation of Limiting Smartphone Use}, booktitle = {Proceedings of the 18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {1235--1245}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2675133.2675244}, doi = {10.1145/2675133.2675244}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KoYLHJLSYSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/SungALKSSKSCC15, author = {Geeyoung Sung and Jungkwuen An and Hong{-}Seok Lee and Sunil Kim and Hoon Song and Juwon Seo and Hojung Kim and Wontaek Seo and Chil{-}Sung Choi and U{-}In Chung}, editor = {Nicolas S. Holliman and Andrew J. Woods and Gregg E. Favalora and Takashi Kawai}, title = {Enhancement of the effective viewing window for holographic display with amplitude-only {SLM}}, booktitle = {Stereoscopic Displays and Applications XXVI, San Francisco, California, USA, February 8-12, 2015}, series = {{SPIE} Proceedings}, volume = {9391}, pages = {939102}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2082768}, doi = {10.1117/12.2082768}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/SungALKSSKSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JungL15, author = {Song Jung and Sangwon Lee}, editor = {Constantine Stephanidis}, title = {Development of a Research Framework to Elicit the Optimal Level of Users' Functional Intervention}, booktitle = {{HCI} International 2015 - Posters' Extended Abstracts - International Conference, {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {528}, pages = {123--127}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21380-4\_22}, doi = {10.1007/978-3-319-21380-4\_22}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/JungL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/MinYHKLLPLCS15, author = {Chulhong Min and Chungkuk Yoo and Inseok Hwang and Seungwoo Kang and Youngki Lee and Seungchul Lee and Pillsoon Park and Changhun Lee and Seungpyo Choi and Junehwa Song}, editor = {Kenji Mase and Marc Langheinrich and Daniel Gatica{-}Perez and Hans Gellersen and Tanzeem Choudhury and Koji Yatani}, title = {Sandra helps you learn: the more you walk, the more battery your phone drains}, booktitle = {Proceedings of the 2015 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp 2015, Osaka, Japan, September 7-11, 2015}, pages = {421--432}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2750858.2807553}, doi = {10.1145/2750858.2807553}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/MinYHKLLPLCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/LeeSJK15, author = {Munyoung Lee and Jung Hwan Song and Jaehoon Jeong and Ted Taekyoung Kwon}, title = {{DOVE:} Data Offloading through Spatio-Temporal Rendezvous in Vehicular Networks}, booktitle = {24th International Conference on Computer Communication and Networks, {ICCCN} 2015, Las Vegas, NV, USA, August 3-6, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCCN.2015.7288400}, doi = {10.1109/ICCCN.2015.7288400}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccn/LeeSJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongS015, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee}, title = {Interference alignment based on stacked Alamouti code for 2{\texttimes}2 {X} channels with multiple antennas}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {822--825}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354675}, doi = {10.1109/ICTC.2015.7354675}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongS015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063105}, doi = {10.1109/ISSCC.2015.7063105}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PyoSLBKKSKOLLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLKHK15, author = {Junyoung Song and Hyun{-}Woo Lee and Jayoung Kim and Sewook Hwang and Chulwoo Kim}, title = {17.6 1V 10Gb/s/pin single-ended transceiver with controllable active-inductor-based driver and adaptively calibrated cascade-DFE for post-LPDDR4 interfaces}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063055}, doi = {10.1109/ISSCC.2015.7063055}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLKHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunSJCLKKCJC15, author = {Won{-}Joo Yun and Indal Song and Hanki Jeoung and Hundai Choi and Seok{-}Ho Lee and Jun{-}Bae Kim and Chi{-}Wook Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {17.7 {A} digital {DLL} with hybrid {DCC} using 2-step duty error extraction and 180{\textdegree} phase aligner for 2.67Gb/S/pin 16Gb 4-H stack {DDR4} {SDRAM} with TSVs}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063056}, doi = {10.1109/ISSCC.2015.7063056}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunSJCLKKCJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/DengZCLLZS15, author = {Lei Deng and Ying Zhang and Minghua Chen and Zongpeng Li and Jack Y. B. Lee and Ying Jun Zhang and Lingyang Song}, title = {Device-to-Device Load Balancing for Cellular Networks}, booktitle = {12th {IEEE} International Conference on Mobile Ad Hoc and Sensor Systems, {MASS} 2015, Dallas, TX, USA, October 19-22, 2015}, pages = {19--27}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/MASS.2015.25}, doi = {10.1109/MASS.2015.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/DengZCLLZS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/JungPSJKL15, author = {Hyesil Jung and Hyeoun{-}Ae Park and Tae{-}Min Song and Eunjoo Jeon and Ae Ran Kim and Joo Yun Lee}, editor = {Indra Neil Sarkar and Andrew Georgiou and Paulo Mazzoncini de Azevedo Marques}, title = {Development of an Adolescent Depression Ontology for Analyzing Social Data}, booktitle = {{MEDINFO} 2015: eHealth-enabled Health - Proceedings of the 15th World Congress on Health and Biomedical Informatics, S{\~{a}}o Paulo, Brazil, 19-23 August 2015}, series = {Studies in Health Technology and Informatics}, volume = {216}, pages = {1099}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-564-7-1099}, doi = {10.3233/978-1-61499-564-7-1099}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/JungPSJKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/MinLYKCPHJCS15, author = {Chulhong Min and Youngki Lee and Chungkuk Yoo and Seungwoo Kang and Sangwon Choi and Pillsoon Park and Inseok Hwang and Younghyun Ju and Seungpyo Choi and Junehwa Song}, editor = {Junehwa Song and Tarek F. Abdelzaher and Cecilia Mascolo}, title = {PowerForecaster: Predicting Smartphone Power Impact of Continuous Sensing Applications at Pre-installation Time}, booktitle = {Proceedings of the 13th {ACM} Conference on Embedded Networked Sensor Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015}, pages = {31--44}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2809695.2809728}, doi = {10.1145/2809695.2809728}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/MinLYKCPHJCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/MinYCPLLCKLHJS15, author = {Chulhong Min and Chungkuk Yoo and Sangwon Choi and Pillsoon Park and Seungchul Lee and Changhun Lee and Seungpyo Choi and Seungwoo Kang and Youngki Lee and Inseok Hwang and Younghyun Ju and Junehwa Song}, editor = {Junehwa Song and Tarek F. Abdelzaher and Cecilia Mascolo}, title = {Demo: User Support for Power Management of Continuous Sensing Applications}, booktitle = {Proceedings of the 13th {ACM} Conference on Embedded Networked Sensor Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015}, pages = {493--494}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2809695.2817870}, doi = {10.1145/2809695.2817870}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/MinYCPLLCKLHJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JungYLKLSSLSKKK15, author = {Hae{-}Kang Jung and Jaemo Yang and Jeonghun Lee and Hyeongjun Ko and Hyuk Lee and Taeksang Song and Jongjoo Shim and Sangkwon Lee and Keunsoo Song and Dongkyun Kim and Hyungsoo Kim and Yunsaing Kim}, title = {A 4.35Gb/s/pin {LPDDR4} {I/O} interface with multi-VOH level, equalization scheme, and duty-training circuit for mobile applications}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {184}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231255}, doi = {10.1109/VLSIC.2015.7231255}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JungYLKLSSLSKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSY15, author = {Yongsu Lee and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 4.84mW 30fps dual frequency division multiplexing electrical impedance tomography SoC for lung ventilation monitoring system}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {204}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231259}, doi = {10.1109/VLSIC.2015.7231259}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/KwakKSKRCL15, author = {Byung{-}Jae Kwak and Junhyuk Kim and Nah{-}Oak Song and Kyounghye Kim and June{-}Koo Kevin Rhee and Kapseok Chang and Moon{-}Sik Lee}, editor = {Luciano Bononi and Guevara Noubir and Victoria Manfredi}, title = {Highly scalable fair contention resolution scheme based on idle time}, booktitle = {16th {IEEE} International Symposium on {A} World of Wireless, Mobile and Multimedia Networks, WoWMoM 2015, Boston, MA, USA, June 14-17, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WoWMoM.2015.7158208}, doi = {10.1109/WOWMOM.2015.7158208}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/KwakKSKRCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/sbece/KimLS14, author = {Chulwoo Kim and Hyun{-}Woo Lee and Junyoung Song}, title = {High-Bandwidth Memory Interface}, series = {Springer Briefs in Electrical and Computer Engineering}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-02381-6}, doi = {10.1007/978-3-319-02381-6}, isbn = {978-3-319-02380-9}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sbece/KimLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/SongCBLB14, author = {JunBong Song and Sungmin Cho and Seung{-}Yeob Baek and Kunwoo Lee and Hyunwoo Bang}, title = {GaFinC: Gaze and Finger Control interface for 3D model manipulation in {CAD} application}, journal = {Comput. Aided Des.}, volume = {46}, pages = {239--245}, year = {2014}, url = {https://doi.org/10.1016/j.cad.2013.08.039}, doi = {10.1016/J.CAD.2013.08.039}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cad/SongCBLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LimSL14, author = {Jongbum Lim and Yongwoon Song and Hyuk{-}Jun Lee}, title = {An optimal {DRAM} sizing and partitioning method for {NVRAM} based hybrid memory architecture}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {19}, pages = {20140755}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140755}, doi = {10.1587/ELEX.11.20140755}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LimSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SongLJ14, author = {Junghwan Song and Kwanhyung Lee and Younghoon Jung}, title = {The Security Weakness of Block Cipher Piccolo against Fault Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/842675}, doi = {10.1155/2014/842675}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SongLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/KimSKL14, author = {Younghoon Kim and Kyuseok Shim and Min{-}Soeng Kim and June Sup Lee}, title = {{DBCURE-MR:} An efficient density-based clustering algorithm for large data using MapReduce}, journal = {Inf. Syst.}, volume = {42}, pages = {15--35}, year = {2014}, url = {https://doi.org/10.1016/j.is.2013.11.002}, doi = {10.1016/J.IS.2013.11.002}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/KimSKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HwangKLS14, author = {Jung Yeon Hwang and Hyoung Joong Kim and Dong Hoon Lee and Boyeon Song}, title = {An enhanced (t, n) threshold directed signature scheme}, journal = {Inf. Sci.}, volume = {275}, pages = {284--292}, year = {2014}, url = {https://doi.org/10.1016/j.ins.2014.02.038}, doi = {10.1016/J.INS.2014.02.038}, timestamp = {Tue, 07 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/HwangKLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/SongLL14, author = {Junghwan Song and Kwanhyung Lee and HwanJin Lee}, title = {Biclique Cryptanalysis on the Full Crypton-256 and mCrypton-128}, journal = {J. Appl. Math.}, volume = {2014}, pages = {529736:1--529736:10}, year = {2014}, url = {https://doi.org/10.1155/2014/529736}, doi = {10.1155/2014/529736}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/SongLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongHLBY14, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An 87-mA {\(\cdot\)} min Iontophoresis Controller {IC} With Dual-Mode Impedance Sensor for Patch-Type Transdermal Drug Delivery System}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {1}, pages = {167--178}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2282090}, doi = {10.1109/JSSC.2013.2282090}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongHLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiLJS14, author = {Sung{-}Pil Choi and Seungwoo Lee and Hanmin Jung and Sa{-}Kwang Song}, title = {An intensive case study on kernel-based relation extraction}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {741--767}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1380-5}, doi = {10.1007/S11042-013-1380-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChoiLJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JeongCCSJLC14, author = {Chang{-}Hoo Jeong and Yunsoo Choi and Hong{-}Woo Chun and Sa{-}Kwang Song and Hanmin Jung and Sangkwan Lee and Sung{-}Pil Choi}, title = {Grid-based framework for high-performance processing of scientific knowledge}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {783--798}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1411-2}, doi = {10.1007/S11042-013-1411-2}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JeongCCSJLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeLCCCSJLY14, author = {Wongoo Lee and Minho Lee and Yunsoo Choi and Donghoon Choi and Min{-}Hee Cho and Sa{-}Kwang Song and Hanmin Jung and Donghwi Lee and Hwa{-}Mook Yoon}, title = {ETL-based interoperable data management system}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {799--812}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1402-3}, doi = {10.1007/S11042-013-1402-3}, timestamp = {Sat, 11 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/LeeLCCCSJLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LiLJLCS14, author = {Qing Li and Seungwoo Lee and Hanmin Jung and Yeong Su Lee and Jae{-}Hyun Cho and Sa{-}Kwang Song}, title = {Term weighting for information retrieval based on term's discrimination power}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {769--781}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1420-1}, doi = {10.1007/S11042-013-1420-1}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/LiLJLCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/Song0CRL14, author = {Chang{-}Woo Song and Daesung Lee and Kyung{-}Yong Chung and Kee{-}Wook Rim and Jung{-}Hyun Lee}, title = {Interactive middleware architecture for lifelog based context awareness}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {813--826}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1362-7}, doi = {10.1007/S11042-013-1362-7}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/Song0CRL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuangHRANBSHTSHDCECJSELMWLDL14, author = {Mingxiong Huang and Charles W. Huang and Ashley Robb and Annemarie Angeles and Sharon L. Nichols and Dewleen G. Baker and Tao Song and Deborah L. Harrington and Rebecca J. Theilmann and Ramesh Srinivasan and David Heister and Mithun Diwakar and Jos{\'{e}} M. Ca{\~{n}}ive and J. Christopher Edgar and Yu{-}Han Chen and Zhengwei Ji and Max Shen and Fady El{-}Gabalawy and Michael L. Levy and Robert N. McLay and Jennifer Webb{-}Murphy and Thomas T. Liu and Angela Drake and Roland R. Lee}, title = {{MEG} source imaging method using fast {L1} minimum-norm and its applications to signals with brain noise and human resting-state source amplitude images}, journal = {NeuroImage}, volume = {84}, pages = {585--604}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2013.09.022}, doi = {10.1016/J.NEUROIMAGE.2013.09.022}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HuangHRANBSHTSHDCECJSELMWLDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChoLCO14, author = {Jungchan Cho and Minsik Lee and Hyung Jin Chang and Songhwai Oh}, title = {Robust action recognition using local motion and group sparsity}, journal = {Pattern Recognit.}, volume = {47}, number = {5}, pages = {1813--1825}, year = {2014}, url = {https://doi.org/10.1016/j.patcog.2013.12.004}, doi = {10.1016/J.PATCOG.2013.12.004}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ChoLCO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/LeeKSPS14, author = {Yongho Lee and So Young Kim and Inseok Song and Yongtae Park and Juneseuk Shin}, title = {Technology opportunity identification customized to the technological capability of SMEs through two-stage patent analysis}, journal = {Scientometrics}, volume = {100}, number = {1}, pages = {227--244}, year = {2014}, url = {https://doi.org/10.1007/s11192-013-1216-0}, doi = {10.1007/S11192-013-1216-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/LeeKSPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/UmKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seung{-}Hun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {An Analog-Digital Hybrid {RX} Beamformer Chip With Non-Uniform Sampling for Ultrasound Medical Imaging With 2D {CMUT} Array}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {799--809}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2375958}, doi = {10.1109/TBCAS.2014.2375958}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/UmKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongHLK14, author = {Junyoung Song and Sewook Hwang and Hyun{-}Woo Lee and Chulwoo Kim}, title = {A 7.5-Gb/s Referenceless Transceiver With Adaptive Equalization and Bandwidth-Shifting Technique for Ultrahigh-Definition Television in a 0.13- {\(\mathrm{\mu}\)}m {CMOS} Process}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {11}, pages = {865--869}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2350294}, doi = {10.1109/TCSII.2014.2350294}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongKLCCB14, author = {Bongsub Song and Kyunghoon Kim and Junan Lee and Jinil Chung and Youngjung Choi and Jinwook Burm}, title = {A 13.5-mW 10-Gb/s 4-PAM Serial Link Transmitter in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {9}, pages = {646--650}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2331101}, doi = {10.1109/TCSII.2014.2331101}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongKLCCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LeeMJKRS14, author = {Youngki Lee and Chulhong Min and Younghyun Ju and Seungwoo Kang and Yunseok Rhee and Junehwa Song}, title = {An Active Resource Orchestration Framework for PAN-Scale, Sensor-Rich Environments}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {13}, number = {3}, pages = {596--610}, year = {2014}, url = {https://doi.org/10.1109/TMC.2013.68}, doi = {10.1109/TMC.2013.68}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LeeMJKRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeSBLJKKCSKPK14, author = {Hyunbae Lee and Taeksang Song and Sangyeon Byeon and Kwanghun Lee and Inhwa Jung and Seongjin Kang and Ohkyu Kwon and Koeun Cheon and Donghwan Seol and Jong{-}Ho Kang and Gunwoo Park and Yunsaing Kim}, title = {A 16.8Gbps/channel single-ended transceiver in 65nm {CMOS} for SiP based {DRAM} interface on Si-carrier channel}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {125--128}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008876}, doi = {10.1109/ASSCC.2014.7008876}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeSBLJKKCSKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeLKLKYYGCS14, author = {Uichin Lee and Joonwon Lee and Minsam Ko and Changhun Lee and Yuhwan Kim and Subin Yang and Koji Yatani and Gahgene Gweon and Kyong{-}Mee Chung and Junehwa Song}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Hooked on smartphones: an exploratory study on smartphone overuse among college students}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {2327--2336}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557366}, doi = {10.1145/2556288.2557366}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeLKLKYYGCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkLMMHS14, author = {Taiwoo Park and Uichin Lee and Scott MacKenzie and Miri Moon and Inseok Hwang and Junehwa Song}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Human factors of speed-based exergame controllers}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {1865--1874}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557091}, doi = {10.1145/2556288.2557091}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkLMMHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongLKSPKHJLCSYLLLYJJCK14, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Jaemo Yang and Haekang Jung and Namkyu Jang and Joohwan Cho and Hyeongon Kim}, title = {A 1.1V 2y-nm 4.35Gb/s/pin 8Gb {LPDDR4} mobile device with bandwidth improvement techniques}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946032}, doi = {10.1109/CICC.2014.6946032}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongLKSPKHJLCSYLLLYJJCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/HwangYHYLMKS14, author = {Inseok Hwang and Chungkuk Yoo and Chanyou Hwang and Dongsun Yim and Youngki Lee and Chulhong Min and John Kim and Junehwa Song}, editor = {Susan R. Fussell and Wayne G. Lutters and Meredith Ringel Morris and Madhu C. Reddy}, title = {TalkBetter: family-driven mobile intervention care for children with language delay}, booktitle = {Computer Supported Cooperative Work, {CSCW} '14, Baltimore, MD, USA, February 15-19, 2014}, pages = {1283--1296}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2531602.2531668}, doi = {10.1145/2531602.2531668}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/HwangYHYLMKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/LeeSSOY14, author = {Byung Jun Lee and Ho Kuen Song and Youngho Suh and Kyung Hwan Oh and Hee Yong Youn}, title = {Energy-Efficient Gossiping Protocol of {WSN} with Realtime Streaming Data}, booktitle = {{IEEE} 12th International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2014, Dalian, China, August 24-27, 2014}, pages = {219--224}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DASC.2014.47}, doi = {10.1109/DASC.2014.47}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/LeeSSOY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimSLYJ14, author = {Hyeoncheol Kim and Deok{-}Weon Song and Jun{-}Hyung Lee and Jong{-}Ho Yoo and Sung{-}Yoon Jung}, title = {Inter-vehicular distance estimation scheme based on image sensor}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914437}, doi = {10.1109/ELINFOCOM.2014.6914437}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimSLYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeSJ14, author = {Ji{-}Hwan Lee and Deok{-}Weon Song and Sung{-}Yoon Jung}, title = {Interference analysis of visible light communication based on {PD} array}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914438}, doi = {10.1109/ELINFOCOM.2014.6914438}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeSJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficloud/MoonJLK14, author = {Seonghoon Moon and Chanhyuk Jung and Jong{-}Seok Lee and Songkuk Kim}, editor = {Muhammad Younas and Irfan Awan and Antonio Pescap{\`{e}}}, title = {On the Impact of Layer-Splitting for Cloud-Based {SVC} Streaming}, booktitle = {2014 International Conference on Future Internet of Things and Cloud, FiCloud 2014, Barcelona, Spain, August 27-29, 2014}, pages = {210--215}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FiCloud.2014.41}, doi = {10.1109/FICLOUD.2014.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ficloud/MoonJLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcs/KimCJCSL14, author = {Joonho Kim and Jun{-}Dong Cho and Jongpil Jeong and Jae{-}Young Choi and Byung{-}hun Song and Hyungsu Lee}, title = {Fuzzy logic based handoff scheme for heterogeneous vehicular mobile networks}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2014, Bologna, Italy, 21-25 July, 2014}, pages = {863--870}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCSim.2014.6903780}, doi = {10.1109/HPCSIM.2014.6903780}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcs/KimCJCSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcs/SongCCJSL14, author = {Myungseok Song and Jae{-}Young Choi and Jun{-}Dong Cho and Jongpil Jeong and Byung{-}hun Song and Hyungsu Lee}, title = {Reduction of authentication cost based on key caching for inter-MME handover support}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2014, Bologna, Italy, 21-25 July, 2014}, pages = {885--892}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HPCSim.2014.6903783}, doi = {10.1109/HPCSIM.2014.6903783}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcs/SongCCJSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KimLCBLSS14, author = {Hyosu Kim and Sang Jeong Lee and Jung{-}Woo Choi and Hwidong Bae and Jiyeon Lee and Junehwa Song and Insik Shin}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {Mobile maestro: enabling immersive multi-speaker audio applications on commodity mobile devices}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14, Seattle, WA, USA, September 13-17, 2014}, pages = {277--288}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2632048.2636077}, doi = {10.1145/2632048.2636077}, timestamp = {Tue, 26 Mar 2024 11:01:21 +0100}, biburl = {https://dblp.org/rec/conf/huc/KimLCBLSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KimLHRLMS14, author = {Yuhwan Kim and Seungchul Lee and Inseok Hwang and Hyunho Ro and Youngki Lee and Miri Moon and Junehwa Song}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {High5: promoting interpersonal hand-to-hand touch for vibrant workplace with electrodermal sensor watches}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14, Seattle, WA, USA, September 13-17, 2014}, pages = {15--19}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2632048.2632072}, doi = {10.1145/2632048.2632072}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/KimLHRLMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/MinLPKLSH14, author = {Chulhong Min and Youngki Lee and Saumay Pushp and Seungwoo Kang and Seungchul Lee and Junehwa Song and Inseok Hwang}, editor = {A. J. Brush and Adrian Friday and Julie A. Kientz and James Scott and Junehwa Song}, title = {Uncovering embarrassing moments in in-situ exposure of incoming mobile messages}, booktitle = {Proceedings of the 2014 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '14 Adjunct Publication, Seattle, WA, {USA} - September 13 - 17, 2014}, pages = {1045--1054}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2638728.2641288}, doi = {10.1145/2638728.2641288}, timestamp = {Tue, 26 Mar 2024 11:01:21 +0100}, biburl = {https://dblp.org/rec/conf/huc/MinLPKLSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/LeePLH14, author = {Jung Song Lee and Soon Cheol Park and Jong{-}Joo Lee and Han Heeh Ham}, editor = {Joaquim Filipe and Oleg Yu. Gusikhin and Kurosh Madani and Jurek Z. Sasiadek}, title = {Document Clustering Using Multi-Objective Genetic Algorithms with Parallel Programming Based on {CUDA}}, booktitle = {{ICINCO} 2014 - Proceedings of the 11th International Conference on Informatics in Control, Automation and Robotics, Volume 1, Vienna, Austria, 1 - 3 September, 2014}, pages = {280--287}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0005057502800287}, doi = {10.5220/0005057502800287}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/LeePLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/KoKLS14, author = {Sangjun Ko and Kyuil Kim and Younsu Lee and Jungsuk Song}, editor = {Chu Kiong Loo and Keem Siah Yap and Kok Wai Wong and Andrew Teoh Beng Jin and Kaizhu Huang}, title = {A Classification Method of Darknet Traffic for Advanced Security Monitoring and Response}, booktitle = {Neural Information Processing - 21st International Conference, {ICONIP} 2014, Kuching, Malaysia, November 3-6, 2014. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8836}, pages = {357--364}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12643-2\_44}, doi = {10.1007/978-3-319-12643-2\_44}, timestamp = {Thu, 15 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/KoKLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongLHC14, author = {Ju Hyung Song and Dong Hoon Lee and Won Jun Hwang and Hyung{-}Jin Choi}, title = {A selective transmission power boosting method for {D2D} discovery in 3GPP {LTE} cellular system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {267--268}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983132}, doi = {10.1109/ICTC.2014.6983132}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongLHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/SongL14, author = {Jung{-}Hwan Song and Yong{-}Hee Lee}, title = {Accelerated radiative emission from metallic nanocavity-embedded atom}, booktitle = {16th International Conference on Transparent Optical Networks, {ICTON} 2014, Graz, Austria, July 6-10, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTON.2014.6876297}, doi = {10.1109/ICTON.2014.6876297}, timestamp = {Wed, 16 Feb 2022 15:30:47 +0100}, biburl = {https://dblp.org/rec/conf/icton/SongL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHSY14, author = {Yongsu Lee and Unsoo Ha and Kiseok Song and Hoi{-}Jun Yoo}, title = {3.8 mW electrocardiogram {(ECG)} filtered electrical impedance tomography {IC} using {I/Q} homodyne architecture for breast cancer diagnosis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865653}, doi = {10.1109/ISCAS.2014.6865653}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HelmPGGHHKKLMNP14, author = {Mark Helm and Jae{-}Kwan Park and Ali Ghalam and Jason Guo and Chang{-}Wan Ha and Cairong Hu and Heonwook Kim and Kalyan Kavalipurapu and Eric Lee and Ali Mohammadzadeh and Dan Nguyen and Vipul Patel and Ted Pekny and Bill Saiki and Daesik Song and Jeff Tsai and Vimon Viajedor and Luyen Vu and Tinwai Wong and Jung Hee Yun and Ramin Ghodsi and Andrea D'Alessandro and Domenico Di Cicco and Violante Moschiano}, title = {19.1 {A} 128Gb {MLC} NAND-Flash device using 16nm planar cell}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {326--327}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757454}, doi = {10.1109/ISSCC.2014.6757454}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HelmPGGHHKKLMNP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RohSCSHLY14, author = {Taehwan Roh and Kiseok Song and Hyunwoo Cho and Dongjoo Shin and Unsoo Ha and Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {18.5 {A} 2.14mW {EEG} neuro-feedback processor with transcranial electrical stimulation for mental-health management}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {318--319}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757451}, doi = {10.1109/ISSCC.2014.6757451}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RohSCSHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/UmSKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Eun{-}Woo Song and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seunghun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {24.8 An analog-digital-hybrid single-chip {RX} beamformer with non-uniform sampling for 2D-CMUT ultrasound imaging to achieve wide dynamic range of delay and small chip area}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {426--427}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757499}, doi = {10.1109/ISSCC.2014.6757499}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/UmSKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/konvens/WeberCLSGJ14, author = {Jens Weber and Min{-}Hee Cho and Mikyoung Lee and Sa{-}Kwang Song and Michaela Geierhos and Hanmin Jung}, editor = {Hanmin Jung and Thomas Mandl and Christa Womser{-}Hacker and Shuo Xu}, title = {System Thinking: Crafting Scenarios for Prescriptive Analytics}, booktitle = {Proceedings of the First International Workshop on Patent Mining and Its Applications (IPaMin 2014) co-located with Konvens 2014, Hildesheim, Germany, October 6-7, 2014}, series = {{CEUR} Workshop Proceedings}, volume = {1292}, publisher = {CEUR-WS.org}, year = {2014}, url = {https://ceur-ws.org/Vol-1292/ipamin2014\_paper1.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:20 +0100}, biburl = {https://dblp.org/rec/conf/konvens/WeberCLSGJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/KoSESJSLJ14, author = {Jihoon Ko and Sangjin Shin and Sungkwang Eom and Minjae Song and Jooik Jung and Dong{-}Hoon Shin and Kyong{-}Ho Lee and Yongil Jang}, editor = {Arkady B. Zaslavsky and Panos K. Chrysanthis and Christian Becker and Jadwiga Indulska and Mohamed F. Mokbel and Daniela Nicklas and Chi{-}Yin Chow}, title = {Keyword Based Semantic Search for Mobile Data}, booktitle = {{IEEE} 15th International Conference on Mobile Data Management, {MDM} 2014, Brisbane, Australia, July 14-18, 2014 - Volume 1}, pages = {245--248}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MDM.2014.36}, doi = {10.1109/MDM.2014.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/KoSESJSLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/ShinPKLSCC14, author = {Hyojeong Shin and Taiwoo Park and Seungwoo Kang and Bupjae Lee and Junehwa Song and Yohan Chon and Hojung Cha}, editor = {Aaron J. Quigley and Sara Diamond and Pourang Irani and Sriram Subramanian}, title = {CoSMiC: designing a mobile crowd-sourced collaborative application to find a missing child in situ}, booktitle = {Proceedings of the 16th international conference on Human-computer interaction with mobile devices {\&} services, MobileHCI 2014, Toronto, ON, Canada, September 23-26, 2014}, pages = {389--398}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2628363.2628400}, doi = {10.1145/2628363.2628400}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mhci/ShinPKLSCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HuangZCLLCWCW14, author = {Song{-}Bin Huang and Yang Zhao and Deyong Chen and Yana Luo and Hsin{-}Chieh Lee and Tzu{-}Keng Chiu and Junbo Wang and Jian Chen and Min{-}Hsien Wu}, title = {A clogging-free microfluidic platform for size independent single cancer cellular electrical property characterization}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {398--402}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS.2014.6908836}, doi = {10.1109/NEMS.2014.6908836}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/HuangZCLLCWCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChoiOPKMLHS14, author = {Woohyeok Choi and Jeungmin Oh and Taiwoo Park and Seongjun Kang and Miri Moon and Uichin Lee and Inseok Hwang and Junehwa Song}, editor = {{\'{A}}kos L{\'{e}}deczi and Prabal Dutta and Chenyang Lu}, title = {MobyDick: an interactive multi-swimmer exergame}, booktitle = {Proceedings of the 12th {ACM} Conference on Embedded Network Sensor Systems, SenSys '14, Memphis, Tennessee, USA, November 3-6, 2014}, pages = {76--90}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2668332.2668352}, doi = {10.1145/2668332.2668352}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChoiOPKMLHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/OhLSBCSLCKJC14, author = {Reum Oh and Byunghyun Lee and Sang{-}Woong Shin and Wonil Bae and Hundai Choi and Indal Song and Yun{-}Sang Lee and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {Design technologies for a 1.2V 2.4Gb/s/pin high capacity {DDR4} {SDRAM} with TSVs}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858367}, doi = {10.1109/VLSIC.2014.6858367}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/OhLSBCSLCKJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/SongSL14, author = {Misun Song and JunSeok Seo and Kyungho Lee}, editor = {Kyung Hyune Rhee and Jeong Hyun Yi}, title = {Study on the Effectiveness of the Security Countermeasures Against Spear Phishing}, booktitle = {Information Security Applications - 15th International Workshop, {WISA} 2014, Jeju Island, Korea, August 25-27, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8909}, pages = {394--404}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-15087-1\_31}, doi = {10.1007/978-3-319-15087-1\_31}, timestamp = {Tue, 14 May 2019 10:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wisa/SongSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/KangKYSPSL14, author = {Seungwoo Kang and Sungjun Kwon and Chungkuk Yoo and Sangwon Seo and Kwang Suk Park and Junehwa Song and Youngki Lee}, title = {Sinabro: opportunistic and unobtrusive mobile electrocardiogram monitoring system}, booktitle = {15th Workshop on Mobile Computing Systems and Applications, HotMobile '14, Santa Barbara, CA, USA, February 26-27, 2014}, pages = {11:1--11:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2565585.2565605}, doi = {10.1145/2565585.2565605}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmcsa/KangKYSPSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KimLHHS14, author = {Yonghwan Kim and Dahee Lee and Jung Eun Hahm and Namgi Han and Min Song}, editor = {Chin{-}Wan Chung and Andrei Z. Broder and Kyuseok Shim and Torsten Suel}, title = {Investigating socio-cultural behavior of users reflected in different social channels on K-pop}, booktitle = {23rd International World Wide Web Conference, {WWW} '14, Seoul, Republic of Korea, April 7-11, 2014, Companion Volume}, pages = {325--326}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2567948.2577324}, doi = {10.1145/2567948.2577324}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/KimLHHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KoCLYLSS14, author = {Minsam Ko and Seung{-}Woo Choi and Joonwon Lee and Subin Yang and Uichin Lee and Aviv Segev and Junehwa Song}, editor = {Chin{-}Wan Chung and Andrei Z. Broder and Kyuseok Shim and Torsten Suel}, title = {Motives for mass interactions in online sports viewing}, booktitle = {23rd International World Wide Web Conference, {WWW} '14, Seoul, Republic of Korea, April 7-11, 2014, Companion Volume}, pages = {329--330}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2567948.2577340}, doi = {10.1145/2567948.2577340}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/KoCLYLSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/ChoLCO13, author = {Jungchan Cho and Minsik Lee and Chong{-}Ho Choi and Songhwai Oh}, title = {{EM-GPA:} Generalized Procrustes analysis with hidden variables for 3D shape modeling}, journal = {Comput. Vis. Image Underst.}, volume = {117}, number = {11}, pages = {1549--1559}, year = {2013}, url = {https://doi.org/10.1016/j.cviu.2013.07.009}, doi = {10.1016/J.CVIU.2013.07.009}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/ChoLCO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKSP13, author = {Mun{-}Kyu Lee and Jung Woo Kim and Jeong Eun Song and Kunsoo Park}, title = {Efficient Implementation of {NTRU} Cryptosystem Using Sliding Window Methods}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {1}, pages = {206--214}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.206}, doi = {10.1587/TRANSFUN.E96.A.206}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeSCC13, author = {Haeng{-}Gon Lee and Jungsuk Song and Sang{-}Soo Choi and Gi{-}Hwan Cho}, title = {An Advanced Incident Response Methodology Based on Correlation Analysis of Polymorphic Security Events}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {7}, pages = {1803--1813}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.1803}, doi = {10.1587/TRANSCOM.E96.B.1803}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongKLKKB13, author = {Bongsub Song and Kyunghoon Kim and Junan Lee and Kwangsoo Kim and Young{-}Lok Kim and Jinwook Burm}, title = {1.5-9.7-Gb/s Complete 4-PAM Serial Link Transceiver with a Wide Frequency Range {CDR}}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {8}, pages = {1048--1053}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.1048}, doi = {10.1587/TRANSELE.E96.C.1048}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongKLKKB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/SongLL13, author = {Junghwan Song and Kwanhyung Lee and HwanJin Lee}, title = {Biclique cryptanalysis on lightweight block cipher: {HIGHT} and Piccolo}, journal = {Int. J. Comput. Math.}, volume = {90}, number = {12}, pages = {2564--2580}, year = {2013}, url = {https://doi.org/10.1080/00207160.2013.767445}, doi = {10.1080/00207160.2013.767445}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/SongLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeeXLSTBLT13, author = {Sharon Song Song Lee and Xiaohui Xin and Wan Pin Lee and Eugene Junying Sim and Benedict Tan and Michael Philip Geroche Bien and Alexander See Tiung Lau and Julian Thumboo}, title = {The feasibility of using {SMS} as a health survey tool: An exploratory study in patients with rheumatoid arthritis}, journal = {Int. J. Medical Informatics}, volume = {82}, number = {5}, pages = {427--434}, year = {2013}, url = {https://doi.org/10.1016/j.ijmedinf.2012.12.003}, doi = {10.1016/J.IJMEDINF.2012.12.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LeeXLSTBLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/JunSL13, author = {Young Bae Jun and Seok{-}Zun Song and Kyoung Ja Lee}, title = {The Combination of Soft Sets and \emph{N}-Structures with Applications}, journal = {J. Appl. Math.}, volume = {2013}, pages = {420312:1--420312:10}, year = {2013}, url = {https://doi.org/10.1155/2013/420312}, doi = {10.1155/2013/420312}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/JunSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLSK13, author = {Soo{-}Bin Lim and Hyun{-}Woo Lee and Junyoung Song and Chulwoo Kim}, title = {A 247 {\(\mathrm{\mu}\)}W 800 Mb/s/pin DLL-Based Data Self-Aligner for Through Silicon via {(TSV)} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {711--723}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2242251}, doi = {10.1109/JSSC.2013.2242251}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/MinHPKLHYLJS0MLL13, author = {Chulhong Min and Chanyou Hwang and Taiwoo Park and Yuhwan Kim and Uichin Lee and Inseok Hwang and Chungkuk Yoo and Changhoon Lee and Younghyun Ju and Junehwa Song and Jaeung Lee and Miri Moon and Haechan Lee and Youngki Lee}, title = {{ACM} HotMobile 2013 demo: bringing in-situ social awareness to mobile systems: everyday interaction monitoring and its applications}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {17}, number = {3}, pages = {9--10}, year = {2013}, url = {https://doi.org/10.1145/2542095.2542101}, doi = {10.1145/2542095.2542101}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/MinHPKLHYLJS0MLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KimYPLKCCSY13, author = {Choye Kim and Changhan Yoon and Jong{-}Ho Park and Yuhwa Lee and Won Hwa Kim and Jung Min Chang and Byung Ihn Choi and Tai{-}Kyong Song and Yang{-}Mo Yoo}, title = {Evaluation of Ultrasound Synthetic Aperture Imaging Using Bidirectional Pixel-Based Focusing: Preliminary Phantom and In Vivo Breast Study}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {60}, number = {10}, pages = {2716--2724}, year = {2013}, url = {https://doi.org/10.1109/TBME.2013.2263310}, doi = {10.1109/TBME.2013.2263310}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/KimYPLKCCSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongKLB13, author = {Bongsub Song and Kyunghoon Kim and Junan Lee and Jinwook Burm}, title = {A 0.18-{\(\mathrm{\mu}\)}m {CMOS} 10-Gb/s Dual-Mode 10-PAM Serial Link Transceiver}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {2}, pages = {457--468}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2012.2215799}, doi = {10.1109/TCSI.2012.2215799}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongKLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ParkKLS13, author = {Souneil Park and Jungil Kim and Kyung Soon Lee and Junehwa Song}, title = {Disputant Relation-Based Classification for Contrasting Opposing Views of Contentious News Issues}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {25}, number = {12}, pages = {2740--2751}, year = {2013}, url = {https://doi.org/10.1109/TKDE.2012.238}, doi = {10.1109/TKDE.2012.238}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ParkKLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LeeKSLH13, author = {Jae Hak Lee and Hyoung Joon Kim and Jun{-}Yeob Song and Chang Woo Lee and Tae Ho Ha}, title = {A study on wafer level {TSV} build-up integration method}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702355}, doi = {10.1109/3DIC.2013.6702355}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/LeeKSLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/SongLKLH13, author = {Jun{-}Yeob Song and Jae Hak Lee and Hyoung Joon Kim and Chang Woo Lee and Tae Ho Ha}, title = {High reliability insert-bump bonding process for 3D integration}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702356}, doi = {10.1109/3DIC.2013.6702356}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dic/SongLKLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/KongLS13, author = {Jun{-}Taek Kong and Jae{-}Woo Lee and Woo{-}Jin Song}, editor = {Michael B. Matthews}, title = {Diffusion {LMS} algorithm with multi-combination for distributed estimation over networks}, booktitle = {2013 Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, USA, November 3-6, 2013}, pages = {438--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACSSC.2013.6810314}, doi = {10.1109/ACSSC.2013.6810314}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/acssc/KongLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SongLHJK13, author = {Junyoung Song and Hyun{-}Woo Lee and Sewook Hwang and Inhwa Jung and Chulwoo Kim}, title = {A 7.5Gb/s referenceless transceiver for {UHDTV} with adaptive equalization and bandwidth scanning technique in 0.13{\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {89--90}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509570}, doi = {10.1109/ASPDAC.2013.6509570}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SongLHJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SongHLY13, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Hoi{-}Jun Yoo}, title = {Bio-feedback iontophoresis patch for controllable transdermal drug delivery}, booktitle = {2013 {IEEE} Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31 - Nov. 2, 2013}, pages = {330--333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BioCAS.2013.6679706}, doi = {10.1109/BIOCAS.2013.6679706}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SongHLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ZaidiJSLY13, author = {Syed Muhammad Asad Zaidi and Ji{-}Eun Jung and Byunghun Song and Hyung Su Lee and Hee Yong Youn}, title = {Multi-Channel Multi-Path video transmission over wireless sensor networks}, booktitle = {10th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {277--282}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCNC.2013.6488458}, doi = {10.1109/CCNC.2013.6488458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ZaidiJSLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/OhKLLS13, author = {Jeungmin Oh and Daehoon Kim and Uichin Lee and Jae{-}Gil Lee and Junehwa Song}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Facilitating developer-user interactions with mobile app review digests}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {1809--1814}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468681}, doi = {10.1145/2468356.2468681}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/OhKLLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongKCLRCL13, author = {Kiburm Song and Jihoon Kim and Yoon{-}Han Cho and Ahreum Lee and Hokyoung Ryu and Jung{-}Woon Choi and Yong Joo Lee}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {The fingerstroke-level model strikes back: a modified keystroke-level model in developing a gaming ui for 4g networks}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {2359--2362}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468769}, doi = {10.1145/2468356.2468769}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/SongKCLRCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JungSWLMWTJPMWFL13, author = {Moongon Jung and Taigon Song and Yang Wan and Young{-}Joon Lee and Debabrata Mohapatra and Hong Wang and Greg Taylor and Devang Jariwala and Vijay Pitchumani and Patrick Morrow and Clair Webb and Paul Fischer and Sung Kyu Lim}, title = {How to reduce power in 3D {IC} designs: {A} case study with OpenSPARC {T2} core}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658541}, doi = {10.1109/CICC.2013.6658541}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/JungSWLMWTJPMWFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimLSKKJY13, author = {Jaeha Kim and Jiho Lee and Do{-}Gyoon Song and Taehwan Kim and Kyung{-}Hoon Kim and Seobin Jung and Sangho Youn}, title = {Discretization and discrimination methods for design, verification, and testing of analog/mixed-signal circuits}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--8}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658488}, doi = {10.1109/CICC.2013.6658488}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimLSKKJY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ChoiHHLS13, author = {Jinhyuk Choi and Seongkook Heo and Jaehyun Han and Geehyuk Lee and Junehwa Song}, editor = {Amy S. Bruckman and Scott Counts and Cliff Lampe and Loren G. Terveen}, title = {Mining social relationship types in an organization using communication patterns}, booktitle = {Computer Supported Cooperative Work, {CSCW} 2013, San Antonio, TX, USA, February 23-27, 2013}, pages = {295--302}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2441776.2441811}, doi = {10.1145/2441776.2441811}, timestamp = {Tue, 15 Sep 2020 08:36:55 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ChoiHHLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ParkLLLSSS13, author = {Taiwoo Park and Uichin Lee and Bupjae Lee and Haechan Lee and Sanghun Son and Seokyoung Song and Junehwa Song}, editor = {Amy S. Bruckman and Scott Counts and Cliff Lampe and Loren G. Terveen}, title = {ExerSync: facilitating interpersonal synchrony in social exergames}, booktitle = {Computer Supported Cooperative Work, {CSCW} 2013, San Antonio, TX, USA, February 23-27, 2013}, pages = {409--422}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2441776.2441823}, doi = {10.1145/2441776.2441823}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscw/ParkLLLSSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ParkLLLSSS13a, author = {Taiwoo Park and Uichin Lee and Bupjae Lee and Haechan Lee and Sanghun Son and Seokyoung Song and Junehwa Song}, editor = {Amy S. Bruckman and Scott Counts and Cliff Lampe and Loren G. Terveen}, title = {ExerSync: interpersonal synchrony in social exergames}, booktitle = {Computer Supported Cooperative Work, {CSCW} 2013, San Antonio, TX, USA, February 23-27, 2013, Companion Volume}, pages = {27--30}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2441955.2441963}, doi = {10.1145/2441955.2441963}, timestamp = {Tue, 15 Sep 2020 08:36:55 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ParkLLLSSS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/SongKHKJLJS13, author = {Sa{-}Kwang Song and Donald J. Kim and Myunggwon Hwang and Jangwon Kim and Do{-}Heon Jeong and Seungwoo Lee and Hanmin Jung and Won{-}Kyung Sung}, title = {Prescriptive Analytics System for Improving Research Power}, booktitle = {16th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2013, December 3-5, 2013, Sydney, Australia}, pages = {1144--1145}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CSE.2013.169}, doi = {10.1109/CSE.2013.169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/SongKHKJLJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeCCO13, author = {Minsik Lee and Jungchan Cho and Chong{-}Ho Choi and Songhwai Oh}, title = {Procrustean Normal Distribution for Non-rigid Structure from Motion}, booktitle = {2013 {IEEE} Conference on Computer Vision and Pattern Recognition, Portland, OR, USA, June 23-28, 2013}, pages = {1280--1287}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CVPR.2013.169}, doi = {10.1109/CVPR.2013.169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LeeCCO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeAYJOPC13, author = {Sangmin Lee and Jae Hyun Ahn and Hwiyeon Yoo and Suk Won Jung and Songhwai Oh and S. Park and Dong{-}Il Dan Cho}, title = {Electrical characteristics of 2D and 3D microelectrodes for high-resolution retinal prostheses}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {3535--3538}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610305}, doi = {10.1109/EMBC.2013.6610305}, timestamp = {Fri, 17 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeAYJOPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greencom/GimJHCKLSJ13, author = {Jangwon Gim and Do{-}Heon Jeong and Myunggwon Hwang and Sung{-}Pil Choi and Donald J. Kim and Seungwoo Lee and Sa{-}Kwang Song and Hanmin Jung}, title = {InSciTe Adaptive: R{\&}D Decision Support System for Strategic Foresight}, booktitle = {2013 {IEEE} International Conference on Green Computing and Communications (GreenCom) and {IEEE} Internet of Things (iThings) and {IEEE} Cyber, Physical and Social Computing (CPSCom), Beijing, China, August 20-23, 2013}, pages = {2249--2250}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GreenCom-iThings-CPSCom.2013.428}, doi = {10.1109/GREENCOM-ITHINGS-CPSCOM.2013.428}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/greencom/GimJHCKLSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChunJHJSLCJ13, author = {Hong{-}Woo Chun and Sung{-}Jae Jung and Mi{-}Nyeong Hwang and Chang{-}Hoo Jeong and Sa{-}Kwang Song and Seungwoo Lee and Sung{-}Pil Choi and Hanmin Jung}, editor = {Sakae Yamamoto}, title = {Pathway Construction and Extension Using Natural Language Processing}, booktitle = {Human Interface and the Management of Information. Information and Interaction for Health, Safety, Mobility and Complex Environments - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8017}, pages = {32--38}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39215-3\_4}, doi = {10.1007/978-3-642-39215-3\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChunJHJSLCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeCSJ13, author = {Seungwoo Lee and Min{-}Hee Cho and Sa{-}Kwang Song and Hanmin Jung}, editor = {Sakae Yamamoto}, title = {On Services and Insights of Technology Intelligence System}, booktitle = {Human Interface and the Management of Information. Information and Interaction for Learning, Culture, Collaboration and Business, - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8018}, pages = {579--587}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39226-9\_63}, doi = {10.1007/978-3-642-39226-9\_63}, timestamp = {Fri, 17 Jul 2020 16:12:46 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeCSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeS13b, author = {Ki Jung Lee and Il{-}Yeol Song}, editor = {Louis Marinos and Ioannis G. Askoxylakis}, title = {An Influence of Self-evaluated Gender Role on the Privacy Management Behavior in Online Social Networks}, booktitle = {Human Aspects of Information Security, Privacy, and Trust - First International Conference, {HAS} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8030}, pages = {135--144}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39345-7\_15}, doi = {10.1007/978-3-642-39345-7\_15}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeS13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/PetersSHKSJLJ13, author = {Clara Peters and Mazhar Sajjad and Myungkwon Hwang and Jinhyung Kim and Sa{-}Kwang Song and Do{-}Heon Jeong and Seungwoo Lee and Hanmin Jung}, editor = {Sakae Yamamoto}, title = {Overview of Global User Interfaces for Localization}, booktitle = {Human Interface and the Management of Information. Information and Interaction Design - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8016}, pages = {357--364}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39209-2\_41}, doi = {10.1007/978-3-642-39209-2\_41}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/PetersSHKSJLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/PietrasSHKSJLJ13, author = {Nadine Pietras and Mazhar Sajjad and Myungkwon Hwang and Jinhyung Kim and Sa{-}Kwang Song and Do{-}Heon Jeong and Seungwoo Lee and Hanmin Jung}, editor = {Sakae Yamamoto}, title = {Usability Compliance Audit for Technology Intelligence Services}, booktitle = {Human Interface and the Management of Information. Information and Interaction Design - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8016}, pages = {524--533}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39209-2\_59}, doi = {10.1007/978-3-642-39209-2\_59}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/PietrasSHKSJLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/LeeMPHLS13, author = {Haechan Lee and Miri Moon and Taiwoo Park and Inseok Hwang and Uichin Lee and Junehwa Song}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Dungeons {\&} swimmers: designing an interactive exergame for swimming}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013 - Adjunct Publication}, pages = {287--290}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2494091.2494180}, doi = {10.1145/2494091.2494180}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/LeeMPHLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/LeeMYS13, author = {Sang Jeong Lee and Chulhong Min and Chungkuk Yoo and Junehwa Song}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Understanding customer malling behavior in an urban shopping mall using smartphones}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013 - Adjunct Publication}, pages = {901--910}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2494091.2497344}, doi = {10.1145/2494091.2497344}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/LeeMYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iat/ShinSKSELPLJ13, author = {Sangjin Shin and Dong{-}Hoon Shin and Jihoon Ko and Minjae Song and Sungkwang Eom and Kyong{-}Ho Lee and Jinsung Park and Seungwon Lee and Jooyeon Jung}, title = {Augmenting Mobile Search Engine with Semantic Web and Context Awareness}, booktitle = {2013 {IEEE/WIC/ACM} International Conferences on Web Intelligence and Intelligent Agent Technology, Atlanta, Georgia, USA, 17-20 November 2013, Workshop Proceedings}, pages = {278--279}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WI-IAT.2013.196}, doi = {10.1109/WI-IAT.2013.196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iat/ShinSKSELPLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JoSSKSKL13, author = {Hyun{-}Ho Jo and Jung{-}Han Seo and Dong{-}Gyu Sim and Doo{-}Hyun Kim and Joon{-}Ho Song and Do Hyung Kim and Shihwa Lee}, title = {Bitstream parsing processor with emulation prevention bytes removal for {H.264/AVC} decoder}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {27--28}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486780}, doi = {10.1109/ICCE.2013.6486780}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JoSSKSKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/KiSHKLH13, author = {Ki{-}Won Sung and Byung Kyun Shim and Won Jun Hwang and Eun Uk Kang and Woo Song Lee and Sung{-}Hyun Han}, editor = {Jangmyung Lee and Min Cheol Lee and Honghai Liu and Jee{-}Hwan Ryu}, title = {A Stable Walking Control of Two - Legs Biped Robot}, booktitle = {Intelligent Robotics and Applications - 6th International Conference, {ICIRA} 2013, Busan, South Korea, September 25-28, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8103}, pages = {265--273}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40849-6\_24}, doi = {10.1007/978-3-642-40849-6\_24}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/KiSHKLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkPKKSL13, author = {Ki{-}Hyeon Park and Jin Soo Park and Jung{-}Hyun Kim and Inseon Kim and Hong{-}Yeop Song and Jang Yong Lee}, title = {Performance comparison of {LDPC} convolutional codes for memory size and encoder block size}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {512--515}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675408}, doi = {10.1109/ICTC.2013.6675408}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkPKKSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/ParkKLS13, author = {Souneil Park and Minsam Ko and Jaeung Lee and Junehwa Song}, editor = {Emre Kiciman and Nicole B. Ellison and Bernie Hogan and Paul Resnick and Ian Soboroff}, title = {Agenda Diversity in Social Media Discourse: {A} Study of the 2012 Korean General Election}, booktitle = {Proceedings of the Seventh International Conference on Weblogs and Social Media, {ICWSM} 2013, Cambridge, Massachusetts, USA, July 8-11, 2013}, publisher = {The {AAAI} Press}, year = {2013}, url = {http://www.aaai.org/ocs/index.php/ICWSM/ICWSM13/paper/view/6065}, timestamp = {Fri, 05 Feb 2021 11:07:18 +0100}, biburl = {https://dblp.org/rec/conf/icwsm/ParkKLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/HwangKLKJSJ13, author = {Myunggwon Hwang and Taehong Kim and Jinhee Lee and Donald J. Kim and Do{-}Heon Jeong and Sa{-}Kwang Song and Hanmin Jung}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {Collecting Korean-English Pairs for Translation of Technical Terms}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {202--206}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.41}, doi = {10.1109/IMIS.2013.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/HwangKLKJSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KimHLSL13, author = {Hyunseob Kim and Jungho Han and Young{-}Il Lee and Joong{-}Ho Song and Kyo{-}Beum Lee}, title = {Torque predictive control of permanent-magnet synchronous motor using duty ratio prediction}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563664}, doi = {10.1109/ISIE.2013.6563664}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/KimHLSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/JungSPKHWLH10, author = {Sung{-}Won Jung and Ki{-}Won Sung and Moon{-}Youl Park and Eon{-}Uck Kang and Won Jun Hwang and Jong{-}Dae Won and Woo Song Lee and Sung{-}Hyun Han}, title = {A study on precise control of autonomous driving robot by voice recognition}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695640}, doi = {10.1109/ISR.2013.6695640}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/JungSPKHWLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/SungJPJLPH10, author = {Ki{-}Won Sung and Sung{-}Won Jung and Moon{-}Youl Park and Yang{-}Keun Jeong and Woo Song Lee and In{-}Man Park and Sung{-}Hyun Han}, title = {A study on stable walking control of biped robot on uneven terrain}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695621}, doi = {10.1109/ISR.2013.6695621}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/SungJPJLPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLHSRBY13, author = {Hyungwoo Lee and Kwonjoon Lee and Sunjoo Hong and Kiseok Song and Taehwan Roh and Joonsung Bae and Hoi{-}Jun Yoo}, title = {A 5.5mW {IEEE-802.15.6} wireless body-area-network standard transceiver for multichannel electro-acupuncture application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {452--453}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487811}, doi = {10.1109/ISSCC.2013.6487811}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLHSRBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongHLBY13, author = {Kiseok Song and Unsoo Ha and Jaehyuk Lee and Kyeongryeol Bong and Hoi{-}Jun Yoo}, title = {An 87mA{\(\cdot\)}min iontophoresis controller {IC} with dual-mode impedance sensor for patch-type transdermal drug delivery system}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {98--99}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487653}, doi = {10.1109/ISSCC.2013.6487653}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongHLBY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLLHKCCK13, author = {Junyoung Song and Hyun{-}Woo Lee and Soo{-}Bin Lim and Sewook Hwang and Yunsaing Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Chulwoo Kim}, title = {An adaptive-bandwidth {PLL} for avoiding noise interference and DFE-less fast precharge sampling for over 10Gb/s/pin graphics {DRAM} interface}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {312--313}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487749}, doi = {10.1109/ISSCC.2013.6487749}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLLHKCCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/KuoDDSPL13, author = {Nathanael Kuo and Ehsan Dehghan and Anton Deguet and Danny Y. Song and Jerry L. Prince and Junghoon Lee}, editor = {David R. Holmes III and Ziv R. Yaniv}, title = {A dynamic dosimetry system for prostate brachytherapy}, booktitle = {Medical Imaging 2013: Image-Guided Procedures, Robotic Interventions, and Modeling, Lake Buena Vista (Orlando Area), Florida, United States, 9-14 February 2013}, series = {{SPIE} Proceedings}, volume = {8671}, pages = {867109}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2008097}, doi = {10.1117/12.2008097}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/KuoDDSPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeMHLHJYMLS13, author = {Youngki Lee and Chulhong Min and Chanyou Hwang and Jaeung Lee and Inseok Hwang and Younghyun Ju and Chungkuk Yoo and Miri Moon and Uichin Lee and Junehwa Song}, editor = {Hao{-}Hua Chu and Polly Huang and Romit Roy Choudhury and Feng Zhao}, title = {SocioPhone: everyday face-to-face interaction monitoring platform using multi-phone sensor fusion}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {375--388}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2462456.2465426}, doi = {10.1145/2462456.2465426}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeMHLHJYMLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeMHLHJYMLS13a, author = {Youngki Lee and Chulhong Min and Chanyou Hwang and Jaeung Lee and Inseok Hwang and Younghyun Ju and Chungkuk Yoo and Miri Moon and Uichin Lee and Junehwa Song}, editor = {Hao{-}Hua Chu and Polly Huang and Romit Roy Choudhury and Feng Zhao}, title = {SocioPhone: everyday face-to-face interaction monitoring platform using multi-phone sensor fusion}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {499--500}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2462456.2465702}, doi = {10.1145/2462456.2465702}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeMHLHJYMLS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KimSJLS13, author = {JaYeong Kim and Nah{-}Oak Song and Byoung Hoon Jung and Hansung Leem and Dan Keun Sung}, title = {Placement of WiFi access points for efficient WiFi offloading in an overlay network}, booktitle = {24th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2013, London, United Kingdom, September 8-11, 2013}, pages = {3066--3070}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PIMRC.2013.6666673}, doi = {10.1109/PIMRC.2013.6666673}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/KimSJLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/JungLS13, author = {Jonghun Jung and Geunyong Lee and Jong{-}In Song}, title = {A SiGe {HBT} power amplifier with integrated mode control switches for {LTE} applications}, booktitle = {2013 {IEEE} Radio and Wireless Symposium, Austin, TX, USA, January 20-23, 2013}, pages = {238--240}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RWS.2013.6486700}, doi = {10.1109/RWS.2013.6486700}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/rws/JungLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/LeeJS13, author = {Geunyong Lee and Jonghun Jung and Jong{-}In Song}, title = {A 26 dBm output power SiGe power amplifier for mobile 16 {QAM} {LTE} applications}, booktitle = {2013 {IEEE} Radio and Wireless Symposium, Austin, TX, USA, January 20-23, 2013}, pages = {232--234}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RWS.2013.6486698}, doi = {10.1109/RWS.2013.6486698}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rws/LeeJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/KimHGSJLJ13, author = {Donald J. Kim and Myunggwon Hwang and Jangwon Gim and Sa{-}Kwang Song and Do{-}Heon Jeong and Seungwoo Lee and Hanmin Jung}, title = {Intelligent Research Performance Appraisal Model Based on Internal/Environmental Evaluation Features}, booktitle = {International Conference on Social Computing, SocialCom 2013, SocialCom/PASSAT/BigData/EconCom/BioMedCom 2013, Washington, DC, USA, 8-14 September, 2013}, pages = {1059--1065}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SocialCom.2013.171}, doi = {10.1109/SOCIALCOM.2013.171}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/KimHGSJLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/WuLCLH13, author = {Jain{-}Shing Wu and Yuh{-}Jye Lee and Song{-}Kong Chong and Chih{-}Ta Lin and Jung{-}Lun Hsu}, title = {Key Stroke Profiling for Data Loss Prevention}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2013, Taipei, Taiwan, December 6-8, 2013}, pages = {7--12}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TAAI.2013.16}, doi = {10.1109/TAAI.2013.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/WuLCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeLLPKJ13, author = {Su{-}Gil Lee and Byeong{-}Song Lee and Jun{-}Ho Lee and Chan{-}Bae Park and Jae{-}hee Kim and Shin{-}Myung Jung}, title = {A study on regenerative breaking energy on electric multiple unit train}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {708--710}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677430}, doi = {10.1109/URAI.2013.6677430}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeLLPKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/websci/ParkKLCS13, author = {Souneil Park and Minsam Ko and Jaeung Lee and Aram Choi and Junehwa Song}, editor = {Hugh C. Davis and Harry Halpin and Alex Pentland and Mark Bernstein and Lada A. Adamic}, title = {Challenges and opportunities of local journalism: a case study of the 2012 Korean general election}, booktitle = {Web Science 2013 (co-located with ECRC), WebSci '13, Paris, France, May 2-4, 2013}, pages = {286--295}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2464464.2464523}, doi = {10.1145/2464464.2464523}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/websci/ParkKLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/LeeIMJKPLRS12, author = {Youngki Lee and S. S. Iyengar and Chulhong Min and Younghyun Ju and Seungwoo Kang and Taiwoo Park and Jinwon Lee and Yunseok Rhee and Junehwa Song}, title = {MobiCon: a mobile context-monitoring platform}, journal = {Commun. {ACM}}, volume = {55}, number = {3}, pages = {54--65}, year = {2012}, url = {https://doi.org/10.1145/2093548.2093567}, doi = {10.1145/2093548.2093567}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/LeeIMJKPLRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LeeLLC12, author = {Junhee Lee and Jinsung Lee and Kyunghan Lee and Song Chong}, title = {Commoncode: a code-reuse platform for wireless network experimentation}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {3}, pages = {156--163}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6163596}, doi = {10.1109/MCOM.2012.6163596}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LeeLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimJLLY12, author = {Jung{-}Hoon Kim and Tae{-}Heon Jang and Sung{-}Kuk Lim and Songjun Lee and Sung{-}Il Yang}, title = {Research on Characteristics of Field Uniformity in Reverberation Chamber Using Two {TX} Antennas}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {7}, pages = {2386--2392}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.2386}, doi = {10.1587/TRANSCOM.E95.B.2386}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimJLLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YunSKLB12, author = {Daeho Yun and Bongsub Song and Kyunghoon Kim and Junan Lee and Jinwook Burm}, title = {A Low-Power Switching Method with a Bootstrapping Circuit for High-Speed Transmitters}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {5}, pages = {921--923}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.921}, doi = {10.1587/TRANSELE.E95.C.921}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YunSKLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LeeJKS12, author = {Jong{-}Han Lee and Ji{-}Eun Jung and Nam{-}Gyu Kim and Byunghun Song}, title = {Industrial Pipe-Rack Health Monitoring System Based on Reliable-Secure Wireless Sensor Network}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/641391}, doi = {10.1155/2012/641391}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LeeJKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/LeeSLHR12, author = {Seung{-}Wook Lee and Young{-}In Song and Jung{-}Tae Lee and Kyoung{-}Soo Han and Hae{-}Chang Rim}, title = {A new generative opinion retrieval model integrating multiple ranking factors}, journal = {J. Intell. Inf. Syst.}, volume = {38}, number = {2}, pages = {487--505}, year = {2012}, url = {https://doi.org/10.1007/s10844-011-0164-5}, doi = {10.1007/S10844-011-0164-5}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/LeeSLHR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YunJKKLCKPS12, author = {Sangseok Yun and Bongjin Jun and Daijin Kim and Jaewoong Kim and Sukhan Lee and Mun{-}Taek Choi and Munsang Kim and Joong{-}Tae Park and Jae{-}Bok Song}, title = {Proactive Human Search for the Designated Person with Prior Context Information in an Undiscovered Environments}, journal = {J. Intell. Robotic Syst.}, volume = {67}, number = {2}, pages = {79--99}, year = {2012}, url = {https://doi.org/10.1007/s10846-011-9641-x}, doi = {10.1007/S10846-011-9641-X}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YunJKKLCKPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeSLCY12, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {310--322}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2170632}, doi = {10.1109/JSSC.2011.2170632}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeSLCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaeSLCY12a, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A Low-Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless Body-Area Network}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2678--2692}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211654}, doi = {10.1109/JSSC.2012.2211654}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaeSLCY12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TanSZCYTYGTCKWCHSD12, author = {Sam Chun{-}Geik Tan and Fei Song and Renliang Zheng and Jiqing Cui and Guoqin Yao and Litian Tang and Yuejin Yang and Dandan Guo and Alexander Tanzil and Junmin Cao and Ming Kong and KianTiong Wong and Soong Lin Chew and Chee{-}Lee Heng and Osama Shana'a and Guang{-}Kaai Dehng}, title = {An Ultra-Low-Cost High-Performance Bluetooth SoC in 0.11-{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2665--2677}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211672}, doi = {10.1109/JSSC.2012.2211672}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/TanSZCYTYGTCKWCHSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/DehghanLFKDLBSPF12, author = {Ehsan Dehghan and Junghoon Lee and Pascal Fallavollita and Nathanael Kuo and Anton Deguet and Yi Le and Everette Clif Burdette and Danny Y. Song and Jerry L. Prince and Gabor Fichtinger}, title = {Ultrasound-fluoroscopy registration for prostate brachytherapy dosimetry}, journal = {Medical Image Anal.}, volume = {16}, number = {7}, pages = {1347--1358}, year = {2012}, url = {https://doi.org/10.1016/j.media.2012.06.001}, doi = {10.1016/J.MEDIA.2012.06.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/DehghanLFKDLBSPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeeYLSHJLLCC12, author = {Hui Joong Lee and Seung{-}Jun Yoo and Sang{-}Heun Lee and Hi{-}Jin Song and Man{-}Il Huh and Seong{-}Uk Jin and Kyu{-}yup Lee and Jongmin Lee and Jin{-}Ho Cho and Yongmin Chang}, title = {Functional activity mapping of rat auditory pathway after intratympanic manganese administration}, journal = {NeuroImage}, volume = {60}, number = {2}, pages = {1046--1054}, year = {2012}, url = {https://doi.org/10.1016/j.neuroimage.2012.01.065}, doi = {10.1016/J.NEUROIMAGE.2012.01.065}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/LeeYLSHJLLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/LeeS12, author = {Young{-}Joo Lee and Junho Song}, title = {Finite-element-based system reliability analysis of fatigue-induced sequential failures}, journal = {Reliab. Eng. Syst. Saf.}, volume = {108}, pages = {131--141}, year = {2012}, url = {https://doi.org/10.1016/j.ress.2012.05.007}, doi = {10.1016/J.RESS.2012.05.007}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/LeeS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJLPPSLL12, author = {Byeong{-}Il Kim and Soon Woo Jeong and Kyoung G. Lee and Taejung Park and Jung Youn Park and Jae Jun Song and Seok Jae Lee and Chang{-}Soo Lee}, title = {Synthesis of Bioactive Microcapsules Using a Microfluidic Device}, journal = {Sensors}, volume = {12}, number = {8}, pages = {10136--10147}, year = {2012}, url = {https://doi.org/10.3390/s120810136}, doi = {10.3390/S120810136}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJLPPSLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongLHCHY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Unsoo Ha and Hoi{-}Jun Yoo}, title = {A Sub-10 nA DC-Balanced Adaptive Stimulator {IC} With Multi-Modal Sensor for Compact Electro-Acupuncture Stimulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {6}, number = {6}, pages = {533--541}, year = {2012}, url = {https://doi.org/10.1109/TBCAS.2012.2232292}, doi = {10.1109/TBCAS.2012.2232292}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongLHCHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeeJS12, author = {Sangyong Lee and Sanghyuk Jung and Yong Ho Song}, title = {An efficient use of {PRAM} for an enhancement in the performance and durability of {NAND} storage systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {3}, pages = {825--833}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6311324}, doi = {10.1109/TCE.2012.6311324}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LeeJS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeeJS12a, author = {Hakyong Lee and Sanghyuk Jung and Yong Ho Song}, title = {PCRAM-assisted {ECC} management for enhanced data reliability in flash storage systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {3}, pages = {849--856}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6311327}, doi = {10.1109/TCE.2012.6311327}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LeeJS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeeS12a, author = {Jung{-}Hoon Lee and Jang{-}Kun Song}, title = {Individual variation in 3D visual fatigue caused by stereoscopic images}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {2}, pages = {500--504}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6227453}, doi = {10.1109/TCE.2012.6227453}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LeeS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeSJCEK12, author = {Jae{-}Ik Lee and Youngsup Song and Hakkyun Jung and Jungwook Choi and Youngkee Eun and Jongbaeg Kim}, title = {Deformable Carbon Nanotube-Contact Pads for Inertial Microswitch to Extend Contact Time}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {12}, pages = {4914--4920}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2163918}, doi = {10.1109/TIE.2011.2163918}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeSJCEK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/KangLLS12, author = {Dong{-}Hoon Kang and Eun{-}Jung Lee and Jung{-}Hoon Lee and Jang{-}Kun Song}, title = {Perceptual Strength of 3-D Crosstalk in Both Achromatic and Color Images in Stereoscopic 3-D Displays}, journal = {{IEEE} Trans. Image Process.}, volume = {21}, number = {7}, pages = {3253--3261}, year = {2012}, url = {https://doi.org/10.1109/TIP.2012.2189579}, doi = {10.1109/TIP.2012.2189579}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/KangLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LeeLKKRS12, author = {Youngki Lee and Sang Jeong Lee and Byoungjip Kim and Jungwoo Kim and Yunseok Rhee and Junehwa Song}, title = {Scalable Activity-Travel Pattern Monitoring Framework for Large-Scale City Environment}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {11}, number = {4}, pages = {644--662}, year = {2012}, url = {https://doi.org/10.1109/TMC.2011.113}, doi = {10.1109/TMC.2011.113}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LeeLKKRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SongYLKKKLJMC12, author = {Byung Cheol Song and Yongseok Yi and Yun{-}Gu Lee and Nak Hoon Kim and Jun Hyuk Ko and Tae Hee Kim and Dong Keun Lim and Woo Hyun Ju and Jae{-}Pil Moon and Kyunghwan Cho}, title = {1080p 60 Hz Intra-Frame Video {CODEC} Chip Design and Its Implementation}, journal = {J. Signal Process. Syst.}, volume = {67}, number = {3}, pages = {291--303}, year = {2012}, url = {https://doi.org/10.1007/s11265-010-0564-8}, doi = {10.1007/S11265-010-0564-8}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/SongYLKKKLJMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LeePCSY12, author = {Donghoon Lee and Hyunsin Park and Junyoung Chung and Youngook Song and Chang D. Yoo}, editor = {Kyoung Mu Lee and Yasuyuki Matsushita and James M. Rehg and Zhanyi Hu}, title = {Sparsity Sharing Embedding for Face Verification}, booktitle = {Computer Vision - {ACCV} 2012, 11th Asian Conference on Computer Vision, Daejeon, Korea, November 5-9, 2012, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7725}, pages = {627--638}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37444-9\_49}, doi = {10.1007/978-3-642-37444-9\_49}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/accv/LeePCSY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmicn/KoPRSDLV12, author = {Bong Jun Ko and Vasileios Pappas and Ramya Raghavendra and Yang Song and Raheleh B. Dilmaghani and Kang{-}Won Lee and Dinesh C. Verma}, editor = {Dirk Kutscher and B{\"{o}}rje Ohlman and Ignacio Solis}, title = {An information-centric architecture for data center networks}, booktitle = {ICN'12, {ACM} Proceedings of the Information-Centric Networking Workshop, Helsinki, Finland, August 17, 2012}, pages = {79--84}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2342488.2342506}, doi = {10.1145/2342488.2342506}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acmicn/KoPRSDLV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/SongLHCLY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Kwonjoon Lee and Hoi{-}Jun Yoo}, title = {Compact electro-acupuncture system for multi-modal feedback stimulation}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {82}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418502}, doi = {10.1109/BIOCAS.2012.6418502}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/SongLHCLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/PyoKKJSLKLK12, author = {Suk{-}Soo Pyo and Jun{-}Sung Kim and Jung{-}Han Kim and Hyun{-}Taek Jung and Taejoong Song and Cheol{-}Ha Lee and Gyun{-}Hong Kim and Young{-}Keun Lee and Kee Sup Kim}, title = {A 0.65V embedded {SDRAM} with smart boosting and power management in a 45nm {CMOS} technology}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330622}, doi = {10.1109/CICC.2012.6330622}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/PyoKKJSLKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/AnLYK12, author = {Baik Song An and Manhee Lee and Ki Hwan Yum and Eun Jung Kim}, editor = {James A. Storer and Michael W. Marcellin}, title = {Efficient Data Packet Compression for Cache Coherent Multiprocessor Systems}, booktitle = {2012 Data Compression Conference, Snowbird, UT, USA, April 10-12, 2012}, pages = {129--138}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DCC.2012.21}, doi = {10.1109/DCC.2012.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcc/AnLYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JangNLJSLK12, author = {Yongwon Jang and Hyung Wook Noh and In Bum Lee and Ji{-}Wook Jung and Yoonseon Song and Sooyeul Lee and Seunghwan Kim}, title = {Development of a patch type embedded cardiac function monitoring system using dual microprocessor for arrhythmia detection in heart disease patient}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2162--2165}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346389}, doi = {10.1109/EMBC.2012.6346389}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/JangNLJSLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeLPSL12, author = {Jeon Lee and Jung{-}Hun Lee and Jong{-}wook Park and Mi{-}hye Song and Kyoung{-}Joung Lee}, title = {A ventricular activity cancellation algorithm based on event synchronous adaptive filter for single-lead electrocardiograms}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5226--5229}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347172}, doi = {10.1109/EMBC.2012.6347172}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeLPSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SongLHCY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {The compact electro-acupuncture system for multi-modal feedback electro-acupuncture treatment}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {3265--3268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346662}, doi = {10.1109/EMBC.2012.6346662}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SongLHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongPLHCKK12, author = {Hye{-}Jeong Song and Chan{-}Young Park and Jung{-}Kuk Lee and Dae{-}Yong Han and Han{-}Gil Choi and Jong{-}Dae Kim and Yu{-}Seop Kim}, editor = {Tai{-}Hoon Kim and Jianhua Ma and Wai{-}Chi Fang and Yanchun Zhang and Alfredo Cuzzocrea}, title = {{KOST:} Korean Semantic Tagger ver 1.0}, booktitle = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {352}, pages = {44--49}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35603-2\_7}, doi = {10.1007/978-3-642-35603-2\_7}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/fgit/SongPLHCKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongPLLLKK12, author = {Hye{-}Jeong Song and Chan{-}Young Park and Jung{-}Kuk Lee and Min{-}Ji Lee and Yoon{-}Jeong Lee and Jong{-}Dae Kim and Yu{-}Seop Kim}, editor = {Tai{-}Hoon Kim and Jianhua Ma and Wai{-}Chi Fang and Yanchun Zhang and Alfredo Cuzzocrea}, title = {Construction of Korean Semantic Annotated Corpus}, booktitle = {Computer Applications for Database, Education, and Ubiquitous Computing - International Conferences, EL, {DTA} and {UNESST} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {352}, pages = {265--271}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35603-2\_39}, doi = {10.1007/978-3-642-35603-2\_39}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/SongPLLLKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/JungLSKK12, author = {Kyunghoon Jung and Inseong Lee and Hajun Song and Jungmin Kim and Sungshin Kim}, editor = {Chun{-}Yi Su and Subhash Rakheja and Honghai Liu}, title = {Vision Guidance System for {AGV} Using {ANFIS}}, booktitle = {Intelligent Robotics and Applications - 5th International Conference, {ICIRA} 2012, Montreal, Canada, October 3-5, 2012, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7506}, pages = {377--385}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33509-9\_37}, doi = {10.1007/978-3-642-33509-9\_37}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/JungLSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/LimSCRL12, author = {Jeong{-}Hyun Lim and Chang{-}Woo Song and Kyung{-}Yong Chung and Ki{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Forensic Evidence Collection Procedures of Smartphone in Crime Scene}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {35--41}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_5}, doi = {10.1007/978-94-007-5860-5\_5}, timestamp = {Wed, 24 May 2017 08:27:16 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/LimSCRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/SongLCRL12, author = {Chang{-}Woo Song and Jeong{-}Hyun Lim and Kyung{-}Yong Chung and Ki{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Fast Data Acquisition with Mobile Device in Digital Crime}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {711--717}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_84}, doi = {10.1007/978-94-007-5860-5\_84}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/SongLCRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcci/LeeHCP12, author = {Jung Song Lee and Han Hee Hahm and Seong Soo Chang and Soon Cheol Park}, editor = {Agostinho C. Rosa and Ant{\'{o}}nio Dourado Correia and Kurosh Madani and Joaquim Filipe and Janusz Kacprzyk}, title = {Generation of Non-redundant Summary based on Sentence Clustering Algorithms of {NSGA-II} and {SPEA2}}, booktitle = {{IJCCI} 2012 - Proceedings of the 4th International Joint Conference on Computational Intelligence, Barcelona, Spain, 5 - 7 October, 2012}, pages = {176--182}, publisher = {SciTePress}, year = {2012}, timestamp = {Fri, 30 Nov 2012 20:53:53 +0100}, biburl = {https://dblp.org/rec/conf/ijcci/LeeHCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/ChoiLSSJLK12, author = {Jung{-}Whoan Choi and Seungwoo Lee and Dongmin Seo and Sa{-}Kwang Song and Hanmin Jung and Sang Hwan Lee and Pyung Kim}, editor = {Li Chen and Alexander Felfernig and Jiming Liu and Zbigniew W. Ras}, title = {On Supporting Weapon System Information Analysis with Ontology Model and Text Mining}, booktitle = {Foundations of Intelligent Systems - 20th International Symposium, {ISMIS} 2012, Macau, China, December 4-7, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7661}, pages = {293--300}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34624-8\_34}, doi = {10.1007/978-3-642-34624-8\_34}, timestamp = {Sun, 02 Jun 2019 21:17:12 +0200}, biburl = {https://dblp.org/rec/conf/ismis/ChoiLSSJLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12, author = {Youngdon Choi and Ickhyun Song and Mu{-}Hui Park and Hoeju Chung and Sanghoan Chang and Beakhyoung Cho and Jinyoung Kim and Younghoon Oh and Dukmin Kwon and Jung Sunwoo and Junho Shin and Yoohwan Rho and Changsoo Lee and Min Gu Kang and Jaeyun Lee and Yongjin Kwon and Soehee Kim and Jaewhan Kim and Yong{-}jun Lee and Qi Wang and Sooho Cha and Sujin Ahn and Hideki Horii and Jaewook Lee and KiSeung Kim and Han{-}Sung Joo and KwangJin Lee and Yeong{-}Taek Lee and Jei{-}Hwan Yoo and Gitae Jeong}, title = {A 20nm 1.8V 8Gb {PRAM} with 40MB/s program bandwidth}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {46--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176872}, doi = {10.1109/ISSCC.2012.6176872}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KooOKKSLKKLOLLLLJJCKHKCK12, author = {Kibong Koo and Sunghwa Ok and Yonggu Kang and Seungbong Kim and Choungki Song and Hyeyoung Lee and Hyungsoo Kim and Yongmi Kim and Jeonghun Lee and Seunghan Oak and Yosep Lee and Jungyu Lee and Joongho Lee and Hyungyu Lee and Jaemin Jang and Jongho Jung and Byeongchan Choi and Yong{-}Ju Kim and Youngdo Hur and Yunsaing Kim and Byong{-}Tae Chung and Yongtak Kim}, title = {A 1.2V 38nm 2.4Gb/s/pin 2Gb {DDR4} {SDRAM} with bank group and {\texttimes}4 half-page architecture}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {40--41}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176869}, doi = {10.1109/ISSCC.2012.6176869}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KooOKKSLKKLOLLLLJJCKHKCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSKKKKCPCK12, author = {Hyun{-}Woo Lee and Soo{-}Bin Lim and Junyoung Song and Jabeom Koo and Dae{-}Han Kwon and Jong{-}Ho Kang and Yunsaing Kim and Young{-}Jung Choi and Kunwoo Park and Byong{-}Tae Chung and Chulwoo Kim}, title = {A 283.2{\(\mu\)}W 800Mb/s/pin DLL-based data self-aligner for Through-Silicon Via {(TSV)} interface}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {48--50}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176873}, doi = {10.1109/ISSCC.2012.6176873}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSKKKKCPCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLHCY12, author = {Kiseok Song and Hyungwoo Lee and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A sub-10nA DC-balanced adaptive stimulator {IC} with multimodal sensor for compact electro-acupuncture system}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {296--298}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177021}, doi = {10.1109/ISSCC.2012.6177021}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/PushpCHLSC12, author = {Saumay Pushp and Tae Hun Cho and Jongwon Han and Dongman Lee and Junehwa Song and Sunghee Choi}, editor = {{\"{O}}zg{\"{u}}r B. Akan and Eylem Ekici and Lili Qiu and Alex C. Snoeren}, title = {An efficient way to track peers in mobile {P2P} network}, booktitle = {The 18th Annual International Conference on Mobile Computing and Networking, Mobicom'12, Istanbul, Turkey, August 22-26, 2012}, pages = {431--434}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2348543.2348602}, doi = {10.1145/2348543.2348602}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/PushpCHLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeJMKHS12, author = {Youngki Lee and Younghyun Ju and Chulhong Min and Seungwoo Kang and Inseok Hwang and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {CoMon: cooperative ambience monitoring platform with continuity and benefit awareness}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {43--56}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307641}, doi = {10.1145/2307636.2307641}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeJMKHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeJMKHS12a, author = {Youngki Lee and Younghyun Ju and Chulhong Min and Seungwoo Kang and Inseok Hwang and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {Demo: SenseTogether - cooperative ambience monitoring platform with continuity and benefit awareness}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {453--454}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307680}, doi = {10.1145/2307636.2307680}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeJMKHS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/MinHHLLSS12, author = {Chulhong Min and Wookhyun Han and Inseok Hwang and Sang Jeong Lee and Youngki Lee and Insik Shin and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {Poster: towards mobile GPU-accelerated context processing for continuous sensing applications on smartphones}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {505--506}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307706}, doi = {10.1145/2307636.2307706}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/MinHHLLSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkHLLYLJCPS12, author = {Taiwoo Park and Inseok Hwang and Uichin Lee and Sunghoon Ivan Lee and Chungkuk Yoo and Youngki Lee and Hyukjae Jang and Sungwon Peter Choe and Souneil Park and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {ExerLink: enabling pervasive social exergames with heterogeneous exercise devices}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {15--28}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307639}, doi = {10.1145/2307636.2307639}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ParkHLLYLJCPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkHLLYLJCPS12a, author = {Taiwoo Park and Inseok Hwang and Uichin Lee and Sunghoon Ivan Lee and Chungkuk Yoo and Youngki Lee and Hyukjae Jang and Sungwon Peter Choe and Souneil Park and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {Demo: ExerLink - enabling pervasive social exergames with heterogeneous exercise devices}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {449--450}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307678}, doi = {10.1145/2307636.2307678}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ParkHLLYLJCPS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/JuMLYS12, author = {Younghyun Ju and Chulhong Min and Youngki Lee and Jihyun Yu and Junehwa Song}, editor = {Silvia Giordano and Marc Langheinrich and Albrecht Schmidt}, title = {An efficient dataflow execution method for mobile context monitoring applications}, booktitle = {2012 {IEEE} International Conference on Pervasive Computing and Communications, Lugano, Switzerland, March 19-23, 2012}, pages = {116--121}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/PerCom.2012.6199857}, doi = {10.1109/PERCOM.2012.6199857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/JuMLYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pervasive/HwangJPCLHCNS12, author = {Inseok Hwang and Hyukjae Jang and Taiwoo Park and Aram Choi and Youngki Lee and Chanyou Hwang and Yanggui Choi and Lama Nachman and Junehwa Song}, editor = {Judy Kay and Paul Lukowicz and Hideyuki Tokuda and Patrick Olivier and Antonio Kr{\"{u}}ger}, title = {Leveraging Children's Behavioral Distribution and Singularities in New Interactive Environments: Study in Kindergarten Field Trips}, booktitle = {Pervasive Computing - 10th International Conference, Pervasive 2012, Newcastle, UK, June 18-22, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7319}, pages = {39--56}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31205-2\_3}, doi = {10.1007/978-3-642-31205-2\_3}, timestamp = {Sat, 30 Sep 2023 09:54:38 +0200}, biburl = {https://dblp.org/rec/conf/pervasive/HwangJPCLHCNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeJS12, author = {Seon{-}Woo Lee and Philhwan Jung and Seong{-}Ho Song}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Xu}, title = {Hybrid Indoor Location Tracking for Pedestrian Using a Smartphone}, booktitle = {Robot Intelligence Technology and Applications 2012 - An Edition of the Presented Papers from the 1st International Conference on Robot Intelligence Technology and Applications, RiTA 2012, Gwangju, Korea, December 16-18, 2012}, series = {Advances in Intelligent Systems and Computing}, volume = {208}, pages = {431--440}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37374-9\_42}, doi = {10.1007/978-3-642-37374-9\_42}, timestamp = {Tue, 13 Apr 2021 15:13:02 +0200}, biburl = {https://dblp.org/rec/conf/rita/LeeJS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LeeJMYS12, author = {Youngki Lee and Younghyun Ju and Chulhong Min and Jihyun Yu and Junehwa Song}, title = {MobiCon: Mobile context monitoring platform: Incorporating context-awareness to smartphone-centric personal sensor networks}, booktitle = {9th Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2012, Seoul, Korea (South), June 18-21, 2012}, pages = {109--111}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SECON.2012.6275765}, doi = {10.1109/SECON.2012.6275765}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/LeeJMYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/JuLYMSS12, author = {Younghyun Ju and Youngki Lee and Jihyun Yu and Chulhong Min and Insik Shin and Junehwa Song}, editor = {M. Rasit Eskicioglu and Andrew Campbell and Koen Langendoen}, title = {SymPhoney: a coordinated sensing flow execution engine for concurrent mobile sensing applications}, booktitle = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys '12, Toronto, ON, Canada, November 6-9, 2012}, pages = {211--224}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2426656.2426678}, doi = {10.1145/2426656.2426678}, timestamp = {Fri, 10 Dec 2021 17:15:01 +0100}, biburl = {https://dblp.org/rec/conf/sensys/JuLYMSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ParkLSLS12, author = {Taiwoo Park and Bupjae Lee and Seokyoung Song and Uichin Lee and Junehwa Song}, editor = {M. Rasit Eskicioglu and Andrew Campbell and Koen Langendoen}, title = {Supporting posture-free gameplay for motion-based mobile games}, booktitle = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys '12, Toronto, ON, Canada, November 6-9, 2012}, pages = {379--380}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2426656.2426718}, doi = {10.1145/2426656.2426718}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/ParkLSLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/PushpMLLS12, author = {Saumay Pushp and Chulhong Min and Youngki Lee and Chi Harold Liu and Junehwa Song}, editor = {M. Rasit Eskicioglu and Andrew Campbell and Koen Langendoen}, title = {Towards crowd-aware sensing platform for metropolitan environments}, booktitle = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys '12, Toronto, ON, Canada, November 6-9, 2012}, pages = {335--336}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2426656.2426695}, doi = {10.1145/2426656.2426695}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/PushpMLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/0001LPS12, author = {Inseok Hwang and Youngki Lee and Taiwoo Park and Junehwa Song}, editor = {Sharad Agarwal and Rajesh Krishna Balan}, title = {Toward a mobile platform for pervasive games}, booktitle = {Proceedings of the first {ACM} International Workshop on Mobile Gaming, MobileGames '12, Helsinki, Finland, August 13, 2012}, pages = {19--24}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2342480.2342486}, doi = {10.1145/2342480.2342486}, timestamp = {Wed, 16 Feb 2022 16:42:40 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/0001LPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/LeeBL11, author = {Jungwoo Lee and Songhoon Baik and Choonhwa Lee}, title = {Building an Integrated Service Management Platform for Ubiquitous Cities}, journal = {Computer}, volume = {44}, number = {6}, pages = {56--63}, year = {2011}, url = {https://doi.org/10.1109/MC.2011.131}, doi = {10.1109/MC.2011.131}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/LeeBL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/CaseyCLOS11, author = {Eoghan Casey and Adrien Cheval and Jong Yeon Lee and David Oxley and Yong Jun Song}, title = {Forensic acquisition and analysis of palm webOS on mobile devices}, journal = {Digit. Investig.}, volume = {8}, number = {1}, pages = {37--47}, year = {2011}, url = {https://doi.org/10.1016/j.diin.2011.04.003}, doi = {10.1016/J.DIIN.2011.04.003}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/CaseyCLOS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeJKJLSY11, author = {Sungin Lee and Senator Jeong and Hong{-}Gee Kim and Hanmin Jung and Mikyoung Lee and Seung{-}Jae Song and Beom{-}Jong You}, title = {OntoPipeliner: An ontology-based automatic semantic service pipeline generator}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {9472--9482}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.01.152}, doi = {10.1016/J.ESWA.2011.01.152}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeJKJLSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKS11, author = {Je{-}Hoon Lee and Sang{-}Choon Kim and Young{-}Jun Song}, title = {High-Speed {FPGA} Implementation of the {SHA-1} Hash Function}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {94-A}, number = {9}, pages = {1873--1876}, year = {2011}, url = {https://doi.org/10.1587/transfun.E94.A.1873}, doi = {10.1587/TRANSFUN.E94.A.1873}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeSK11, author = {Je{-}Hoon Lee and Young{-}Jun Song and Sang{-}Choon Kim}, title = {A Self-Timed {SRAM} Design for Average-Case Performance}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {94-D}, number = {8}, pages = {1547--1556}, year = {2011}, url = {https://doi.org/10.1587/transinf.E94.D.1547}, doi = {10.1587/TRANSINF.E94.D.1547}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/KimLLHRS11, author = {Byoungjip Kim and Sang Jeong Lee and Youngki Lee and Inseok Hwang and Yunseok Rhee and Junehwa Song}, title = {Mobiiscape: Middleware support for scalable mobility pattern monitoring of moving objects in a large-scale city}, journal = {J. Syst. Softw.}, volume = {84}, number = {11}, pages = {1852--1870}, year = {2011}, url = {https://doi.org/10.1016/j.jss.2011.06.068}, doi = {10.1016/J.JSS.2011.06.068}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/KimLLHRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YanBLRSY11, author = {Long Yan and Joonsung Bae and Seulki Lee and Taehwan Roh and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 3.9 mW 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {353--364}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2074350}, doi = {10.1109/JSSC.2010.2074350}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YanBLRSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongYLYY11, author = {Kiseok Song and Long Yan and Seulki Lee and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Wirelessly Powered Electro-Acupuncture Based on Adaptive Pulsewidth Monophase Stimulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {5}, number = {2}, pages = {138--146}, year = {2011}, url = {https://doi.org/10.1109/TBCAS.2011.2144592}, doi = {10.1109/TBCAS.2011.2144592}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongYLYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeJKJ11, author = {Seungho Lee and Yu{-}Seok Jeong and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Novel Analysis and Design Methodology of Interior Permanent-Magnet Synchronous Motor Using Newly Adopted Synthetic Flux Linkage}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {58}, number = {9}, pages = {3806--3814}, year = {2011}, url = {https://doi.org/10.1109/TIE.2010.2093479}, doi = {10.1109/TIE.2010.2093479}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeJKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LeeLJSBFP11, author = {Junghoon Lee and Christian Labat and Ameet K. Jain and Danny Y. Song and Everette Clif Burdette and Gabor Fichtinger and Jerry L. Prince}, title = {{REDMAPS:} Reduced-Dimensionality Matching for Prostate Brachytherapy Seed Reconstruction}, journal = {{IEEE} Trans. Medical Imaging}, volume = {30}, number = {1}, pages = {38--51}, year = {2011}, url = {https://doi.org/10.1109/TMI.2010.2059709}, doi = {10.1109/TMI.2010.2059709}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LeeLJSBFP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LeeYSLK11, author = {Jae Hak Lee and Choong D. Yoo and Jun{-}Yeob Song and Seung S. Lee and Sun{-}Rak Kim}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {A study on the edge traces technique for 3D stack chip}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6262995}, doi = {10.1109/3DIC.2012.6262995}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/LeeYSLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/SongCJRL11, author = {Chang{-}Woo Song and Kyung{-}Yong Chung and Jason J. Jung and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Ngoc Thanh Nguyen and Bogdan Trawinski and Jason J. Jung}, title = {Localized Approximation Method Using Inertial Compensation in WSNs}, booktitle = {New Challenges for Intelligent Information and Database Systems [original works presented during a poster session organized within the 3rd Asian Conference on Intelligent Information and Database Systems, 20-22 April 2011, Daegu, Korea]}, series = {Studies in Computational Intelligence}, volume = {351}, pages = {247--255}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19953-0\_25}, doi = {10.1007/978-3-642-19953-0\_25}, timestamp = {Thu, 16 Mar 2023 20:00:28 +0100}, biburl = {https://dblp.org/rec/conf/aciids/SongCJRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ParkLS11, author = {Souneil Park and Kyung Soon Lee and Junehwa Song}, editor = {Dekang Lin and Yuji Matsumoto and Rada Mihalcea}, title = {Contrasting Opposing Views of News Articles on Contentious Issues}, booktitle = {The 49th Annual Meeting of the Association for Computational Linguistics: Human Language Technologies, Proceedings of the Conference, 19-24 June, 2011, Portland, Oregon, {USA}}, pages = {340--349}, publisher = {The Association for Computer Linguistics}, year = {2011}, url = {https://aclanthology.org/P11-1035/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ParkLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acn/Lee0PKKS11, author = {Junghoon Lee and Hye{-}Jin Kim and Gyung{-}Leen Park and Ho{-}Young Kwak and Young{-}cheol Kim and JeongHoon Song}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Electric Vehicle Telematics Framework for Smart Transportation}, booktitle = {Advanced Communication and Networking - Third International Conference, {ACN} 2011, Brno, Czech Republic, August 15-17, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {199}, pages = {176--182}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23312-8\_21}, doi = {10.1007/978-3-642-23312-8\_21}, timestamp = {Fri, 30 Apr 2021 10:51:24 +0200}, biburl = {https://dblp.org/rec/conf/acn/Lee0PKKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/BaeSLCY11, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A low energy crystal-less double-FSK transceiver for wireless body-area-network}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {181--184}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123632}, doi = {10.1109/ASSCC.2011.6123632}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/BaeSLCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/TanSZCYTYGTCKWH11, author = {Sam Chun{-}Geik Tan and Fei Song and Renliang Zheng and Jiqing Cui and Guoqin Yao and Litian Tang and Yuejin Yang and Dandan Guo and Alexander Tanzil and Junmin Cao and Ming Kong and KianTiong Wong and Chee{-}Lee Heng and Osama Shana'a and Guang{-}Kaai Dehng}, title = {An ultra-low-cost Bluetooth {SOC} in 0.11-{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {365--368}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123591}, doi = {10.1109/ASSCC.2011.6123591}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/TanSZCYTYGTCKWH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/LeeLSE11, author = {Wookey Lee and James Jung{-}Hun Lee and Justin JongSu Song and Chris Soo{-}Hyun Eom}, title = {Maximum Reliable Tree for Social Network Search}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {1243--1249}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DASC.2011.201}, doi = {10.1109/DASC.2011.201}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/LeeLSE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/LeeLKCRS11, author = {Sang Jeong Lee and Youngki Lee and Byoungjip Kim and Kasim Sel{\c{c}}uk Candan and Yunseok Rhee and Junehwa Song}, editor = {David M. Eyers and Opher Etzion and Avigdor Gal and Stanley B. Zdonik and Paul Vincent}, title = {High-performance composite event monitoring system supporting large numbers of queries and sources}, booktitle = {Proceedings of the Fifth {ACM} International Conference on Distributed Event-Based Systems, {DEBS} 2011, New York, NY, USA, July 11-15, 2011}, pages = {137--148}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2002259.2002280}, doi = {10.1145/2002259.2002280}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/debs/LeeLKCRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimLSKCHK11, author = {Junghoon Kim and Tae Hyung Lee and Yun Mi Song and In Sook Kim and Tae Hyung Cho and Sune Jung Hwang and Sung June Kim}, title = {An implantable electrical bioreactor for enhancement of cell viability}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {3601--3604}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090603}, doi = {10.1109/IEMBS.2011.6090603}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimLSKCHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ChoiLP11, author = {Lim Cheon Choi and Jung Song Lee and Soon Cheol Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Haeng{-}Kon Kim and Heau{-}Jo Kang and Kyung Jung Kim and Kiumi Akingbehin and Byeong Ho Kang}, title = {Double Layered Genetic Algorithm for Document Clustering}, booktitle = {Software Engineering, Business Continuity, and Education - International Conferences ASEA, {DRBC} and {EL} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {257}, pages = {212--218}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27207-3\_21}, doi = {10.1007/978-3-642-27207-3\_21}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/ChoiLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeCP11, author = {Jung Song Lee and Lim Cheon Choi and Soon Cheol Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Haeng{-}Kon Kim and Heau{-}Jo Kang and Kyung Jung Kim and Kiumi Akingbehin and Byeong Ho Kang}, title = {Multi-Objective Genetic Algorithms, {NSGA-II} and SPEA2, for Document Clustering}, booktitle = {Software Engineering, Business Continuity, and Education - International Conferences ASEA, {DRBC} and {EL} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {257}, pages = {219--227}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27207-3\_22}, doi = {10.1007/978-3-642-27207-3\_22}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/LeeCP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongTNNLH11, author = {Biao Song and Wei Tang and Tien{-}Dung Nguyen and Sang{-}Ho Na and Jun{-}Hyung Lee and Eui{-}nam Huh}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Wai{-}Chi Fang and Thanos Vasilakos and Adrian Stoica and Charalampos Z. Patrikakis and Gansen Zhao and L. Javier Garc{\'{\i}}a{-}Villalba and Yang Xiao}, title = {Studies on the Key Technologies of Multi-Platform Mobile Thin Client System: Cross-Layer Isolation and Session Allocation}, booktitle = {Communication and Networking - International Conference, {FGCN} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {266}, pages = {1--10}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27201-1\_1}, doi = {10.1007/978-3-642-27201-1\_1}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/fgit/SongTNNLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/KimLLRS11, author = {Byoungjip Kim and Youngki Lee and Sang Jeong Lee and Yunseok Rhee and Junehwa Song}, editor = {Christian S. Jensen and Wang{-}Chien Lee and Yu Zheng and Mohamed F. Mokbel}, title = {Towards trajectory-based experience sharing in a city}, booktitle = {Proceedings of the 2011 International Workshop on Location Based Social Networks, {LBSN} 2011, November 1, 2011, Chicago, IL, USA, Proceedings}, pages = {85--88}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063212.2063221}, doi = {10.1145/2063212.2063221}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/gis/KimLLRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongLNLKSKLKKJMLC11, author = {YouSik Hong and Sang{-}Suk Lee and Dong{-}Hyun Nam and Woo{-}Beom Lee and Hongkyun Kim and Young Jun Song and Kwang{-}Baek Kim and Geuk Lee and Jeong Jin Kang and Rethina Kumar and HyunSoo Jin and Chanwoo Moon and Young Dae Lee and ChangDuk Chung}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Smart Pulse Wave Detection System Using Intelligence}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6935}, pages = {213--220}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24082-9\_26}, doi = {10.1007/978-3-642-24082-9\_26}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/HongLNLKSKLKKJMLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/LeeSLJL11, author = {Cheol{-}Kwon Lee and Jae{-}gu Song and Dong{-}young Lee and Hyun{-}mi Jung and Gang{-}soo Lee}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {A Cyber-Security Implementation Framework for Nuclear Power Plant Control Systems}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {190--195}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_25}, doi = {10.1007/978-3-642-24106-2\_25}, timestamp = {Sat, 05 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/LeeSLJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isabel/MinYLS11, author = {Chulhong Min and Chungkuk Yoo and Youngki Lee and Junehwa Song}, editor = {Simone Frattasi and Nicola Marchetti}, title = {Healthopia: towards your well-being in everyday life}, booktitle = {Proceedings of the 4th International Symposium on Applied Sciences in Biomedical and Communication Technologies, {ISABEL} '11, Barcelona, Spain, October 26-29, 2011}, pages = {108:1--108:5}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2093698.2093806}, doi = {10.1145/2093698.2093806}, timestamp = {Tue, 06 Nov 2018 16:57:31 +0100}, biburl = {https://dblp.org/rec/conf/isabel/MinYLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSYY11, author = {Hyungwoo Lee and Kiseok Song and Long Yan and Hoi{-}Jun Yoo}, title = {A 2.4{\(\mathrm{\mu}\)}W 400nC/s constant charge injector for wirelessly-powered electro-acupuncture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937913}, doi = {10.1109/ISCAS.2011.5937913}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HwangSNKAKL11, author = {Dong{-}Hyun Hwang and Jung{-}Eun Song and Sang{-}Pil Nam and Hyo{-}Jin Kim and Tai{-}Ji An and Kwang{-}Soo Kim and Seung{-}Hoon Lee}, title = {A range-scaled 13b 100MS/s 0.13{\(\mu\)}m {CMOS} SHA-free {ADC} based on a single reference}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {62--65}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138646}, doi = {10.1109/ISOCC.2011.6138646}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HwangSNKAKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSLCYY11, author = {Joonsung Bae and Kiseok Song and Hyungwoo Lee and Hyunwoo Cho and Long Yan and Hoi{-}Jun Yoo}, title = {A 0.24nJ/b wireless body-area-network transceiver with scalable double-FSK modulation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {34--36}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746207}, doi = {10.1109/ISSCC.2011.5746207}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSLCYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {500--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746415}, doi = {10.1109/ISSCC.2011.5746415}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/DehghanLFKDBSPF11, author = {Ehsan Dehghan and Junghoon Lee and Pascal Fallavollita and Nathanael Kuo and Anton Deguet and Everette Clif Burdette and Danny Y. Song and Jerry L. Prince and Gabor Fichtinger}, editor = {Gabor Fichtinger and Anne L. Martel and Terry M. Peters}, title = {Point-to-Volume Registration of Prostate Implants to Ultrasound}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2011 - 14th International Conference, Toronto, Canada, September 18-22, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6892}, pages = {615--622}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23629-7\_75}, doi = {10.1007/978-3-642-23629-7\_75}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/DehghanLFKDBSPF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeJMKRS11, author = {Youngki Lee and Younghyun Ju and Chulhong Min and Seungwoo Kang and Yunseok Rhee and Junehwa Song}, editor = {Ashok K. Agrawala and Mark D. Corner and David Wetherall}, title = {Demo: CoMon - resource-aware cooperative context monitoring system for smartphone-centric sensor-rich pans}, booktitle = {Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services (MobiSys 2011), Bethesda, MD, USA, June 28 - July 01, 2011}, pages = {363--364}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1999995.2000036}, doi = {10.1145/1999995.2000036}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/LeeJMKRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkLHYNS11, author = {Taiwoo Park and Jinwon Lee and Inseok Hwang and Chungkuk Yoo and Lama Nachman and Junehwa Song}, editor = {Ashok K. Agrawala and Mark D. Corner and David Wetherall}, title = {Demo: e-gesture - a collaborative architecture for energy-efficient gesture recognition with hand-worn sensor and mobile devices}, booktitle = {Proceedings of the 9th International Conference on Mobile Systems, Applications, and Services (MobiSys 2011), Bethesda, MD, USA, June 28 - July 01, 2011}, pages = {359--360}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1999995.2000034}, doi = {10.1145/1999995.2000034}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ParkLHYNS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ParkLHYNS11, author = {Taiwoo Park and Jinwon Lee and Inseok Hwang and Chungkuk Yoo and Lama Nachman and Junehwa Song}, editor = {Jie Liu and Philip Alexander Levis and Kay R{\"{o}}mer}, title = {E-Gesture: a collaborative architecture for energy-efficient gesture recognition with hand-worn sensor and mobile devices}, booktitle = {Proceedings of the 9th International Conference on Embedded Networked Sensor Systems, SenSys 2011, Seattle, WA, USA, November 1-4, 2011}, pages = {260--273}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2070942.2070969}, doi = {10.1145/2070942.2070969}, timestamp = {Mon, 30 Aug 2021 16:43:16 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ParkLHYNS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/LeeS11, author = {Ki Jung Lee and Il{-}Yeol Song}, title = {Modeling and Analyzing User Behavior of Privacy Management on Online Social Network: Research in Progress}, booktitle = {PASSAT/SocialCom 2011, Privacy, Security, Risk and Trust (PASSAT), 2011 {IEEE} Third International Conference on and 2011 {IEEE} Third International Conference on Social Computing (SocialCom), Boston, MA, USA, 9-11 Oct., 2011}, pages = {1344--1351}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PASSAT/SocialCom.2011.80}, doi = {10.1109/PASSAT/SOCIALCOM.2011.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/LeeS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JangSJLK11, author = {Choulsoo Jang and Byoungyoul Song and Seungwook Jung and Kyeong{-}Ho Lee and Sunghoon Kim}, title = {Real-time supporting of OPRoS component Platform}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {640--641}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145899}, doi = {10.1109/URAI.2011.6145899}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/JangSJLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/KimHLKLRNS11, author = {Byoungjip Kim and Jin{-}Young Ha and Sang Jeong Lee and Seungwoo Kang and Youngki Lee and Yunseok Rhee and Lama Nachman and Junehwa Song}, editor = {Anthony LaMarca and Landon P. Cox}, title = {AdNext: a visit-pattern-aware mobile advertising system for urban commercial complexes}, booktitle = {12th Workshop on Mobile Computing Systems and Applications, HotMobile '11, Phoenix, AZ, USA, March 1-3, 2011}, pages = {7--12}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2184489.2184492}, doi = {10.1145/2184489.2184492}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmcsa/KimHLKLRNS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/KimSPLY10, author = {Ki{-}Young Kim and Ho{-}Seok Song and Sukhoon Park and Jung{-}Ju Lee and Yong{-}San Yoon}, title = {Design and Evaluation of a Teleoperated Surgical Manipulator with an Additional Degree of Freedom for Laparoscopic Surgery}, journal = {Adv. Robotics}, volume = {24}, number = {12}, pages = {1695--1718}, year = {2010}, url = {https://doi.org/10.1163/016918610X522522}, doi = {10.1163/016918610X522522}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/KimSPLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/SongJSPLP10, author = {Xue{-}Guan Song and Ji Hoon Jung and Hwan Jung Son and Joon Hong Park and Kwon{-}Hee Lee and Young Chul Park}, title = {Metamodel-based optimization of a control arm considering strength and durability performance}, journal = {Comput. Math. Appl.}, volume = {60}, number = {4}, pages = {976--980}, year = {2010}, url = {https://doi.org/10.1016/j.camwa.2010.03.019}, doi = {10.1016/J.CAMWA.2010.03.019}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/SongJSPLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/LeeJCS10, author = {Yangsup Lee and Sanghyuk Jung and Min Choi and Yong Ho Song}, title = {An efficient management scheme for updating redundant information in flash-based storage system}, journal = {Des. Autom. Embed. Syst.}, volume = {14}, number = {4}, pages = {389--413}, year = {2010}, url = {https://doi.org/10.1007/s10617-010-9067-x}, doi = {10.1007/S10617-010-9067-X}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/LeeJCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonLBS10, author = {Jae{-}Seon Yoon and Sung{-}Ju Lee and Jung{-}In Baik and Hyoung{-}Kyu Song}, title = {Design of {MIMO-OFDM} multi-hop relaying with cooperative base station}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {12}, pages = {874--879}, year = {2010}, url = {https://doi.org/10.1587/elex.7.874}, doi = {10.1587/ELEX.7.874}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonLBS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/BangJKL10, author = {Sung{-}Woo Bang and Hye{-}Wuk Jung and Jaekwang Kim and Jee{-}Hyong Lee}, title = {An Auto Playlist Generation System with One Seed Song}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {10}, number = {1}, pages = {19--24}, year = {2010}, url = {https://doi.org/10.5391/IJFIS.2010.10.1.019}, doi = {10.5391/IJFIS.2010.10.1.019}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijflis/BangJKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/LeeAK10, author = {Manhee Lee and Baik Song An and Eun Jung Kim}, title = {A session key caching and prefetching scheme for secure communication in cluster systems}, journal = {J. Parallel Distributed Comput.}, volume = {70}, number = {7}, pages = {732--742}, year = {2010}, url = {https://doi.org/10.1016/j.jpdc.2009.11.007}, doi = {10.1016/J.JPDC.2009.11.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/LeeAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSYY10, author = {Seulki Lee and Kiseok Song and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {11}, pages = {2366--2374}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2065850}, doi = {10.1109/JSSC.2010.2065850}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10, author = {Ki{-}Whan Song and Jinyoung Kim and Jae{-}Man Yoon and Sua Kim and Huijung Kim and Hyun{-}Woo Chung and Hyungi Kim and Kanguk Kim and Hwan{-}Wook Park and Hyun Chul Kang and Nam{-}Kyun Tak and Dukha Park and Woo{-}Seop Kim and Yeong{-}Taek Lee and Yong Chul Oh and Gyo{-}Young Jin and Jei{-}Hwan Yoo and Donggun Park and Kyungseok Oh and Changhyun Kim and Young{-}Hyun Jun}, title = {A 31 ns Random Cycle VCAT-Based 4F \({}^{\mbox{2}}\) {DRAM} With Manufacturability and Enhanced Cell Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {4}, pages = {880--888}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2040229}, doi = {10.1109/JSSC.2010.2040229}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChangLSSKBAPJKKK10, author = {Yongmin Chang and Jae{-}Jun Lee and Jee{-}Hye Seo and Hui{-}Jin Song and Joo{-}Hyun Kim and Sung{-}Jin Bae and Joon{-}Ho Ahn and Sin{-}Jae Park and Kyoung Sook Jeong and Young Joo Kwon and Suk Hwan Kim and Yangho Kim}, title = {Altered working memory process in the manganese-exposed brain}, journal = {NeuroImage}, volume = {53}, number = {4}, pages = {1279--1285}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.07.001}, doi = {10.1016/J.NEUROIMAGE.2010.07.001}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ChangLSSKBAPJKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ChoLCSLM10, author = {Jaeik Cho and Changhoon Lee and Sang Hyun Cho and Jung Hwan Song and Jongin Lim and Jongsub Moon}, title = {A statistical model for network data analysis: {KDD} {CUP} 99' data evaluation and its comparing with {MIT} Lincoln Laboratory network data}, journal = {Simul. Model. Pract. Theory}, volume = {18}, number = {4}, pages = {431--435}, year = {2010}, url = {https://doi.org/10.1016/j.simpat.2009.09.003}, doi = {10.1016/J.SIMPAT.2009.09.003}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/ChoLCSLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChoLOO10, author = {Hye{-}Jeong Cho and Yeo{-}Song Lee and Sye{-}Hoon Oh and Seoung{-}Jun Oh}, title = {A voting-based intra deinterlacing method for directional error correction}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {3}, pages = {1713--1721}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5606317}, doi = {10.1109/TCE.2010.5606317}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChoLOO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/JungLS10, author = {Sanghyuk Jung and Yangsup Lee and Yong Ho Song}, title = {A process-aware hot/cold identification scheme for flash memory storage systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {339--347}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5505937}, doi = {10.1109/TCE.2010.5505937}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/JungLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/LeeLPHS10, author = {Changhoon Lee and Sangjin Lee and Jong Hyuk Park and Sajid Hussain and Jung Hwan Song}, title = {Security analysis of pure DDP-based cipher proper for multimedia and ubiquitous device}, journal = {Telecommun. Syst.}, volume = {44}, number = {3-4}, pages = {267--279}, year = {2010}, url = {https://doi.org/10.1007/s11235-009-9264-8}, doi = {10.1007/S11235-009-9264-8}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/LeeLPHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/KangLJLPS10, author = {Seungwoo Kang and Jinwon Lee and Hyukjae Jang and Youngki Lee and Souneil Park and Junehwa Song}, title = {A Scalable and Energy-Efficient Context Monitoring Framework for Mobile Personal Sensor Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {9}, number = {5}, pages = {686--702}, year = {2010}, url = {https://doi.org/10.1109/TMC.2009.154}, doi = {10.1109/TMC.2009.154}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/KangLJLPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiSPL10, author = {Hyun{-}Ho Choi and Ohyoung Song and Yeon{-}Kyung Park and Jung{-}Ryun Lee}, title = {Performance Evaluation of Opportunistic Vertical Handover Considering On-Off Characteristics of VoIP Traffic}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {59}, number = {6}, pages = {3115--3121}, year = {2010}, url = {https://doi.org/10.1109/TVT.2010.2049510}, doi = {10.1109/TVT.2010.2049510}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChoiSPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wsn/ChaLKYKKS10, author = {Suk{-}Keun Cha and Jeong{-}Hoon Lee and Han Gyu Kim and Joon Jae Yoo and Jung Hoon Kang and Dong Hoon Kim and Jun{-}Yeob Song}, title = {An Auto-Configuration of 4M Group Management Using Wireless Sensor Networks}, journal = {Wirel. Sens. Netw.}, volume = {2}, number = {5}, pages = {402--410}, year = {2010}, url = {https://doi.org/10.4236/wsn.2010.24052}, doi = {10.4236/WSN.2010.24052}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wsn/ChaLKYKKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wsn/KimSLKC10, author = {Dong{-}Hoon Kim and Jun{-}Yeob Song and Seung{-}Ho Lee and Il{-}Yong Kang and Suk{-}Keun Cha}, title = {A Study on Effective System for Harbor Container Delivery {\&} Cargo Work Automatuion}, journal = {Wirel. Sens. Netw.}, volume = {2}, number = {8}, pages = {629--638}, year = {2010}, url = {https://doi.org/10.4236/wsn.2010.28074}, doi = {10.4236/WSN.2010.28074}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wsn/KimSLKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LeeKSKPKDCSLCSSC10, author = {Jaejin Lee and Jungwon Kim and Sangmin Seo and Seungkyun Kim and Jung{-}Ho Park and Honggyu Kim and Thanh Tuan Dao and Yongjin Cho and Sung Jong Seo and Seung Hak Lee and Seung Mo Cho and Hyo Jung Song and Sang{-}Bum Suh and Jong{-}Deok Choi}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {An OpenCL framework for heterogeneous multicores with local memory}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {193--204}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854301}, doi = {10.1145/1854273.1854301}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/LeeKSKPKDCSLCSSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/SongLK10, author = {Meesun Song and Wonkyu Lee and Junghwan Kim}, editor = {Maria Fox and David Poole}, title = {Extraction and Visualization of Implicit Social Relations on Social Networking Services}, booktitle = {Proceedings of the Twenty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2010, Atlanta, Georgia, USA, July 11-15, 2010}, pages = {1425--1430}, publisher = {{AAAI} Press}, year = {2010}, url = {https://doi.org/10.1609/aaai.v24i1.7513}, doi = {10.1609/AAAI.V24I1.7513}, timestamp = {Mon, 04 Sep 2023 16:23:45 +0200}, biburl = {https://dblp.org/rec/conf/aaai/SongLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/KangLIPKS10, author = {Seungwoo Kang and Youngki Lee and Sunghwan Ihm and Souneil Park and Su Myeon Kim and Junehwa Song}, editor = {Sheikh Iqbal Ahamed and Doo{-}Hwan Bae and Sung Deok Cha and Carl K. Chang and Rajesh Subramanyan and W. Eric Wong and Hen{-}I Yang}, title = {Design and Implementation of a Middleware for Development and Provision of Stream-Based Services}, booktitle = {Proceedings of the 34th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2010, Seoul, Korea, 19-23 July 2010}, pages = {92--100}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/COMPSAC.2010.15}, doi = {10.1109/COMPSAC.2010.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/KangLIPKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/0002LLC10, author = {Junhee Lee and Jinsung Lee and Kyunghan Lee and Song Chong}, editor = {Philip Brighten Godfrey and Chuanxiong Guo and Olaf Maennel}, title = {CommonCode: a code reuse platform for co-simulation and experimentation}, booktitle = {Proceedings of the {ACM} CoNEXT Student Workshop, CoNEXT '10 Student Workshop, Philadelphia, Pennsylvania, USA, November 30, 2010}, pages = {13:1--13:2}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921206.1921221}, doi = {10.1145/1921206.1921221}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conext/0002LLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongKCRL10, author = {Chang{-}Woo Song and Tae{-}Gan Kim and Kyung{-}Yong Chung and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Tai{-}Hoon Kim and Young{-}Hoon Lee and Byeong Ho Kang and Dominik Slezak}, title = {Dynamic Profiling for Efficiency Searching System in Distributed Computing}, booktitle = {Future Generation Information Technology - Second International Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6485}, pages = {518--525}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17569-5\_51}, doi = {10.1007/978-3-642-17569-5\_51}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/SongKCRL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/ChungSRL10, author = {Kyung{-}Yong Chung and Chang{-}Woo Song and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Jeng{-}Shyang Pan and Shyi{-}Ming Chen and Ngoc Thanh Nguyen}, title = {Quick Response System Using Collaborative Filtering on Fashion E-Business}, booktitle = {Computational Collective Intelligence. Technologies and Applications - Second International Conference, {ICCCI} 2010, Kaohsiung, Taiwan, November 10-12, 2010. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6421}, pages = {54--63}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16693-8\_6}, doi = {10.1007/978-3-642-16693-8\_6}, timestamp = {Thu, 16 Mar 2023 20:00:30 +0100}, biburl = {https://dblp.org/rec/conf/iccci/ChungSRL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChongSLLKY10, author = {Dahae Chong and Chonghan Song and Youngpo Lee and Myungsoo Lee and Junhwan Kim and Seokho Yoon}, editor = {David Taniar and Osvaldo Gervasi and Beniamino Murgante and Eric Pardede and Bernady O. Apduhan}, title = {Optimal and Suboptimal Synchronization Schemes for Ultra-Wideband Systems}, booktitle = {Computational Science and Its Applications - {ICCSA} 2010, International Conference, Fukuoka, Japan, March 23-26, 2010, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6018}, pages = {31--41}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12179-1\_4}, doi = {10.1007/978-3-642-12179-1\_4}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChongSLLKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCLAK10, author = {Junghak Kim and Song{-}In Choi and Sook{-}Jin Lee and Jee{-}Hwan Ahn and Dongseung Kwon}, title = {Programmable architecture for NFA-based string matching}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {484--489}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674790}, doi = {10.1109/ICTC.2010.5674790}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimCLAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongSSJL10, author = {Byoung{-}Ho Song and Iickho Song and Jun Woo Shin and Min{-}A. Jung and Seong{-}Ro Lee}, title = {Case based reasoning system based risk context awareness in the digital marine vessels}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {443--447}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674804}, doi = {10.1109/ICTC.2010.5674804}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SongSSJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/LeeSOKLLLC10, author = {Hye{-}Jin Lee and Jung{-}Han Song and Sol{-}Kil Oh and Kyoung{-}Tae Kim and Nak{-}Kyu Lee and Geun{-}An Lee and Hyoung{-}Wook Lee and Andy Chu}, editor = {Svetan M. Ratchev}, title = {Desktop Micro Forming System for Micro Pattern on the Metal Substrate}, booktitle = {Precision Assembly Technologies and Systems, 5th {IFIP} {WG} 5.5 International Precision Assembly Seminar, {IPAS} 2010, Chamonix, France, February 14-17, 2010. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {315}, pages = {301--308}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11598-1\_35}, doi = {10.1007/978-3-642-11598-1\_35}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-5/LeeSOKLLLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-5/SongLLLLLCB10, author = {Jung{-}Han Song and Hye{-}Jin Lee and Shuhuai Lan and Nak{-}Kyu Lee and Geun{-}An Lee and Tae{-}Jin Lee and Seogou Choi and Sung Min Bae}, editor = {Svetan M. Ratchev}, title = {Development of the Roll Type Incremental Micro Pattern Imprint System for Large Area Pattern Replication}, booktitle = {Precision Assembly Technologies and Systems, 5th {IFIP} {WG} 5.5 International Precision Assembly Seminar, {IPAS} 2010, Chamonix, France, February 14-17, 2010. Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {315}, pages = {97--104}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11598-1\_11}, doi = {10.1007/978-3-642-11598-1\_11}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-5/SongLLLLLCB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LeeS10, author = {Woo{-}Kyung Lee and Jung{-}Hwan Song}, title = {Scansar signal processing and image quality enhancement with fitted-geometry doppler surface}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {4063--4066}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5650984}, doi = {10.1109/IGARSS.2010.5650984}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LeeS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interaction/LeeWS10, author = {Sanghyuk Lee and Yiqi Wang and Jungll Song}, editor = {Yi Peng and Gang Kou and Franz I. S. Ko and Yong Zeng and Kae{-}Dal Kwack}, title = {Information quantification and management with fuzzy entropy and similarity measure}, booktitle = {Proceedings of the 3rd International Conference on Information Sciences and Interaction Sciences {(ICIS} 2010), Chengdu, China, June 23-25, 2010}, pages = {300--305}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICICIS.2010.5534814}, doi = {10.1109/ICICIS.2010.5534814}, timestamp = {Mon, 21 Jun 2021 12:14:56 +0200}, biburl = {https://dblp.org/rec/conf/interaction/LeeWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongLY10, author = {Kiseok Song and Seulki Lee and Hoi{-}Jun Yoo}, title = {A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2087--2090}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537221}, doi = {10.1109/ISCAS.2010.5537221}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPPKKKLPKCLSLYKYKLKLC10, author = {Hyunggon Kim and Jung{-}Hoon Park and Ki{-}Tae Park and Pansuk Kwak and Ohsuk Kwon and Chulbum Kim and Younyeol Lee and Sangsoo Park and Kyungmin Kim and Doohyun Cho and Juseok Lee and Jungho Song and Soowoong Lee and Hyukjun Yoo and Sanglok Kim and Seungwoo Yu and Sungjun Kim and Sungsoo Lee and Kyehyun Kyung and Yong{-}Ho Lim and Chilhee Chung}, title = {A 159mm\({}^{\mbox{2}}\) 32nm 32Gb {MLC} NAND-flash memory with 200MB/s asynchronous {DDR} interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {442--443}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433912}, doi = {10.1109/ISSCC.2010.5433912}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPPKKKLPKCLSLYKYKLKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YanBLKRSY10, author = {Long Yan and Joonsung Bae and Seulki Lee and Binhee Kim and Taehwan Roh and Kiseok Song and Hoi{-}Jun Yoo}, title = {A 3.9mW 25-electrode reconfigured thoracic impedance/ECG SoC with body-channel transponder}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {490--491}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433833}, doi = {10.1109/ISSCC.2010.5433833}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YanBLKRSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/RaffaLNS10, author = {Giuseppe Raffa and Jinwon Lee and Lama Nachman and Junehwa Song}, title = {Don't slow me down: Bringing energy efficiency to continuous gesture recognition}, booktitle = {14th {IEEE} International Symposium on Wearable Computers {(ISWC} 2010), 10-13 October 2010, Seoul, Korea}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISWC.2010.5665872}, doi = {10.1109/ISWC.2010.5665872}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/RaffaLNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ParkLS10, author = {Souneil Park and Sang Jeong Lee and Junehwa Song}, editor = {Charles Rich and Qiang Yang and Marc Cavazza and Michelle X. Zhou}, title = {Aspect-level news browsing: understanding news events from multiple viewpoints}, booktitle = {Proceedings of the 15th International Conference on Intelligent User Interfaces, {IUI} 2010, Hong Kong, China, February 7-10, 2010}, pages = {41--50}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1719970.1719977}, doi = {10.1145/1719970.1719977}, timestamp = {Tue, 06 Nov 2018 11:07:41 +0100}, biburl = {https://dblp.org/rec/conf/iui/ParkLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/LeeSKKY10, author = {Youngpo Lee and Chonghan Song and Seung Goo Kang and Junhwan Kim and Seokho Yoon}, title = {An asynchronous cooperative transmission scheme}, booktitle = {The 35th Annual {IEEE} Conference on Local Computer Networks, {LCN} 2010, 10-14 October 2010, Denver, Colorado, USA, Proceedings}, pages = {737--740}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/LCN.2010.5735802}, doi = {10.1109/LCN.2010.5735802}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/LeeSKKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/KuoLDSBP10, author = {Nathanael Kuo and Junghoon Lee and Anton Deguet and Danny Y. Song and Everette Clif Burdette and Jerry L. Prince}, editor = {Kenneth H. Wong and Michael I. Miga}, title = {Automatic segmentation of seeds and fluoroscope tracking {(FTRAC)} fiducial in prostate brachytherapy x-ray images}, booktitle = {Medical Imaging 2010: Visualization, Image-Guided Procedures, and Modeling, San Diego, California, United States, 13-18 February 2010}, series = {{SPIE} Proceedings}, volume = {7625}, pages = {76252T}, publisher = {{SPIE}}, year = {2010}, url = {https://doi.org/10.1117/12.844520}, doi = {10.1117/12.844520}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/KuoLDSBP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/KangLMJPLRS10, author = {Seungwoo Kang and Youngki Lee and Chulhong Min and Younghyun Ju and Taiwoo Park and Jinwon Lee and Yunseok Rhee and Junehwa Song}, title = {Orchestrator: An active resource orchestration framework for mobile context monitoring in sensor-rich mobile environments}, booktitle = {Eigth Annual {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2010, March 29 - April 2, 2010, Mannheim, Germany}, pages = {135--144}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PERCOM.2010.5466982}, doi = {10.1109/PERCOM.2010.5466982}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/KangLMJPLRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ph/LeeSYLYK10, author = {Sung Hyun Lee and Joon Hyun Song and Jung{-}Hoon Ye and Hyo Jeong Lee and Byoung{-}Kee Yi and Il Kon Kim}, title = {SOA-based integrated pervasive personal health management system using PHDs}, booktitle = {4th International Conference on Pervasive Computing Technologies for Healthcare, PervasiveHealth 2010, Munich, Germany, 22-25 March, 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.4108/ICST.PERVASIVEHEALTH2010.8949}, doi = {10.4108/ICST.PERVASIVEHEALTH2010.8949}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ph/LeeSYLYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/LeeHM10, author = {Junghoon Lee and Song Han and Aloysius K. Mok}, editor = {Marco Caccamo}, title = {Design of a Reliable Communication System for Grid-Style Traffic Light Networks}, booktitle = {16th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2010, Stockholm, Sweden, April 12-15, 2010}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/RTAS.2010.37}, doi = {10.1109/RTAS.2010.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/LeeHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LeeJSL10, author = {Yeha Lee and Hun{-}Young Jung and Woosang Song and Jong{-}Hyeok Lee}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {Mining the blogosphere for top news stories identification}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {395--402}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835516}, doi = {10.1145/1835449.1835516}, timestamp = {Tue, 06 Nov 2018 11:07:25 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LeeJSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LeeLSR10, author = {Seung{-}Wook Lee and Jung{-}Tae Lee and Young{-}In Song and Hae{-}Chang Rim}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {High precision opinion retrieval using sentiment-relevance flows}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {817--818}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835631}, doi = {10.1145/1835449.1835631}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LeeLSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/WooLLSR10, author = {Hyun{-}Wook Woo and Jung{-}Tae Lee and Seung{-}Wook Lee and Young{-}In Song and Hae{-}Chang Rim}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {Achieving high accuracy retrieval using intra-document term ranking}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {885--886}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835665}, doi = {10.1145/1835449.1835665}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/WooLLSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/SongPSPLBHLCKKK10, author = {Sang Hoon Song and Kyoung Un Park and Junghan Song and Hyeon Young Paik and Chi Woo Lee and Su mi Bang and Joon Seok Hong and Hyun Joo Lee and InSook Cho and Jeong Ah Kim and Hyun Young Kim and Yoon Kim}, editor = {Tai{-}Hoon Kim and Adrian Stoica and Ruay{-}Shiung Chang}, title = {Alerting of Laboratory Critical Values}, booktitle = {Security-Enriched Urban Computing and Smart Grid - First International Conference, SUComS 2010, Daejeon, Korea, September 15-17, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {78}, pages = {524--531}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16444-6\_65}, doi = {10.1007/978-3-642-16444-6\_65}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sucoms/SongPSPLBHLCKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/LeeSJTL10, author = {Yeha Lee and Woosang Song and Hun{-}Young Jung and Vinh Tao Thanh and Jong{-}Hyeok Lee}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {{TREC} 2010 Blog Track: Top Stories Identification}, booktitle = {Proceedings of The Nineteenth Text REtrieval Conference, {TREC} 2010, Gaithersburg, Maryland, USA, November 16-19, 2010}, series = {{NIST} Special Publication}, volume = {500-294}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2010}, url = {http://trec.nist.gov/pubs/trec19/papers/pohang.univ.s-t.blog.rev.pdf}, timestamp = {Wed, 03 Feb 2021 08:31:24 +0100}, biburl = {https://dblp.org/rec/conf/trec/LeeSJTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeSLKKK09, author = {Youngjoo Lee and Joon Beom Seo and June{-}Goo Lee and Song Soo Kim and Namkug Kim and Suk{-}Ho Kang}, title = {Performance testing of several classifiers for differentiating obstructive lung diseases based on texture analysis at high-resolution computerized tomography {(HRCT)}}, journal = {Comput. Methods Programs Biomed.}, volume = {93}, number = {2}, pages = {206--215}, year = {2009}, url = {https://doi.org/10.1016/j.cmpb.2008.10.008}, doi = {10.1016/J.CMPB.2008.10.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LeeSLKKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeSR09, author = {Jung{-}Tae Lee and Young{-}In Song and Hae{-}Chang Rim}, title = {Computing Word Semantic Relatedness for Question Retrieval in Community Question Answering}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {92-D}, number = {4}, pages = {736--739}, year = {2009}, url = {https://doi.org/10.1587/transinf.E92.D.736}, doi = {10.1587/TRANSINF.E92.D.736}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeSR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongLPKKP09, author = {Sung{-}Hak Song and Chang{-}Hoon Lee and Ju{-}Hyun Park and Kyo{-}Jun Koo and Jong{-}Kook Kim and Jongsun Park}, title = {Enhancing Location Estimation and Reducing Computation using Adaptive Zone Based {K-NNSS} Algorithm}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {3}, number = {1}, pages = {119--133}, year = {2009}, url = {https://doi.org/10.3837/tiis.2009.01.007}, doi = {10.3837/TIIS.2009.01.007}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SongLPKKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimSLLKK09, author = {Namkug Kim and Joon Beom Seo and Youngjoo Lee and June{-}Goo Lee and Song Soo Kim and Suk{-}Ho Kang}, title = {Development of an Automatic Classification System for Differentiation of Obstructive Lung Disease using {HRCT}}, journal = {J. Digit. Imaging}, volume = {22}, number = {2}, pages = {136--148}, year = {2009}, url = {https://doi.org/10.1007/s10278-008-9147-7}, doi = {10.1007/S10278-008-9147-7}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimSLLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SongJLB09, author = {Jae{-}Hoon Song and Jin{-}Woo Jung and Sang Wan Lee and Zeungnam Bien}, title = {Robust {EMG} pattern recognition to muscular fatigue effect for powered wheelchair control}, journal = {J. Intell. Fuzzy Syst.}, volume = {20}, number = {1-2}, pages = {3--12}, year = {2009}, url = {https://doi.org/10.3233/IFS-2009-0411}, doi = {10.3233/IFS-2009-0411}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/SongJLB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSHLCKLLKL09, author = {Jongmin Park and Taejoong Song and Joonhoi Hur and Sang Min Lee and Jungki Choi and Kihong Kim and Kyutae Lim and Chang{-}Ho Lee and Haksun Kim and Joy Laskar}, title = {A Fully Integrated UHF-Band {CMOS} Receiver With Multi-Resolution Spectrum Sensing {(MRSS)} Functionality for {IEEE} 802.22 Cognitive Radio Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {258--268}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2007435}, doi = {10.1109/JSSC.2008.2007435}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkSHLCKLLKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShuKLSP09, author = {Yun{-}Shiang Shu and Moon{-}Jung Kyung and Wei{-}Ming Lee and Bang{-}Sup Song and Bedabrata Pain}, title = {A 10{\(\sim\)}15-bit 60-MS/s Floating-Point {ADC} With Digital Gain and Offset Calibration}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {9}, pages = {2356--2365}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2022993}, doi = {10.1109/JSSC.2009.2022993}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShuKLSP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ParkSKJLC09, author = {Byung{-}Gook Park and Jae Young Song and Jong Pil Kim and Hoon Jeong and Jung Hoon Lee and Seongjae Cho}, title = {Nanosculpture: Three-dimensional {CMOS} device structures for the {ULSI} era}, journal = {Microelectron. J.}, volume = {40}, number = {4-5}, pages = {769--772}, year = {2009}, url = {https://doi.org/10.1016/j.mejo.2008.11.011}, doi = {10.1016/J.MEJO.2008.11.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/ParkSKJLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinSLCLU09, author = {Li{-}Wei Lin and Jenn{-}Ming Song and Yi{-}Shao Lai and Ying{-}Ta Chiu and Ning{-}Cheng Lee and Jun{-}Yen Uan}, title = {Alloying modification of Sn-Ag-Cu solders by manganese and titanium}, journal = {Microelectron. Reliab.}, volume = {49}, number = {3}, pages = {235--241}, year = {2009}, url = {https://doi.org/10.1016/j.microrel.2008.10.001}, doi = {10.1016/J.MICROREL.2008.10.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LinSLCLU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LeeKLLS09, author = {Jinwon Lee and Seungwoo Kang and Youngki Lee and Sang Jeong Lee and Junehwa Song}, title = {BMQ-Processor: {A} High-Performance Border-Crossing Event Detection Framework for Large-Scale Monitoring Applications}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {21}, number = {2}, pages = {234--252}, year = {2009}, url = {https://doi.org/10.1109/TKDE.2008.140}, doi = {10.1109/TKDE.2008.140}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/LeeKLLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LeeLJSBPF09, author = {Junghoon Lee and Xiaofeng Liu and Ameet K. Jain and Danny Y. Song and Everette Clif Burdette and Jerry L. Prince and Gabor Fichtinger}, title = {Prostate Brachytherapy Seed Reconstruction With Gaussian Blurring and Optimal Coverage Cost}, journal = {{IEEE} Trans. Medical Imaging}, volume = {28}, number = {12}, pages = {1955--1968}, year = {2009}, url = {https://doi.org/10.1109/TMI.2009.2026412}, doi = {10.1109/TMI.2009.2026412}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LeeLJSBPF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeJCS09, author = {Jungwon Lee and Edward W. Jang and John M. Cioffi and Leilei Song}, title = {An efficient symbol-level combining scheme for {MIMO} systems with hybrid {ARQ}}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {8}, number = {5}, pages = {2443--2451}, year = {2009}, url = {https://doi.org/10.1109/TWC.2009.071153}, doi = {10.1109/TWC.2009.071153}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LeeJCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SongLR09, author = {Young{-}In Song and Jung{-}Tae Lee and Hae{-}Chang Rim}, editor = {Keh{-}Yih Su and Jian Su and Janyce Wiebe}, title = {Word or Phrase? Learning Which Unit to Stress for Information Retrieval}, booktitle = {{ACL} 2009, Proceedings of the 47th Annual Meeting of the Association for Computational Linguistics and the 4th International Joint Conference on Natural Language Processing of the AFNLP, 2-7 August 2009, Singapore}, pages = {1048--1056}, publisher = {The Association for Computer Linguistics}, year = {2009}, url = {https://aclanthology.org/P09-1118/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SongLR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/LeeLYCPC09, author = {Jinsung Lee and Junhee Lee and Yung Yi and Song Chong and Alexandre Prouti{\`{e}}re and Mung Chiang}, title = {Implementing utility-optimal {CSMA}}, booktitle = {47th Annual Allerton Conference on Communication, Control, and Computing, Allerton 2009, Monticello, IL, USA, September 30 - October 2, 2009}, pages = {102--111}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ALLERTON.2009.5394849}, doi = {10.1109/ALLERTON.2009.5394849}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/allerton/LeeLYCPC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/LeeSLKPJ09, author = {Hye{-}Lyn Lee and Suk{-}Heung Song and Hee{-}Taek Lim and Hyung{-}Joon Kim and Min{-}Suk Park and Hyo{-}Il Jung}, editor = {Teodiano Freire Bastos{-}Filho and Hugo Gamboa}, title = {A Multi-layered Microfluidic device for Magnetophoretic Cell Separation}, booktitle = {{BIODEVICES} 2009 - Proceedings of the International Conference on Biomedical Electronics and Devices, Porto, Portugal, January 14-17, 2009}, pages = {286--289}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Mon, 30 Sep 2024 21:31:02 +0200}, biburl = {https://dblp.org/rec/conf/biostec/LeeSLKPJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/0002LLJC09, author = {Junhee Lee and Kyunghan Lee and Jinsung Lee and Jaesung Jung and Song Chong}, editor = {Yanghee Choi and Sue Moon and Timur Friedman and Dipankar Raychaudhuri and Dongman Lee and Serge Fdida and Craig Patridge}, title = {A {TCP} starvation problem in combining {TCP} and max-weight scheduling of cross-layer algorithms in WMNs}, booktitle = {Proceedings of the 4th International Conference on Future Internet Technologies, {CFI} '09, Seoul, Korea, June 17-19, 2009}, pages = {48--51}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555697.1555723}, doi = {10.1145/1555697.1555723}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cfi/0002LLJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/LeeLJC09, author = {Joohyun Lee and Kyunghan Lee and Jaesung Jung and Song Chong}, editor = {Yanghee Choi and Sue Moon and Timur Friedman and Dipankar Raychaudhuri and Dongman Lee and Serge Fdida and Craig Patridge}, title = {Performance evaluation of a {DTN} as a city-wide infrastructure network}, booktitle = {Proceedings of the 4th International Conference on Future Internet Technologies, {CFI} '09, Seoul, Korea, June 17-19, 2009}, pages = {35--38}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555697.1555717}, doi = {10.1145/1555697.1555717}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cfi/LeeLJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LeeJS09, author = {Yangsup Lee and Sanghyuk Jung and Yong Ho Song}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {{FRA:} a flash-aware redundancy array of flash storage devices}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {163--172}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629459}, doi = {10.1145/1629435.1629459}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/LeeJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LeeAOKLS09, author = {Suk Jun Lee and Jae Joon Ahn and Kyong Joo Oh and Tae Yoon Kim and Hyoung Yong Lee and Chi Woo Song}, title = {Using Rough Set to Support Investment Strategies of Rule-Based Trading with Real-Time Data in Futures Market}, booktitle = {42st Hawaii International International Conference on Systems Science {(HICSS-42} 2009), Proceedings {(CD-ROM} and online), 5-8 January 2009, Waikoloa, Big Island, HI, {USA}}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HICSS.2009.497}, doi = {10.1109/HICSS.2009.497}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LeeAOKLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/JungLS09, author = {Jin Ho Jung and JongHyup Lee and JooSeok Song}, editor = {Hans Weghorn and Pedro T. Isa{\'{\i}}as}, title = {A cluster key management scheme utilizing time-based keys for wireless sensor networks}, booktitle = {Proceedings of the {IADIS} International Conference Applied Computing 2009, 19-21 November, Rome, Italy, 2 Volumes}, pages = {217--225}, publisher = {{IADIS} Press}, year = {2009}, timestamp = {Tue, 04 Oct 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iadis/JungLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/LeeJJS09, author = {HwanJin Lee and InKyung Jeun and Hyun Cheol Jeong and Junghwan Song}, editor = {Hans Weghorn and Pedro T. Isa{\'{\i}}as}, title = {Analysis of the {OPENID} from a privacy law perspective}, booktitle = {Proceedings of the {IADIS} International Conference Applied Computing 2009, 19-21 November, Rome, Italy, 2 Volumes}, pages = {209--216}, publisher = {{IADIS} Press}, year = {2009}, timestamp = {Tue, 04 Oct 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iadis/LeeJJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/OhCYJLJSKCA09, author = {SeungSub Oh and Young{-}Ho Choi and SungYong Yun and Bong{-}Jin Jun and Cheongjae Lee and Hyukjoon Jang and Jaiyoun Song and Jeong{-}Gwan Kang and Won{-}Seok Choi and Su{-}Yong An}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {A system architecture for intelligent building guide robot {PHOPE}}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {640--645}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4804009}, doi = {10.1109/ICARA.2000.4804009}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icara/OhCYJLJSKCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/SongKL09, author = {Ho{-}Seok Song and Ki{-}Young Kim and Jung{-}Ju Lee}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Development of the dexterous manipulator and the force sensor for Minimally Invasive Surgery}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {524--528}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4803998}, doi = {10.1109/ICARA.2000.4803998}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icara/SongKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccpol/KangLS09, author = {Sangwoo Kang and Songwook Lee and Jungyun Seo}, editor = {Wenjie Li and Diego Moll{\'{a}} Aliod}, title = {Dialogue Strategies to Overcome Speech Recognition Errors in Form-Filling Dialogue}, booktitle = {Computer Processing of Oriental Languages. Language Technology for the Knowledge-based Economy, 22nd International Conference, {ICCPOL} 2009, Hong Kong, March 26-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5459}, pages = {282--289}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00831-3\_26}, doi = {10.1007/978-3-642-00831-3\_26}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/iccpol/KangLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/LeeBJ09, author = {Do Hyeon Lee and Songnan Bai and Jae{-}Il Jung}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak and Tae Nam Ahn and Chung{-}Huang Yang}, title = {Enhanced next hop selection scheme for QoS support in multi-hop wireless networks}, booktitle = {Proceedings of the 2009 International Conference on Hybrid Information Technology, {ICHIT} 2009, Daejeon, Korea, August 27-29, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {321}, pages = {587--593}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644993.1645102}, doi = {10.1145/1644993.1645102}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichit/LeeBJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SongYLKK09, author = {Byung Cheol Song and Yongseok Yi and Yun{-}Gu Lee and Jun Hyuk Ko and Tae Hee Kim}, title = {1080P 60HZ intra-frame {CODEC} based on {RGB} color space for wireless {AV} streaming}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {2657--2660}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414113}, doi = {10.1109/ICIP.2009.5414113}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/SongYLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChoLSCO09, author = {Hye{-}Jeong Cho and Yeo{-}Song Lee and Chae{-}Bong Sohn and Kwangsue Chung and Seoung{-}Jun Oh}, title = {A novel video copy detection method based on statistical analysis}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1736--1739}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202856}, doi = {10.1109/ICME.2009.5202856}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ChoLSCO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/LeeAK09, author = {Heung Ki Lee and Baik Song An and Eun Jung Kim}, title = {Adaptive Prefetching Scheme Using Web Log Mining in Cluster-Based Web Systems}, booktitle = {{IEEE} International Conference on Web Services, {ICWS} 2009, Los Angeles, CA, USA, 6-10 July 2009}, pages = {903--910}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICWS.2009.127}, doi = {10.1109/ICWS.2009.127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/LeeAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iitsi/WuOSL09, author = {Jun Wu and Anastasiya Olesnikova and Chi{-}Hwa Song and Won Don Lee}, title = {The Development and Application of Decision Tree for Agriculture Data}, booktitle = {Second International Symposium on Intelligent Information Technology and Security Informatics, {IITSI} 2009, January 23-25, 2009, Moscow, Russia}, pages = {16--20}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IITSI.2009.10}, doi = {10.1109/IITSI.2009.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iitsi/WuOSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoonCLJHKJSSCSCKJK09, author = {Yongsam Moon and Yong{-}Ho Cho and Hyun{-}Bae Lee and Byung{-}Hoon Jeong and Seok{-}Hun Hyun and Byungchul Kim and In{-}Chul Jeong and Seong{-}Young Seo and Junho Shin and Seok{-}Woo Choi and Ho{-}Sung Song and Jung{-}Hwan Choi and Kyehyun Kyung and Young{-}Hyun Jun and Kinam Kim}, title = {1.2V 1.6Gb/s 56nm 6F\({}^{\mbox{2}}\) 4Gb {DDR3} {SDRAM} with hybrid-I/O sense amplifier and segmented sub-array architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {128--129}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977341}, doi = {10.1109/ISSCC.2009.4977341}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoonCLJHKJSSCSCKJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JungKLLSK09, author = {Yun Sub Jung and Yong Ho Kim and Dong Ha Lee and Sang Ho Lee and Jeong Joo Song and Jong Hyo Kim}, editor = {Nico Karssemeijer and Maryellen L. Giger}, title = {Automatic patient-adaptive bleeding detection in a capsule endoscopy}, booktitle = {Medical Imaging 2009: Computer-Aided Diagnosis, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7260}, pages = {72603T}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.813793}, doi = {10.1117/12.813793}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/JungKLLSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeLJSBFP09, author = {Junghoon Lee and Christian Labat and Ameet K. Jain and Danny Y. Song and Everette Clif Burdette and Gabor Fichtinger and Jerry L. Prince}, editor = {Guang{-}Zhong Yang and David J. Hawkes and Daniel Rueckert and J. Alison Noble and Christopher J. Taylor}, title = {Optimal Matching for Prostate Brachytherapy Seed Localization with Dimension Reduction}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2009, 12th International Conference, London, UK, September 20-24, 2009, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5761}, pages = {59--66}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04268-3\_8}, doi = {10.1007/978-3-642-04268-3\_8}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeLJSBFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/KimSLR09, author = {Jong{-}Hun Kim and Chang{-}Woo Song and Jung{-}Hyun Lee and Kee{-}Wook Rim}, editor = {Jinhwa Kim and Dursun Delen and Jinsoo Park and Franz Ko and Chen Rui and Jong Hyung Lee and Jian Wang and Gang Kou}, title = {Design of RFID-Based Profiling Agent for Recommendation System in Smart Home}, booktitle = {International Conference on Networked Computing and Advanced Information Management, {NCM} 2009, Fifth International Joint Conference on INC, {IMS} and {IDC:} {INC} 2009: International Conference on Networked Computing, {IMS} 2009: International Conference on Advanced Information Management and Service, {IDC} 2009: International Conference on Digital Content, Multimedia Technology and its Applications, Seoul, Korea, August 25-27, 2009}, pages = {1223--1226}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NCM.2009.377}, doi = {10.1109/NCM.2009.377}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/KimSLR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/WooPLBS09, author = {Jungha Woo and Jalaja Padma and Jae{-}Woo Lee and David Braun and Carol X. Song}, title = {Puffin: a fast and efficient web service-based condor job manager}, booktitle = {Proceedings of the 5th Grid Computing Environments Workshop, {GCE} 2009, November 20, 2009, Portland, Oregon, {USA}}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1658260.1658263}, doi = {10.1145/1658260.1658263}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/WooPLBS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LeeLPSR09, author = {Jung{-}Tae Lee and Hyungdong Lee and Hee{-}Seon Park and Young{-}In Song and Hae{-}Chang Rim}, editor = {James Allan and Javed A. Aslam and Mark Sanderson and ChengXiang Zhai and Justin Zobel}, title = {Finding advertising keywords on video scripts}, booktitle = {Proceedings of the 32nd Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2009, Boston, MA, USA, July 19-23, 2009}, pages = {686--687}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1571941.1572078}, doi = {10.1145/1571941.1572078}, timestamp = {Wed, 14 Nov 2018 10:58:10 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LeeLPSR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeKHMS09, author = {Dongheon Lee and Seunghun Kim and Jooho Hwang and Junho Moon and Minkyu Song}, title = {Design of a 1.8V 8-bit 1GSPS cascaded-folding {CMOS} {A/D} converter based on a folder averaging technique}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {79--82}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5398092}, doi = {10.1109/SOCCON.2009.5398092}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeKHMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/LeeJSL09, author = {Yeha Lee and Hun{-}Young Jung and Woosang Song and Jong{-}Hyeok Lee}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {{POSTECH} at {TREC} 2009 Blog Track: Top Stories Identification}, booktitle = {Proceedings of The Eighteenth Text REtrieval Conference, {TREC} 2009, Gaithersburg, Maryland, USA, November 17-20, 2009}, series = {{NIST} Special Publication}, volume = {500-278}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2009}, url = {http://trec.nist.gov/pubs/trec18/papers/postech-kle.BLOG.pdf}, timestamp = {Wed, 07 Jul 2021 16:44:22 +0200}, biburl = {https://dblp.org/rec/conf/trec/LeeJSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/BaiHKLOJ09, author = {Songnan Bai and Zequn Huang and Dongyong Kwak and Sangwoo Lee and Hyunseo Oh and Jaeil Jung}, title = {Vehicular Multi-hop Broadcasting Protocol for Safety Message Dissemination in VANETs}, booktitle = {Proceedings of the 70th {IEEE} Vehicular Technology Conference, {VTC} Fall 2009, 20-23 September 2009, Anchorage, Alaska, {USA}}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECF.2009.5378794}, doi = {10.1109/VETECF.2009.5378794}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/BaiHKLOJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.