default search action
Search dblp for Publications
export results for "Jun-Ho Choi"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChoiLLKMPS24, author = {Hojin Choi and Junghee Lee and Wonjun Lee and Yoojin Kwon and Nogil Myoung and Myunghye Park and Jae{-}Ju Song}, title = {Cross Domain Solution With Stateful Correlation of Outgoing and Incoming Application- Layer Packets}, journal = {{IEEE} Access}, volume = {12}, pages = {26830--26838}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366992}, doi = {10.1109/ACCESS.2024.3366992}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiLLKMPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSPKH24, author = {Jung Hyun Choi and Ui Hun Sagong and Jong Hoon Park and Murim Kim and Myun Joong Hwang}, title = {Motion Planning of Mobile Manipulator Using Virtual Impedance Energy Field}, journal = {{IEEE} Access}, volume = {12}, pages = {89776--89793}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3400854}, doi = {10.1109/ACCESS.2024.3400854}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiSPKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungHCY24, author = {Ji Hyuk Jung and Miyeon Hong and Hyeongjun Choi and Ji Won Yoon}, title = {An Analysis of {GPS} Spoofing Attack and Efficient Approach to Spoofing Detection in {PX4}}, journal = {{IEEE} Access}, volume = {12}, pages = {46668--46677}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3382543}, doi = {10.1109/ACCESS.2024.3382543}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungHCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJCPJL24, author = {Yerin Lee and Jimin Jeon and Jungwook Choi and Soobum Park and Bang Chul Jung and Howon Lee}, title = {P{\({^2}\)}URE: Proactive and Probabilistic Uncovered Neighbor-Aware Relay-Selection Method in Multi-Hop FANETs}, journal = {{IEEE} Access}, volume = {12}, pages = {35097--35108}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3368828}, doi = {10.1109/ACCESS.2024.3368828}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeJCPJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimKCHL24, author = {Dongcheol Lim and Hyewon Kang and Beomseok Choi and Woonki Hong and Junghye Lee}, title = {An Interpersonal Dynamics Analysis Procedure With Accurate Voice Activity Detection Using Low-Cost Recording Sensors}, journal = {{IEEE} Access}, volume = {12}, pages = {68427--68440}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3387279}, doi = {10.1109/ACCESS.2024.3387279}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimKCHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSKCLBLKL24, author = {Chanyoung Park and Hongbum Kim and Jungwon Suh and Jinhee Ko and Jun Hwan Choi and Sang Yoon Lee and Jaewon Beom and Jae{-}Young Lim and Bo Ryun Kim and Hyo Kyung Lee}, title = {Multivariate Time-Series Cluster Analysis for Multiple Functional Domains to Identify Recovery Patterns of Patients With Fragility Hip Fracture After Surgery}, journal = {{IEEE} Access}, volume = {12}, pages = {48699--48712}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383786}, doi = {10.1109/ACCESS.2024.3383786}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKSKCLBLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RheeKJUMSKJC24, author = {Issac Rhee and Chun Soo Kim and Heeyeon Jeong and Seunghwan Um and Seung Jae Moon and Yeong Gwang Son and Yong Bum Kim and Ho Sang Jung and Hyouk Ryeol Choi}, title = {Development of Adaptive Gripper Enhancing Power Grasp Range and Linearity}, journal = {{IEEE} Access}, volume = {12}, pages = {94822--94833}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3422675}, doi = {10.1109/ACCESS.2024.3422675}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RheeKJUMSKJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangCLLMLCBKKWK24, author = {Tae Jun Yang and Jung Rae Cho and Hyunkyu Lee and Hee Jun Lee and Seung Joo Myoung and Da Yeon Lee and Sung{-}Jin Choi and Jong{-}Ho Bae and Dong Myong Kim and Changwook Kim and Jiyong Woo and Dae Hwan Kim}, title = {Improvement of the Symmetry and Linearity of Synaptic Weight Update by Combining the InGaZnO Synaptic Transistor and Memristor}, journal = {{IEEE} Access}, volume = {12}, pages = {28531--28537}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366224}, doi = {10.1109/ACCESS.2024.3366224}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangCLLMLCBKKWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/SeongCMNAOC24, author = {Seonkyeong Seong and Anjin Chang and Junsang Mo and Sangil Na and Hoyong Ahn and Jaehong Oh and Jaewan Choi}, title = {Crop classification in South Korea for multitemporal PlanetScope imagery using SFC-DenseNet-AM}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {126}, pages = {103619}, year = {2024}, url = {https://doi.org/10.1016/j.jag.2023.103619}, doi = {10.1016/J.JAG.2023.103619}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/SeongCMNAOC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/ChoiL24, author = {Byung{-}Cheon Choi and Jun{-}Ho Lee}, title = {A Resource Allocation Problem with Convex Resource-Dependent Processing Times Under {A} Two-Machine Flow Shop Environment}, journal = {Asia Pac. J. Oper. Res.}, volume = {41}, number = {2}, pages = {2350018:1--2350018:19}, year = {2024}, url = {https://doi.org/10.1142/S0217595923500185}, doi = {10.1142/S0217595923500185}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/ChoiL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/TedersooMMHBNYGSPPSCRSLTJCAA24, author = {Leho Tedersoo and Mahdieh S. Hosseyni Moghaddam and Vladimir Mikryukov and Ali Hakimzadeh and Mohammad Bahram and R. Henrik Nilsson and Iryna Yatsiuk and Stefan Geisen and Arne Schwelm and Kasia Piwosz and Marko Prous and Sirje Sildever and Dominika Chmolowska and Sonja Rueckert and Pavel Skaloud and Peeter Laas and Marco Tines and Jae{-}Ho Jung and Ji Hye Choi and Saad Alkahtani and Sten Anslan}, title = {{EUKARYOME:} the rRNA gene reference database for identification of all eukaryotes}, journal = {Database J. Biol. Databases Curation}, volume = {2024}, year = {2024}, url = {https://doi.org/10.1093/database/baae043}, doi = {10.1093/DATABASE/BAAE043}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/TedersooMMHBNYGSPPSCRSLTJCAA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ChoiHJHPR24, author = {Jae{-}Won Choi and Dae{-}Yong Hong and Chan Jung and Eugene Hwang and Sung{-}Hyuk Park and Seung{-}Young Roh}, title = {A Multi-View learning approach to enhance automatic 12-Lead {ECG} diagnosis performance}, journal = {Biomed. Signal Process. Control.}, volume = {93}, pages = {106214}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2024.106214}, doi = {10.1016/J.BSPC.2024.106214}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/ChoiHJHPR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/LeeCPHPJ24, author = {Se{-}yeon Lee and Lak{-}yeong Choi and Jinseon Park and Se{-}Woon Hong and Junsu Park and Min{-}woong Jung}, title = {Time-series validation of {AERMOD} using atmospheric ammonia data from an intensive livestock-rearing region in Korea}, journal = {Comput. Electron. Agric.}, volume = {223}, pages = {109109}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.109109}, doi = {10.1016/J.COMPAG.2024.109109}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/LeeCPHPJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LeeYCSCHOLH24, author = {Minyoung Lee and Ye Jin Yang and Munsun Cho and Jiwon Shin and Yaenyeong Choi and Do Yeon Hwang and Heemuk Oh and Jun Bae Lee and Sung{-}Kyu Hong}, title = {A non-contact colour correction system on mobile display under various light sources}, journal = {Displays}, volume = {83}, pages = {102747}, year = {2024}, url = {https://doi.org/10.1016/j.displa.2024.102747}, doi = {10.1016/J.DISPLA.2024.102747}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LeeYCSCHOLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/HyeonOLC24, author = {Jonghwan Hyeon and Yung{-}Hwan Oh and Young{-}Jun Lee and Ho{-}Jin Choi}, title = {Improving speech emotion recognition by fusing self-supervised learning and spectral features via mixture of experts}, journal = {Data Knowl. Eng.}, volume = {150}, pages = {102262}, year = {2024}, url = {https://doi.org/10.1016/j.datak.2023.102262}, doi = {10.1016/J.DATAK.2023.102262}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/HyeonOLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KwonLCK24, author = {Woyeong Kwon and Junho Lee and Sikgyeong Choi and Namsu Kim}, title = {Empirical mode decomposition and Hilbert-Huang transform-based eccentricity fault detection and classification with demagnetization in 120 kW interior permanent magnet synchronous motors}, journal = {Expert Syst. Appl.}, volume = {241}, pages = {122515}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122515}, doi = {10.1016/J.ESWA.2023.122515}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KwonLCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/AlexeevABBBCCCCCCCCMDEE24, author = {Yuri Alexeev and Maximilian Amsler and Marco Antonio Barroca and Sanzio Bassini and Torey Battelle and Daan Camps and David Casanova and Young Jay Choi and Frederic T. Chong and Charles Chung and Christopher Codella and Antonio D. C{\'{o}}rcoles and James Cruise and Alberto Di Meglio and Ivan Duran and Thomas Eckl and Sophia E. Economou and Stephan Eidenbenz and Bruce Elmegreen and Clyde Fare and Ismael Faro and Cristina Sanz Fern{\'{a}}ndez and Rodrigo Neumann Barros Ferreira and Keisuke Fuji and Bryce Fuller and Laura Gagliardi and Giulia Galli and Jennifer R. Glick and Isacco Gobbi and Pranav Gokhale and Salvador de la Puente Gonzalez and Johannes Greiner and Bill Gropp and Michele Grossi and Emanuel Gull and Burns Healy and Matthew R. Hermes and Benchen Huang and Travis S. Humble and Nobuyasu Ito and Artur F. Izmaylov and Ali Javadi{-}Abhari and Douglas M. Jennewein and Shantenu Jha and Liang Jiang and Barbara Jones and Wibe Albert de Jong and Petar Jurcevic and William M. Kirby and Stefan Kister and Masahiro Kitagawa and Joel Klassen and Katherine Klymko and Kwangwon Koh and Masaaki Kondo and Doga Murat K{\"{u}}rk{\c{c}}{\"{u}}oglu and Krzysztof Kurowski and Teodoro Laino and Ryan Landfield and Matthew L. Leininger and Vicente Leyton{-}Ortega and Ang Li and Meifeng Lin and Junyu Liu and Nicol{\'{a}}s Lorente and Andr{\'{e}} Luckow and Simon Martiel and Francisco Mart{\'{\i}}n{-}Fern{\'{a}}ndez and Margaret Martonosi and Claire Marvinney and Arcesio Casta{\~{n}}eda Medina and Dirk Merten and Antonio Mezzacapo and Kristel Michielsen and Abhishek Mitra and Tushar Mittal and Kyungsun Moon and Joel Moore and Sarah Mostame and Mario Motta and Young{-}Hye Na and Yunseong Nam and Prineha Narang and Yu{-}ya Ohnishi and Daniele Ottaviani and Matthew Otten and Scott Pakin and Vincent R. Pascuzzi and Edwin Pednault and Tomasz Piontek and Jed Pitera and Patrick Rall and Gokul Subramanian Ravi and Niall Robertson and Matteo A. C. Rossi and Piotr Rydlichowski and Hoon Ryu and Georgy Samsonidze and Mitsuhisa Sato and Nishant Saurabh and Vidushi Sharma and Kunal Sharma and Soyoung Shin and George Slessman and Mathias Steiner and Iskandar Sitdikov and In{-}Saeng Suh and Eric D. Switzer and Wei Tang and Joel Thompson and Synge Todo and Minh C. Tran and Dimitar Trenev and Christian Trott and Huan{-}Hsin Tseng and Norm M. Tubman and Esin Tureci and David Garc{\'{\i}}a Vali{\~{n}}as and Sofia Vallecorsa and Christopher Wever and Konrad Wojciechowski and Xiaodi Wu and Shinjae Yoo and Nobuyuki Yoshioka and Victor Wen{-}zhe Yu and Seiji Yunoki and Sergiy Zhuk and Dmitry Zubarev}, title = {Quantum-centric supercomputing for materials science: {A} perspective on challenges and future directions}, journal = {Future Gener. Comput. Syst.}, volume = {160}, pages = {666--710}, year = {2024}, url = {https://doi.org/10.1016/j.future.2024.04.060}, doi = {10.1016/J.FUTURE.2024.04.060}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/AlexeevABBBCCCCCCCCMDEE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ParkLHC24, author = {Hyunseo Park and Gyeong Ho Lee and Jaeseob Han and Jun Kyun Choi}, title = {Multiclass autoencoder-based active learning for sensor-based human activity recognition}, journal = {Future Gener. Comput. Syst.}, volume = {151}, pages = {71--84}, year = {2024}, url = {https://doi.org/10.1016/j.future.2023.09.029}, doi = {10.1016/J.FUTURE.2023.09.029}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ParkLHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24, author = {Gunhwan Ko and Jae Ho Lee and Young Mi Sim and Wangho Song and Byung{-}Ha Yoon and Iksu Byeon and Bang Hyuck Lee and Sangok Kim and Jinhyuk Choi and Insoo Jang and Hyerin Kim and Jin Ok Yang and Kiwon Jang and Sora Kim and Jong{-}Hwan Kim and Jongbum Jeon and Jaeeun Jung and Seungwoo Hwang and Ji{-}Hwan Park and Pan{-}Gyu Kim and Seon{-}Young Kim and Byungwook Lee}, title = {KoNA: Korean Nucleotide Archive as {A} New Data Repository for Nucleotide Sequence Data}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzae017}, doi = {10.1093/GPBJNL/QZAE017}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ChoiSKYPCJ24, author = {Hyebong Choi and Joel Shin and Jeongho Kim and Samuel Yoon and Hyeonmin Park and Hyejin Cho and Jiyoung Jung}, title = {Machine Learning-Based System for Heat-Resistant Analysis of Car Lamp Design}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {107}, number = {8}, pages = {1050--1058}, year = {2024}, url = {https://doi.org/10.1587/transinf.2023edp7137}, doi = {10.1587/TRANSINF.2023EDP7137}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ChoiSKYPCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/HongCM24, author = {Juntong Hong and Eunjong Choi and Osamu Mizuno}, title = {A Combined Alignment Model for Code Search}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {107}, number = {3}, pages = {257--267}, year = {2024}, url = {https://doi.org/10.1587/transinf.2023mpp0002}, doi = {10.1587/TRANSINF.2023MPP0002}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/HongCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanL0C24, author = {Jaeseob Han and Gyeong Ho Lee and Joohyung Lee and Jun Kyun Choi}, title = {{IEC-TPC:} An Imputation Error Cluster-Based Approach for Energy Optimization in IoT Data Transmission Period Control}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {5}, pages = {7841--7862}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3316829}, doi = {10.1109/JIOT.2023.3316829}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HanL0C24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/JungHLC24, author = {Namgyu Jung and Van Thuy Hoang and O{-}Joun Lee and Chang Choi}, title = {Kiosk Recommend System Based on Self-Supervised Representation Learning of User Behaviors in Offline Retail}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {10}, pages = {18686--18697}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3365144}, doi = {10.1109/JIOT.2024.3365144}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/JungHLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/SeoSRC24, author = {Jiwon Seo and Ravi Singh and Jonghyuk Ryu and Jun{-}Ho Choi}, title = {Molecular Aggregation Behavior and Microscopic Heterogeneity in Binary Osmolyte-Water Solutions}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {1}, pages = {138--149}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01382}, doi = {10.1021/ACS.JCIM.3C01382}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/SeoSRC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKHCY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{C-DNN:} An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous {CNN/SNN} Core Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {157--172}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3330483}, doi = {10.1109/JSSC.2023.3330483}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKHCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCCLJSCJH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Jeeyoung Shin and Woong Choi and Junwon Jeong and Sung{-}Wan Hong}, title = {A Simultaneous Energy Transferring {SIBO} Converter Achieving Low Ripple and High Efficiency for {AMOLED} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1497--1508}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314834}, doi = {10.1109/JSSC.2023.3314834}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCCLJSCJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/BergerCT24, author = {Philip G. Berger and Jung Ho Choi and Sorabh Tomar}, title = {Breaking it Down: Economic Consequences of Disaggregated Cost Disclosures}, journal = {Manag. Sci.}, volume = {70}, number = {3}, pages = {1374--1393}, year = {2024}, url = {https://doi.org/10.1287/mnsc.2023.4780}, doi = {10.1287/MNSC.2023.4780}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/BergerCT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKHKCHY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Jiwon Choi and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {28--37}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3330169}, doi = {10.1109/MM.2023.3330169}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimKHKCHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TaiHCKKKLLCK24, author = {Junhu Tai and Munsoo Han and Bo Yoon Choi and Sung Hoon Kang and Hyeongeun Kim and Jiwon Kwak and Dabin Lee and TaeHoon Lee and Yongwon Cho and Tae Hoon Kim}, title = {Deep learning model for differentiating nasal cavity masses based on nasal endoscopy images}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {145}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02517-z}, doi = {10.1186/S12911-024-02517-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/TaiHCKKKLLCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TaiHCKKKLLCK24a, author = {Junhu Tai and Munsoo Han and Bo Yoon Choi and Sung Hoon Kang and Hyeongeun Kim and Jiwon Kwak and Dabin Lee and TaeHoon Lee and Yongwon Cho and Tae Hoon Kim}, title = {Correction: Deep learning model for differentiating nasal cavity masses based on nasal endoscopy images}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {150}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02562-8}, doi = {10.1186/S12911-024-02562-8}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/TaiHCKKKLLCK24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ParkLCLLPLCCJKCS24, author = {Jeonghun Park and Byungju Lee and Jinseok Choi and Hoon Lee and Namyoon Lee and Seok{-}Hwan Park and Kyoung{-}Jae Lee and Junil Choi and Sung Ho Chae and Sang{-}Woon Jeon and Kyung Sup Kwak and Bruno Clerckx and Wonjae Shin}, title = {Rate-Splitting Multiple Access for 6G Networks: Ten Promising Scenarios and Applications}, journal = {{IEEE} Netw.}, volume = {38}, number = {3}, pages = {128--136}, year = {2024}, url = {https://doi.org/10.1109/MNET.2023.3321518}, doi = {10.1109/MNET.2023.3321518}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ParkLCLLPLCCJKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/HwangZCHL24, author = {Jaehui Hwang and Huan Zhang and Jun{-}Ho Choi and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Temporal shuffling for defending deep action recognition models against adversarial attacks}, journal = {Neural Networks}, volume = {169}, pages = {388--397}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2023.10.033}, doi = {10.1016/J.NEUNET.2023.10.033}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/HwangZCHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/QianCWGLJWWCKKCSKUHFLDYS24, author = {Bo Qian and Hao Chen and Xiangning Wang and Zhouyu Guan and Tingyao Li and Yixiao Jin and Yilan Wu and Yang Wen and Haoxuan Che and Gitaek Kwon and Jaeyoung Kim and Sungjin Choi and Seoyoung Shin and Felix Krause and Markus Unterdechler and Junlin Hou and Rui Feng and Yihao Li and Mostafa El Habib Daho and Dawei Yang and Bin Sheng}, title = {{DRAC} 2022: {A} public benchmark for diabetic retinopathy analysis on ultra-wide optical coherence tomography angiography images}, journal = {Patterns}, volume = {5}, number = {3}, pages = {100929}, year = {2024}, url = {https://doi.org/10.1016/j.patter.2024.100929}, doi = {10.1016/J.PATTER.2024.100929}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/QianCWGLJWWCKKCSKUHFLDYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/WooLCHLX24, author = {Soo Ho Woo and Soon{-}Geul Lee and Jaehwan Choi and Junki Hong and Jae{-}Hong Lee and Haotian Xie}, title = {Automatic lane change based on dynamic occupancy of an adaptive gird zone}, journal = {Robotics Auton. Syst.}, volume = {179}, pages = {104732}, year = {2024}, url = {https://doi.org/10.1016/j.robot.2024.104732}, doi = {10.1016/J.ROBOT.2024.104732}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ras/WooLCHLX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/ParkSCSLYJKJY24, author = {Hoonmin Park and Minchul Shin and Gyubok Choi and Yuseop Sim and Jiho Lee and Huitaek Yun and Martin Byung{-}Guk Jun and Gyuman Kim and Younghun Jeong and Hak Yi}, title = {Integration of an exoskeleton robotic system into a digital twin for industrial manufacturing applications}, journal = {Robotics Comput. Integr. Manuf.}, volume = {89}, pages = {102746}, year = {2024}, url = {https://doi.org/10.1016/j.rcim.2024.102746}, doi = {10.1016/J.RCIM.2024.102746}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/ParkSCSLYJKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimHSSPRKC24, author = {Keunyong Kim and Tran Thi My Hong and Kyu{-}Min Song and Young Baek Son and Young{-}Gyu Park and Joo{-}Hyung Ryu and Geun{-}Ho Kwak and Jun Myoung Choi}, title = {Near-Surface Dispersion and Current Observations Using Dye, Drifters, and {HF} Radar in Coastal Waters}, journal = {Remote. Sens.}, volume = {16}, number = {11}, pages = {1985}, year = {2024}, url = {https://doi.org/10.3390/rs16111985}, doi = {10.3390/RS16111985}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimHSSPRKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiHCCSKO24, author = {Cheol{-}Ho Choi and Joonhwan Han and Jeong Woo Cha and Hyunmin Choi and Jungho Shin and Taehyun Kim and Hyun Woo Oh}, title = {Contrast Enhancement Method Using Region-Based Dynamic Clipping Technique for LWIR-Based Thermal Camera of Night Vision Systems}, journal = {Sensors}, volume = {24}, number = {12}, pages = {3829}, year = {2024}, url = {https://doi.org/10.3390/s24123829}, doi = {10.3390/S24123829}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiHCCSKO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiYKSC24, author = {Ho Seon Choi and Seokjin Yoon and Jangkyum Kim and Hyeonseok Seo and Jun Kyun Choi}, title = {Calibrating Low-Cost Smart Insole Sensors with Recurrent Neural Networks for Accurate Prediction of Center of Pressure}, journal = {Sensors}, volume = {24}, number = {15}, pages = {4765}, year = {2024}, url = {https://doi.org/10.3390/s24154765}, doi = {10.3390/S24154765}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiYKSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCJK24, author = {Jong Hun Kim and Yeong Uk Choi and Jong Hoon Jung and Jae{-}Hun Kim}, title = {CoFe2O4 on Mica Substrate as Flexible Ethanol Gas Sensor in Self-Heating Mode}, journal = {Sensors}, volume = {24}, number = {6}, pages = {1927}, year = {2024}, url = {https://doi.org/10.3390/s24061927}, doi = {10.3390/S24061927}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJOCLMKLNSKL24, author = {Youn{-}Hee Kim and Juwon Jun and You{-}Kyung Oh and Hee{-}Ji Choi and Mi{-}Jung Lee and Kyeong{-}Sik Min and Sung{-}Hyon Kim and Hyunseung Lee and Ho{-}Seok Nam and Son Singh and Byoung{-}Joon Kim and Jaegab Lee}, title = {Assessing the Role of Yarn Placement in Plated Knit Strain Sensors: {A} Detailed Study of Their Electromechanical Properties and Applicability in Bending Cycle Monitoring}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1690}, year = {2024}, url = {https://doi.org/10.3390/s24051690}, doi = {10.3390/S24051690}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJOCLMKLNSKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeHKKC24, author = {Seung{-}Won Lee and Kyung{-}Soo Heo and Min{-}A Kim and Do{-}Kyoung Kim and Hoon Choi}, title = {Multiple-Junction-Based Traffic-Aware Routing Protocol Using {ACO} Algorithm in Urban Vehicular Networks}, journal = {Sensors}, volume = {24}, number = {9}, pages = {2913}, year = {2024}, url = {https://doi.org/10.3390/s24092913}, doi = {10.3390/S24092913}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeHKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChoiPJ24, author = {Yeongyu Choi and Ju H. Park and Ho{-}Youl Jung}, title = {Sample Pose Augmentation and Adaptive Weight-Based Refinement for 3-D LiDAR-Camera Extrinsic Calibration Using an Orthogonal Trihedron}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--14}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3336440}, doi = {10.1109/TIM.2023.3336440}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/ChoiPJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/LeeHLC24, author = {Sangwon Lee and Junho Hong and Ling Liu and Wonik Choi}, title = {TS-Fastformer: Fast Transformer for Time-series Forecasting}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {15}, number = {2}, pages = {24:1--24:20}, year = {2024}, url = {https://doi.org/10.1145/3630637}, doi = {10.1145/3630637}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/LeeHLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/KimLYTC24, author = {Dong{-}Geun Kim and Jungeun Lee and Gyeore Yun and Hong Z. Tan and Seungmoon Choi}, title = {Sound-to-Touch Crossmodal Pitch Matching for Short Sounds}, journal = {{IEEE} Trans. Haptics}, volume = {17}, number = {1}, pages = {2--7}, year = {2024}, url = {https://doi.org/10.1109/TOH.2023.3338224}, doi = {10.1109/TOH.2023.3338224}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toh/KimLYTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/ChoiL24, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Optimization of Dynamic Beam Placement for {LEO} Satellite Multicast Communications}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {13}, number = {4}, pages = {1029--1033}, year = {2024}, url = {https://doi.org/10.1109/LWC.2024.3358371}, doi = {10.1109/LWC.2024.3358371}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/ChoiL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HanLSCLB24, author = {Janghoon Han and Changho Lee and Joongbo Shin and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Deep Exploration of Cross-Lingual Zero-Shot Generalization in Instruction Tuning}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {15436--15452}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.912}, timestamp = {Tue, 27 Aug 2024 17:38:11 +0200}, biburl = {https://dblp.org/rec/conf/acl/HanLSCLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KweonKKICBOLMYB24, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {5148--5168}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.305}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KweonKKICBOLMYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeLSHC24, author = {Young{-}Jun Lee and Dokyong Lee and Joo{-}Won Sung and Jonghwan Hyeon and Ho{-}Jin Choi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Large Language Models can Share Images, Too!}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {692--713}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.39}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeLSHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeePHKCC24, author = {Janghwan Lee and Seongmin Park and Sukjin Hong and Minsoo Kim and Du{-}Seong Chang and Jungwook Choi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Improving Conversational Abilities of Quantized Large Language Models via Direct Preference Alignment}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {11346--11364}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.acl-long.612}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeePHKCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/YangKCLJ24, author = {Nakyeong Yang and Taegwan Kang and Stanley Jungkyu Choi and Honglak Lee and Kyomin Jung}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Mitigating Biases for Instruction-following Language Models via Bias Neurons Elimination}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {9061--9073}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.acl-long.490}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/YangKCLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ChoiHCSO24, author = {Cheol{-}Ho Choi and Joonhwan Han and Jeongwoo Cha and Jungho Shin and Hyun Woo Oh}, title = {Fast Object Detection Algorithm using Edge-based Operation Skip Scheme with Viola-Jones Method}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {199--203}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595932}, doi = {10.1109/AICAS59952.2024.10595932}, timestamp = {Wed, 31 Jul 2024 16:24:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ChoiHCSO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0006CKKKKA24, author = {Jaehyun Park and Jaewan Choi and Kwanhee Kyung and Michael Jaemin Kim and Yongsuk Kwon and Nam Sung Kim and Jung Ho Ahn}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {AttAcc! Unleashing the Power of {PIM} for Batched Transformer-based Generative Model Inference}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {103--119}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640422}, doi = {10.1145/3620665.3640422}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0006CKKKKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KimMCJBCHK24, author = {Junpyo Kim and Dongmoon Min and Jungmin Cho and Hyeonseong Jeong and Ilkwon Byun and Junhyuk Choi and Juwon Hong and Jangwoo Kim}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {A Fault-Tolerant Million Qubit-Scale Distributed Quantum Computer}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {1--19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640388}, doi = {10.1145/3620665.3640388}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KimMCJBCHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/HwangLLC24, author = {Yechan Hwang and Jinsu Lim and Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Enhancing Numerical Reasoning Performance by Augmenting Distractor Numerical Values}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {242--245}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00045}, doi = {10.1109/BIGCOMP60711.2024.00045}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/HwangLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JooLYSC24, author = {Eojin Joo and Do Kyung Lee and Junyoung Youn and Joo{-}Won Sung and Ho{-}Jin Choi}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Enhancing Knowledge Selection with Data Processing Based on Multiple Turns of Dialog in Knowledge-Grounded Open-Domain Conversations}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {365--366}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00071}, doi = {10.1109/BIGCOMP60711.2024.00071}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/JooLYSC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LimHLC24, author = {Jinsu Lim and Yechan Hwang and Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Improving Financial {QA} via Enhancing Argument Understanding with an Argument Predictor}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {353--354}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00065}, doi = {10.1109/BIGCOMP60711.2024.00065}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LimHLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiJLNH24, author = {Youjin Choi and Junryeol Jeon and ChungHa Lee and Yeo{-}Gyeong Noh and Jin{-}Hyuk Hong}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {A Way for Deaf and Hard of Hearing People to Enjoy Music by Exploring and Customizing Cross-modal Music Concepts}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {726:1--726:17}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642665}, doi = {10.1145/3613904.3642665}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiJLNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/JinLCSK24, author = {Kyohoon Jin and Junho Lee and Juhwan Choi and Sangmin Song and Youngbin Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Enhancing Effectiveness and Robustness in a Low-Resource Regime via Decision-Boundary-aware Data Augmentation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {5930--5943}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.525}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/JinLCSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/SongHJCSCCC24, author = {Hyeonho Song and Jisu Hong and Chani Jung and Hyojin Chin and Mingi Shin and Yubin Choi and Junghoi Choi and Meeyoung Cha}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Detecting Offensive Language in an Open Chatbot Platform}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {4760--4771}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.426}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/SongHJCSCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeLCLKS24, author = {Ho{-}Jin Lee and Kyeong{-}Jun Lee and Youngchang Choi and Kyongsu Lee and Seokhyeong Kang and Jae{-}Yoon Sim}, title = {Trans-Net: Knowledge-Transferring Analog Circuit Optimizer with a Netlist-Based Circuit Representation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546835}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeLCLKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YimSCL0JL24, author = {Jinkyu Yim and Jaeyong Song and Yerim Choi and Jaebeen Lee and Jaewon Jung and Hongsun Jang and Jinho Lee}, title = {Pipette: Automatic Fine-Grained Large Language Model Training Configurator for Real-World Clusters}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546826}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YimSCL0JL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ChoiJLSK24, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and YoungBin Kim}, editor = {Neele Falk and Sara Papi and Mike Zhang}, title = {AutoAugment Is What You Need: Enhancing Rule-based Augmentation Methods in Low-resource Regimes}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024: Student Research Workshop, St. Julian's, Malta, March 21-22, 2024}, pages = {1--8}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-srw.1}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ChoiJLSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChoiPKKA24, author = {Jaewan Choi and Jaehyun Park and Kwanhee Kyung and Nam Sung Kim and Jung Ho Ahn}, title = {Unleashing the Potential of {PIM:} Accelerating Large Batched Inference of Transformer-Based Generative Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {614}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00052}, doi = {10.1109/HPCA57654.2024.00052}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ChoiPKKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimHKKTOSCB24, author = {Junsu Kim and Sumin Hong and Chanwoo Kim and Jihyeon Kim and Yihalem Yimolal Tiruneh and Jeongwan On and Jihyun Song and Sunhwa Choi and Seungryul Baek}, title = {Class-Wise Buffer Management for Incremental Object Detection: An Effective Buffer Training Strategy}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {6800--6804}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446428}, doi = {10.1109/ICASSP48485.2024.10446428}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimHKKTOSCB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeKC24, author = {Junho Lee and Jaein Kim and Yoojin Choi}, title = {Learning Variable-Rate {CSI} Compression with Multi-Stage Vector Quantization}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2024, Denver, CO, USA, June 9-13, 2024}, pages = {5123--5128}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICC51166.2024.10622937}, doi = {10.1109/ICC51166.2024.10622937}, timestamp = {Mon, 02 Sep 2024 15:04:36 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JeongKOCSCJL24, author = {Kyungchang Jeong and Eunyoung Ko and Hongseok Oh and Gyuchan Cho and Hanbit Seo and Jungseok Choi and Ji{-}Hoon Jeong and Euijong Lee}, title = {Method to Predict and Explain Taste Preference using Pork Characteristics and Consumer Information}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444266}, doi = {10.1109/ICCE59016.2024.10444266}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/JeongKOCSCJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimLC24, author = {Jungho Kim and Geunwon Lee and Hoon Choi}, title = {Energy-Efficient Heterogeneous Computing via Normalized Performance based Proactive Boost for Embedded Artificial Intelligence}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444347}, doi = {10.1109/ICCE59016.2024.10444347}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChoiJAM24, author = {Heewoong Choi and Sangwon Jung and Hongjoon Ahn and Taesup Moon}, title = {Listwise Reward Estimation for Offline Preference-based Reinforcement Learning}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=If6Q9OYfoJ}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChoiJAM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KwonKPSLLAKREKJCCKLYC24, author = {Youngjae Kwon and Won{-}Tae Koo and Sangsu Park and Dong Ik Suh and Gunhee Lee and Hyung Dong Lee and Youngbae Ahn and Dohee Kim and Seungwook Ryu and Hoseok Em and Seokjoon Kang and Chang Won Jeong and Junho Cheon and Hyejung Choi and Soo Gil Kim and Seho Lee and Jaeyun Yi and Seonyong Cha}, title = {Improvement of {MAC} Accuracy using Oxygen Diffusion Barriers in Resistive Synaptic Cell Arrays}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536974}, doi = {10.1109/IMW59701.2024.10536974}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KwonKPSLLAKREKJCCKLYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24, author = {Jungchul Lee and EC Kwon and SH Yoon and R. G. Oh and S. Y. Park and S. H. Youn and K. R. Choi and T. W. Kim and M. C. Kim and J. H. Park and Y. W. Ko and Y. D. Kim and J. S. Moon and H. A. Park and K. O. Hong and J. Y. Yang and J. Y. Yoon and J. M. Yoon and J. M. Lee and J. H. Kim and H. Y. Yoo and S. J. Kim and N.{-}H. Lee and S. H. Lee and K. S. Kwon and I. G. Jung and S. Y. Lee and H.{-}J. Kim and Sangwoo Pae}, title = {Virtual {FA} Methodology for {DRAM:} Real-Time Analysis and Risk Assessment Method Using Telemetry}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529404}, doi = {10.1109/IRPS48228.2024.10529404}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShusterPassageRMHCGKCSKL24, author = {J. Shuster{-}Passage and S. Abdel Razek and M. Mattoo and Meike Hauschildt and Seungman Choi and Martin Gall and Armen Kteyan and Jun{-}Ho Choy and Valeriy Sukharev and Matthias Kraatz and J. R. Lloyd}, title = {A Novel Method for the Determination of Electromigration-Induced Void Nucleation Stresses}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529368}, doi = {10.1109/IRPS48228.2024.10529368}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShusterPassageRMHCGKCSKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SukharevCKSCG24, author = {Valeriy Sukharev and Jun{-}Ho Choy and Armen Kteyan and J. Shuster{-}Passage and Seungman Choi and M. Gall}, title = {A Unified Physics-Based Stochastic Model for EM-Induced Resistance Degradation in BEoL Interconnect Segments}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529301}, doi = {10.1109/IRPS48228.2024.10529301}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SukharevCKSCG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiJHKPY24, author = {Jiwon Choi and Wooyoung Jo and Seongyon Hong and Beomseok Kwon and Wonhoon Park and Hoi{-}Jun Yoo}, title = {A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558026}, doi = {10.1109/ISCAS58744.2024.10558026}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiJHKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoPYKCKKKCLSJK24, author = {Jeonghoon Cho and You Jang Pyeon and Junyeong Yeom and Hyunjoong Kim and Sanghyeon Cho and Yonggi Kim and Taejung Kim and Jong{-}Hyun Kwak and Geonjun Choi and Yoonsik Lee and Heungjoo Shin and Hoon Eui Jeong and Jae Joon Kim}, title = {33.7 An Adhesive Interposer-Based Reconfigurable Multi-Sensor Patch Interface with On-Chip Application Tunable Time-Domain Feature Extraction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {554--556}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454293}, doi = {10.1109/ISSCC49657.2024.10454293}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoPYKCKKKCLSJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLJPKWHJH24, author = {Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Hyeonho Park and Jeong{-}Hun Kim and Young{-}Jin Woo and Ju{-}Pyo Hong and Haifeng Jin and Sung{-}Wan Hong}, title = {8.7 {A} 92.7{\%} Peak Efficiency 12V-to-60V Input to 1.2V Output Hybrid {DC-DC} Converter Based on a Series-Parallel-Connected Switched Capacitor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {156--158}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454344}, doi = {10.1109/ISSCC49657.2024.10454344}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLJPKWHJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KimJCKC24, author = {Jiwon Kim and Taewoong Jung and Yonghun Choi and Daeyong Kim and Hojung Cha}, editor = {Tadashi Okoshi and JeongGil Ko and Robert LiKamWa}, title = {Optimizing Profitability of E-Scooter Sharing System via Battery-aware Recommendation}, booktitle = {Proceedings of the 22nd Annual International Conference on Mobile Systems, Applications and Services, {MOBISYS} 2024, Minato-ku, Tokyo, Japan, June 3-7, 2024}, pages = {575--587}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643832.3661859}, doi = {10.1145/3643832.3661859}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KimJCKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/HowardWLSCS24, author = {Phillip Howard and Junlin Wang and Vasudev Lal and Gadi Singer and Yejin Choi and Swabha Swayamdipta}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {NeuroComparatives: Neuro-Symbolic Distillation of Comparative Knowledge}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {4502--4520}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-naacl.281}, doi = {10.18653/V1/2024.FINDINGS-NAACL.281}, timestamp = {Thu, 12 Sep 2024 13:29:32 +0200}, biburl = {https://dblp.org/rec/conf/naacl/HowardWLSCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LeeKKHC24, author = {Young{-}Jun Lee and Byungsoo Ko and Han{-}Gyu Kim and Jonghwan Hyeon and Ho{-}Jin Choi}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {DialogCC: An Automated Pipeline for Creating High-Quality Multi-Modal Dialogue Dataset}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {1938--1963}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.108}, doi = {10.18653/V1/2024.NAACL-LONG.108}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LeeKKHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/JungCKYS24, author = {Suhwan Jung and Seokwoo Choi and Hyoil Kim and Youngkeun Yoon and Ho{-}Kyung Son}, title = {Modeling the Coexistence Performance between Wi-Fi 7 and legacy Wi-Fi}, booktitle = {{NOMS} 2024 {IEEE} Network Operations and Management Symposium, Seoul, Republic of Korea, May 6-10, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/NOMS59830.2024.10575297}, doi = {10.1109/NOMS59830.2024.10575297}, timestamp = {Tue, 16 Jul 2024 15:37:36 +0200}, biburl = {https://dblp.org/rec/conf/noms/JungCKYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ParkKYHYCCC24, author = {Chung Park and Taesan Kim and Hyungjun Yoon and Junui Hong and Yelim Yu and Mincheol Cho and Minsung Choi and Jaegul Choo}, editor = {Grace Hui Yang and Hongning Wang and Sam Han and Claudia Hauff and Guido Zuccon and Yi Zhang}, title = {Pacer and Runner: Cooperative Learning Framework between Single- and Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 47th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2024, Washington DC, USA, July 14-18, 2024}, pages = {2071--2080}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626772.3657710}, doi = {10.1145/3626772.3657710}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ParkKYHYCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02834, author = {Bo{-}Kyeong Kim and Geon{-}min Kim and Tae{-}Ho Kim and Thibault Castells and Shinkook Choi and Junho Shin and Hyoung{-}Kyu Song}, title = {Shortened LLaMA: {A} Simple Depth Pruning for Large Language Models}, journal = {CoRR}, volume = {abs/2402.02834}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02834}, doi = {10.48550/ARXIV.2402.02834}, eprinttype = {arXiv}, eprint = {2402.02834}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03533, author = {Kwantae Kim and Changhyeon Kim and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 0.5V, 6.2{\(\mu\)}W, 0.059mm\({}^{\mbox{2}}\) Sinusoidal Current Generator {IC} with 0.088{\%} {THD} for Bio-Impedance Sensing}, journal = {CoRR}, volume = {abs/2402.03533}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03533}, doi = {10.48550/ARXIV.2402.03533}, eprinttype = {arXiv}, eprint = {2402.03533}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05584, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and Youngbin Kim}, title = {AutoAugment Is What You Need: Enhancing Rule-based Augmentation Methods in Low-resource Regimes}, journal = {CoRR}, volume = {abs/2402.05584}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05584}, doi = {10.48550/ARXIV.2402.05584}, eprinttype = {arXiv}, eprint = {2402.05584}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05584.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05591, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and Youngbin Kim}, title = {SoftEDA: Rethinking Rule-Based Data Augmentation with Soft Labels}, journal = {CoRR}, volume = {abs/2402.05591}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05591}, doi = {10.48550/ARXIV.2402.05591}, eprinttype = {arXiv}, eprint = {2402.05591}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05591.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12974, author = {Jaeseok Jeong and Junho Kim and Yunjey Choi and Gayoung Lee and Youngjung Uh}, title = {Visual Style Prompting with Swapping Self-Attention}, journal = {CoRR}, volume = {abs/2402.12974}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12974}, doi = {10.48550/ARXIV.2402.12974}, eprinttype = {arXiv}, eprint = {2402.12974}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04982, author = {Jiwon Choi and Wooyoung Jo and Seongyon Hong and Beomseok Kwon and Wonhoon Park and Hoi{-}Jun Yoo}, title = {A 28.6 mJ/iter Stable Diffusion Processor for Text-to-Image Generation with Patch Similarity-based Sparsity Augmentation and Text-based Mixed-Precision}, journal = {CoRR}, volume = {abs/2403.04982}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04982}, doi = {10.48550/ARXIV.2403.04982}, eprinttype = {arXiv}, eprint = {2403.04982}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04982.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06225, author = {Boeun Kim and Jungho Kim and Hyung Jin Chang and Jin Young Choi}, title = {MoST: Motion Style Transformer between Diverse Action Contents}, journal = {CoRR}, volume = {abs/2403.06225}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06225}, doi = {10.48550/ARXIV.2403.06225}, eprinttype = {arXiv}, eprint = {2403.06225}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06225.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15512, author = {Kyohoon Jin and Junho Lee and Juhwan Choi and Sangmin Song and Youngbin Kim}, title = {Enhancing Effectiveness and Robustness in a Low-Resource Regime via Decision-Boundary-aware Data Augmentation}, journal = {CoRR}, volume = {abs/2403.15512}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15512}, doi = {10.48550/ARXIV.2403.15512}, eprinttype = {arXiv}, eprint = {2403.15512}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16418, author = {Changho Lee and Janghoon Han and Seonghyeon Ye and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, title = {Instruction Matters, a Simple yet Effective Task Selection Approach in Instruction Tuning for Specific Tasks}, journal = {CoRR}, volume = {abs/2404.16418}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16418}, doi = {10.48550/ARXIV.2404.16418}, eprinttype = {arXiv}, eprint = {2404.16418}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16418.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16484, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Cosmin Stejerean and Ioannis Katsavounidis and Radu Timofte and Kihwan Yoon and Ganzorig Gankhuyag and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhiyuan Li and Hao Wei and Chenyang Ge and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin and Menghan Zhou and Yiqiang Yan and Si Gao and Biao Wu and Shaoli Liu and Chengjian Zheng and Diankai Zhang and Ning Wang and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Hyeon{-}Cheol Moon and Tae{-}hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16484}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16484}, doi = {10.48550/ARXIV.2404.16484}, eprinttype = {arXiv}, eprint = {2404.16484}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-17598, author = {Hoin Jung and Hyunsoo Cho and Myungje Choi and Joowon Lee and Jung Ho Park and Myungjoo Kang}, title = {Revealing and Utilizing In-group Favoritism for Graph-based Collaborative Filtering}, journal = {CoRR}, volume = {abs/2404.17598}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.17598}, doi = {10.48550/ARXIV.2404.17598}, eprinttype = {arXiv}, eprint = {2404.17598}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-17598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-11563, author = {Kwangjae Lee and Jung Hoon Lee and Wan Choi}, title = {User-Centric Association and Feedback Bit Allocation for {FDD} Cell-Free Massive {MIMO}}, journal = {CoRR}, volume = {abs/2405.11563}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.11563}, doi = {10.48550/ARXIV.2405.11563}, eprinttype = {arXiv}, eprint = {2405.11563}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-11563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18093, author = {Jinkyu Yim and Jaeyong Song and Yerim Choi and Jaebeen Lee and Jaewon Jung and Hongsun Jang and Jinho Lee}, title = {Pipette: Automatic Fine-grained Large Language Model Training Configurator for Real-World Clusters}, journal = {CoRR}, volume = {abs/2405.18093}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18093}, doi = {10.48550/ARXIV.2405.18093}, eprinttype = {arXiv}, eprint = {2405.18093}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-05472, author = {Aydin Zaboli and Seong Lok Choi and Tai{-}Jin Song and Junho Hong}, title = {A Novel Generative AI-Based Framework for Anomaly Detection in Multicast Messages in Smart Grid Communications}, journal = {CoRR}, volume = {abs/2406.05472}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.05472}, doi = {10.48550/ARXIV.2406.05472}, eprinttype = {arXiv}, eprint = {2406.05472}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-05472.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-05761, author = {Seungone Kim and Juyoung Suk and Ji Yong Cho and Shayne Longpre and Chaeeun Kim and Dongkeun Yoon and Guijin Son and Yejin Choi and Sheikh Shafayat and Jinheon Baek and Sue Hyun Park and Hyeonbin Hwang and Jinkyung Jo and Hyowon Cho and Haebin Shin and Seongyun Lee and Hanseok Oh and Noah Lee and Namgyu Ho and Se June Joo and Miyoung Ko and Yoonjoo Lee and Hyungjoo Chae and Jamin Shin and Joel Jang and Seonghyeon Ye and Bill Yuchen Lin and Sean Welleck and Graham Neubig and Moontae Lee and Kyungjae Lee and Minjoon Seo}, title = {The BiGGen Bench: {A} Principled Benchmark for Fine-grained Evaluation of Language Models with Language Models}, journal = {CoRR}, volume = {abs/2406.05761}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.05761}, doi = {10.48550/ARXIV.2406.05761}, eprinttype = {arXiv}, eprint = {2406.05761}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-05761.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06786, author = {June{-}Woo Kim and Miika Toikkanen and Yera Choi and Seoung{-}Eun Moon and Ho{-}Young Jung}, title = {{BTS:} Bridging Text and Sound Modalities for Metadata-Aided Respiratory Sound Classification}, journal = {CoRR}, volume = {abs/2406.06786}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06786}, doi = {10.48550/ARXIV.2406.06786}, eprinttype = {arXiv}, eprint = {2406.06786}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-08796, author = {Janghoon Han and Changho Lee and Joongbo Shin and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, title = {Deep Exploration of Cross-Lingual Zero-Shot Generalization in Instruction Tuning}, journal = {CoRR}, volume = {abs/2406.08796}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.08796}, doi = {10.48550/ARXIV.2406.08796}, eprinttype = {arXiv}, eprint = {2406.08796}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-08796.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11313, author = {Yecheol Kim and Junho Lee and Changsoo Park and Hyoung won Kim and Inho Lim and Christopher Chang and Jun Won Choi}, title = {Semi-Supervised Domain Adaptation Using Target-Oriented Domain Augmentation for 3D Object Detection}, journal = {CoRR}, volume = {abs/2406.11313}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11313}, doi = {10.48550/ARXIV.2406.11313}, eprinttype = {arXiv}, eprint = {2406.11313}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03051, author = {Janghwan Lee and Seongmin Park and Sukjin Hong and Minsoo Kim and Du{-}Seong Chang and Jungwook Choi}, title = {Improving Conversational Abilities of Quantized Large Language Models via Direct Preference Alignment}, journal = {CoRR}, volume = {abs/2407.03051}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03051}, doi = {10.48550/ARXIV.2407.03051}, eprinttype = {arXiv}, eprint = {2407.03051}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03958, author = {Young{-}Jun Lee and Dokyong Lee and Junyoung Youn and Kyeongjin Oh and Byungsoo Ko and Jonghwan Hyeon and Ho{-}Jin Choi}, title = {Stark: Social Long-Term Multi-Modal Conversation with Persona Commonsense Knowledge}, journal = {CoRR}, volume = {abs/2407.03958}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03958}, doi = {10.48550/ARXIV.2407.03958}, eprinttype = {arXiv}, eprint = {2407.03958}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04239, author = {Hojung Lee and Jun{-}Pyo Hong and Wan Choi}, title = {Enabling Multicast Transmission for Spatio-Temporally Asynchronous User Requests in Wireless Environments}, journal = {CoRR}, volume = {abs/2407.04239}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04239}, doi = {10.48550/ARXIV.2407.04239}, eprinttype = {arXiv}, eprint = {2407.04239}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-09184, author = {Jong Myoung Kim and Young{-}Jun Lee and Yong{-}jin Han and Sangkeun Jung and Ho{-}Jin Choi}, title = {Does Incomplete Syntax Influence Korean Language Model? Focusing on Word Order and Case Markers}, journal = {CoRR}, volume = {abs/2407.09184}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.09184}, doi = {10.48550/ARXIV.2407.09184}, eprinttype = {arXiv}, eprint = {2407.09184}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-09184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-11245, author = {Chung Park and Taesan Kim and Hyungjun Yoon and Junui Hong and Yelim Yu and Mincheol Cho and Minsung Choi and Jaegul Choo}, title = {Pacer and Runner: Cooperative Learning Framework between Single- and Cross-Domain Sequential Recommendation}, journal = {CoRR}, volume = {abs/2407.11245}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.11245}, doi = {10.48550/ARXIV.2407.11245}, eprinttype = {arXiv}, eprint = {2407.11245}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-11245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-12007, author = {Junhyuk Choi and Yeseon Hong and Bugeun Kim}, title = {People will agree what {I} think: Investigating LLM's False Consensus Effect}, journal = {CoRR}, volume = {abs/2407.12007}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.12007}, doi = {10.48550/ARXIV.2407.12007}, eprinttype = {arXiv}, eprint = {2407.12007}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-12007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13055, author = {Jongmin Kim and Wonseok Choi and Jung Ho Ahn}, title = {Cheddar: {A} Swift Fully Homomorphic Encryption Library for {CUDA} GPUs}, journal = {CoRR}, volume = {abs/2407.13055}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13055}, doi = {10.48550/ARXIV.2407.13055}, eprinttype = {arXiv}, eprint = {2407.13055}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13055.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13517, author = {Sehwan Choi and Jungho Kim and Hongjae Shin and Jun Won Choi}, title = {Mask2Map: Vectorized {HD} Map Construction Using Bird's Eye View Segmentation Masks}, journal = {CoRR}, volume = {abs/2407.13517}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13517}, doi = {10.48550/ARXIV.2407.13517}, eprinttype = {arXiv}, eprint = {2407.13517}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13517.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-16802, author = {Jae Soon Baik and In Young Yoon and Kun Hoon Kim and Jun Won Choi}, title = {Distribution-Aware Robust Learning from Long-Tailed Data with Noisy Labels}, journal = {CoRR}, volume = {abs/2407.16802}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.16802}, doi = {10.48550/ARXIV.2407.16802}, eprinttype = {arXiv}, eprint = {2407.16802}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-16802.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-17491, author = {Changdae Oh and Gyeongdeok Seo and Geunyoung Jung and Zhi{-}Qi Cheng and Hosik Choi and Jiyoung Jung and Kyungwoo Song}, title = {Robust Adaptation of Foundation Models with Black-Box Visual Prompting}, journal = {CoRR}, volume = {abs/2407.17491}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.17491}, doi = {10.48550/ARXIV.2407.17491}, eprinttype = {arXiv}, eprint = {2407.17491}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-17491.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-00137, author = {Sangwon Yu and Jongyoon Song and Bongkyu Hwang and Hoyoung Kang and Sooah Cho and Junhwa Choi and Seongho Joe and Taehee Lee and Youngjune L. Gwon and Sungroh Yoon}, title = {Correcting Negative Bias in Large Language Models through Negative Attention Score Alignment}, journal = {CoRR}, volume = {abs/2408.00137}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.00137}, doi = {10.48550/ARXIV.2408.00137}, eprinttype = {arXiv}, eprint = {2408.00137}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-00137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03541, author = {Soyoung An and Kyunghoon Bae and Eunbi Choi and Stanley Jungkyu Choi and Yemuk Choi and Seokhee Hong and Yeonjung Hong and Junwon Hwang and Hyojin Jeon and Gerrard Jeongwon Jo and Hyunjik Jo and Jiyeon Jung and Yountae Jung and Euisoon Kim and Hyosang Kim and Joonkee Kim and Seonghwan Kim and Soyeon Kim and Sunkyoung Kim and Yireun Kim and Youchul Kim and Edward Hwayoung Lee and Haeju Lee and Honglak Lee and Jinsik Lee and Kyungmin Lee and Moontae Lee and Seungjun Lee and Woohyung Lim and Sangha Park and Sooyoun Park and Yongmin Park and Boseong Seo and Sihoon Yang and Heuiyeen Yeen and Kyungjae Yoo and Hyeongu Yun}, title = {{EXAONE} 3.0 7.8B Instruction Tuned Language Model}, journal = {CoRR}, volume = {abs/2408.03541}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03541}, doi = {10.48550/ARXIV.2408.03541}, eprinttype = {arXiv}, eprint = {2408.03541}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-04190, author = {Heewoong Choi and Sangwon Jung and Hongjoon Ahn and Taesup Moon}, title = {Listwise Reward Estimation for Offline Preference-based Reinforcement Learning}, journal = {CoRR}, volume = {abs/2408.04190}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.04190}, doi = {10.48550/ARXIV.2408.04190}, eprinttype = {arXiv}, eprint = {2408.04190}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-04190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKH23, author = {Junho Choi and Namjung Kim and Youngjoon Hong}, title = {Unsupervised Legendre-Galerkin Neural Network for Solving Partial Differential Equations}, journal = {{IEEE} Access}, volume = {11}, pages = {23433--23446}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3244681}, doi = {10.1109/ACCESS.2023.3244681}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKJLLYPKJ23, author = {Junmo Choi and Jaewoo Kim and Younggun Ji and Seongju Lee and Jungran Lee and Byunggil Yu and Seulgi Park and Myungho Kim and Kyung{-}Young Jung}, title = {SIW-Fed Patch Array Filtenna With Significant Suppression of Adjacent 5G Spectrum for Radio Altimeters}, journal = {{IEEE} Access}, volume = {11}, pages = {135846--135854}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3337810}, doi = {10.1109/ACCESS.2023.3337810}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKJLLYPKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiOHS23, author = {Cheol{-}Ho Choi and Hyun Woo Oh and Joonhwan Han and Jungho Shin}, title = {Cell-Based Refinement Processor Utilizing Disparity Characteristics of Road Environment for SGM-Based Stereo Vision Systems}, journal = {{IEEE} Access}, volume = {11}, pages = {138122--138140}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3338649}, doi = {10.1109/ACCESS.2023.3338649}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiOHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GharamalekiHAAKKC23, author = {Nader Latifi Gharamaleki and Junsun Hwang and Awais Ahmed and Sarmad Ahmad Abbasi and Soo{-}Il Kim and Jin{-}young Kim and Hongsoo Choi}, title = {Electromagnetic Manipulation System for Semi-Autonomous Control of Small-Scale Magnetic Objects With Sequential Programming}, journal = {{IEEE} Access}, volume = {11}, pages = {35327--35335}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3264464}, doi = {10.1109/ACCESS.2023.3264464}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GharamalekiHAAKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangAJCKJBK23, author = {Jung Heum Kang and Muhammad Salman Ali and Hyewon Jeong and Chang{-}Kyun Choi and Younhee Kim and Se{-}Yoon Jeong and Sung{-}Ho Bae and Hui Yong Kim}, title = {A Super-Resolution-Based Feature Map Compression for Machine-Oriented Video Coding}, journal = {{IEEE} Access}, volume = {11}, pages = {34198--34209}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3260223}, doi = {10.1109/ACCESS.2023.3260223}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangAJCKJBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangKJKKCBKKK23, author = {Dongyeon Kang and Wonjung Kim and Jun Tae Jang and Changwook Kim and Jung Nam Kim and Sung{-}Jin Choi and Jong{-}Ho Bae and Dong Myong Kim and Yoon Kim and Dae Hwan Kim}, title = {Short- and Long-Term Memory Based on a Floating-Gate {IGZO} Synaptic Transistor}, journal = {{IEEE} Access}, volume = {11}, pages = {20196--20201}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3249479}, doi = {10.1109/ACCESS.2023.3249479}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangKJKKCBKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwakKLJHCYHHLY23, author = {Il{-}Youp Kwak and Sungsu Kwag and Junhee Lee and Youngbae Jeon and Jeong{-}Hwan Hwang and Hyoukryeol Choi and Jong{-}Hoon Yang and Soyul Han and Jun Ho Huh and Choong{-}Hoon Lee and Ji Won Yoon}, title = {Voice Spoofing Detection Through Residual Network, Max Feature Map, and Depthwise Separable Convolution}, journal = {{IEEE} Access}, volume = {11}, pages = {49140--49152}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3275790}, doi = {10.1109/ACCESS.2023.3275790}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwakKLJHCYHHLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCLKNL23, author = {Junseung Lee and Hyun{-}Ho Choi and Seung{-}Chan Lim and Hyungsub Kim and Jeehyeon Na and Howon Lee}, title = {Low-Complexity Q-Learning for Energy-Aware Small-Cell Networks With Integrated Access and Backhaul}, journal = {{IEEE} Access}, volume = {11}, pages = {121529--121538}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3328957}, doi = {10.1109/ACCESS.2023.3328957}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCLKNL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkLCH23, author = {Jong Hoon Park and Ye Eun Lim and Jung Hyun Choi and Myun Joong Hwang}, title = {Trajectory-Based 3D Point Cloud {ROI} Determination Methods for Autonomous Mobile Robot}, journal = {{IEEE} Access}, volume = {11}, pages = {8504--8522}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3238824}, doi = {10.1109/ACCESS.2023.3238824}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinKCKC23, author = {Yeongseo Shin and Yong Guk Kim and Chang{-}Ho Choi and Dae{-}Joong Kim and Chanjun Chun}, title = {{SELD} U-Net: Joint Optimization of Sound Event Localization and Detection With Noise Reduction}, journal = {{IEEE} Access}, volume = {11}, pages = {105379--105393}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3318322}, doi = {10.1109/ACCESS.2023.3318322}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinKCKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SungCKJ23, author = {Sangmo Sung and Wonseo Choi and Hokeun Kim and Jae{-}Il Jung}, title = {Deep Learning-Based Path Loss Prediction for Fifth-Generation New Radio Vehicle Communications}, journal = {{IEEE} Access}, volume = {11}, pages = {75295--75310}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3297215}, doi = {10.1109/ACCESS.2023.3297215}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SungCKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/LeeHCCZ23, author = {Min Su Lee and Yu{-}Jung Heo and Seongho Choi and Woo Suk Choi and Byoung{-}Tak Zhang}, title = {Video Turing Test: {A} first step towards human-level {AI}}, journal = {{AI} Mag.}, volume = {44}, number = {4}, pages = {537--554}, year = {2023}, url = {https://doi.org/10.1002/aaai.12128}, doi = {10.1002/AAAI.12128}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aim/LeeHCCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ParkKSPJKC23, author = {Minje Park and Jaeseon Kim and Sungchul Shin and Cheolwoo Park and Jong{-}June Jeon and Soon{-}Sun Kwon and Hosik Choi}, title = {Quantile estimation for encrypted data}, journal = {Appl. Intell.}, volume = {53}, number = {21}, pages = {24782--24791}, year = {2023}, url = {https://doi.org/10.1007/s10489-023-04837-5}, doi = {10.1007/S10489-023-04837-5}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/ParkKSPJKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/KimJC23, author = {Joon{-}ho Kim and Seung{-}hye Jung and Hyun{-}Ju Choi}, title = {Antecedents influencing {SNS} addiction and exhaustion (fatigue syndrome): focusing on six countries}, journal = {Behav. Inf. Technol.}, volume = {42}, number = {15}, pages = {2601--2625}, year = {2023}, url = {https://doi.org/10.1080/0144929x.2022.2136531}, doi = {10.1080/0144929X.2022.2136531}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/behaviourIT/KimJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WuDZPCZSY23, author = {Qunzhuo Wu and Zhaohong Deng and Wei Zhang and Xiaoyong Pan and Kup{-}Sze Choi and Yun Zuo and Hong{-}Bin Shen and Dong{-}Jun Yu}, title = {{MLNGCF:} circRNA-disease associations prediction with multilayer attention neural graph-based collaborative filtering}, journal = {Bioinform.}, volume = {39}, number = {8}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad499}, doi = {10.1093/BIOINFORMATICS/BTAD499}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/WuDZPCZSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ChoiPKKA23, author = {Jaewan Choi and Jaehyun Park and Kwanhee Kyung and Nam Sung Kim and Jung Ho Ahn}, title = {Unleashing the Potential of {PIM:} Accelerating Large Batched Inference of Transformer-Based Generative Models}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {22}, number = {2}, pages = {113--116}, year = {2023}, url = {https://doi.org/10.1109/LCA.2023.3305386}, doi = {10.1109/LCA.2023.3305386}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ChoiPKKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/LiCKA23, author = {Hailong Li and Jaewan Choi and Yongsuk Kwon and Jung Ho Ahn}, title = {A Hardware-Friendly Tiled Singular-Value Decomposition-Based Matrix Multiplication for Transformer-Based Models}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {22}, number = {2}, pages = {169--172}, year = {2023}, url = {https://doi.org/10.1109/LCA.2023.3323482}, doi = {10.1109/LCA.2023.3323482}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/LiCKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/ChoiHCKN23, author = {Soojin Choi and Seokpyo Hong and Kyungmin Cho and Chaelin Kim and Junyong Noh}, title = {Online Avatar Motion Adaptation to Morphologically-similar Spaces}, journal = {Comput. Graph. Forum}, volume = {42}, number = {2}, pages = {13--24}, year = {2023}, url = {https://doi.org/10.1111/cgf.14740}, doi = {10.1111/CGF.14740}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/ChoiHCKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/HongHJKHC23, author = {SeulGi Hong and Seungbum Hong and Junyoung Jang and Keunyoung Kim and Woo Jin Hyung and Min{-}Kook Choi}, title = {Amplifying action-context greater: image segmentation-guided intraoperative active bleeding detection}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {11}, number = {4}, pages = {1261--1270}, year = {2023}, url = {https://doi.org/10.1080/21681163.2022.2159533}, doi = {10.1080/21681163.2022.2159533}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/HongHJKHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/KimKPC23, author = {Ki{-}Ha Kim and Ji Hoon Kang and Xiaomin Pan and Jung{-}Il Choi}, title = {PaScaL{\_}TCS: {A} versatile solver for large-scale turbulent convective heat transfer problems with temperature-dependent fluid properties}, journal = {Comput. Phys. Commun.}, volume = {290}, pages = {108779}, year = {2023}, url = {https://doi.org/10.1016/j.cpc.2023.108779}, doi = {10.1016/J.CPC.2023.108779}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/KimKPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/YangKKKC23, author = {Mingyu Yang and Ji Hoon Kang and Ki{-}Ha Kim and Oh{-}Kyoung Kwon and Jung{-}Il Choi}, title = {PaScaL{\_}TDMA 2.0: {A} multi-GPU-based library for solving massive tridiagonal systems}, journal = {Comput. Phys. Commun.}, volume = {290}, pages = {108785}, year = {2023}, url = {https://doi.org/10.1016/j.cpc.2023.108785}, doi = {10.1016/J.CPC.2023.108785}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/YangKKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ParkKLC23, author = {Hyunseo Park and Nakyoung Kim and Gyeong Ho Lee and Jun Kyun Choi}, title = {MultiCNN-FilterLSTM: Resource-efficient sensor-based human activity recognition in IoT applications}, journal = {Future Gener. Comput. Syst.}, volume = {139}, pages = {196--209}, year = {2023}, url = {https://doi.org/10.1016/j.future.2022.09.024}, doi = {10.1016/J.FUTURE.2022.09.024}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/ParkKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/JungHC23, author = {Seungwoog Jung and Seungwan Han and Hoon Choi}, title = {Enhancing Indoor Air Quality Estimation: {A} Spatially Aware Interpolation Scheme}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {12}, number = {8}, pages = {347}, year = {2023}, url = {https://doi.org/10.3390/ijgi12080347}, doi = {10.3390/IJGI12080347}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/JungHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/AlsalehACHMWT23, author = {Mohanad M. Alsaleh and Freya Allery and Jung Won Choi and Tuankasfee Hama and Andrew McQuillin and Honghan Wu and Johan H. Thygesen}, title = {Prediction of disease comorbidity using explainable artificial intelligence and machine learning techniques: {A} systematic review}, journal = {Int. J. Medical Informatics}, volume = {175}, pages = {105088}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105088}, doi = {10.1016/J.IJMEDINF.2023.105088}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/AlsalehACHMWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/YoonCC23, author = {Junho Yoon and Gyu Ho Choi and Chang Choi}, title = {Multimedia analysis of robustly optimized multimodal transformer based on vision and language co-learning}, journal = {Inf. Fusion}, volume = {100}, pages = {101922}, year = {2023}, url = {https://doi.org/10.1016/j.inffus.2023.101922}, doi = {10.1016/J.INFFUS.2023.101922}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/YoonCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeePJHC23, author = {Gyeong Ho Lee and Hyunseo Park and Jae Won Jang and Jaeseob Han and Jun Kyun Choi}, title = {PPO-Based Autonomous Transmission Period Control System in IoT Edge Computing}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {24}, pages = {21705--21720}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3293511}, doi = {10.1109/JIOT.2023.3293511}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LeePJHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/BergquistSYYPGCCNBRPPCLCLKKMGLSPB23, author = {Timothy Bergquist and Thomas Schaffter and Yao Yan and Thomas Yu and Justin Prosser and Jifan Gao and Guanhua Chen and Lukasz Charzewski and Zofia Nawalany and Ivan Brugere and Renata Retkute and Alidivinas Prusokas and Augustinas Prusokas and Yonghwa Choi and Sanghoon Lee and Junseok Choe and Inggeol Lee and Sunkyu Kim and Jaewoo Kang and Sean D. Mooney and Justin Guinney}, title = {Evaluation of crowdsourced mortality prediction models as a framework for assessing artificial intelligence in medicine}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {35--44}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad159}, doi = {10.1093/JAMIA/OCAD159}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/BergquistSYYPGCCNBRPPCLCLKKMGLSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ChoKNJKCLLWHSK23, author = {Kyungjin Cho and Ki Duk Kim and Yujin Nam and Jiheon Jeong and Jeeyoung Kim and Changyong Choi and Soyoung Lee and Jun Soo Lee and Seoyeon Woo and Gil{-}Sun Hong and Joon Beom Seo and Namkug Kim}, title = {CheSS: Chest X-Ray Pre-trained Model via Self-supervised Contrastive Learning}, journal = {J. Digit. Imaging}, volume = {36}, number = {3}, pages = {902--910}, year = {2023}, url = {https://doi.org/10.1007/s10278-023-00782-4}, doi = {10.1007/S10278-023-00782-4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ChoKNJKCLLWHSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/LeeCYPK23, author = {Jaeeun Lee and Hongseok Choi and Kyeongmin Yum and Jungwon Park and Jongnam Kim}, title = {Classification of a 3D Film Pattern Image Using the Optimal Height of the Histogram for Quality Inspection}, journal = {J. Imaging}, volume = {9}, number = {8}, pages = {156}, year = {2023}, url = {https://doi.org/10.3390/jimaging9080156}, doi = {10.3390/JIMAGING9080156}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/LeeCYPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungSCHJ23, author = {Chongsoo Jung and Hoyong Seong and Injun Choi and Sohmyung Ha and Minkyu Je}, title = {A Process-Scalable Ultra-Low-Voltage Sleep Timer With a Time-Domain Amplifier and a Switch-Less Resistance Multiplier}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2675--2684}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3290357}, doi = {10.1109/JSSC.2023.3290357}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungSCHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuPJCY23, author = {Han Wu and Jeong Hoan Park and Rucheng Jiang and Jung{-}Hwan Choi and Jerald Yoo}, title = {A Charge Recycling Logic Data Links for Single- and Multiple-Channel I/Os}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2790--2800}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3294475}, doi = {10.1109/JSSC.2023.3294475}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuPJCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HaRCKKHMKLKKP23, author = {Minho Ha and Junhee Ryu and Jungmin Choi and Kwangjin Ko and Sunwoong Kim and Sungwoo Hyun and Donguk Moon and Byungil Koh and Hokyoon Lee and Myoungseo Kim and Hoshik Kim and Kyoung Park}, title = {Dynamic Capacity Service for Improving {CXL} Pooled Memory Efficiency}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {39--47}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3237756}, doi = {10.1109/MM.2023.3237756}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HaRCKKHMKLKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/YunCHJCYH23, author = {Tae Jin Yun and Jin Wook Choi and Miran Han and Woo Sang Jung and Seung Hong Choi and Roh{-}Eul Yoo and In Pyeong Hwang}, title = {Deep learning based automatic detection algorithm for acute intracranial haemorrhage: a pivotal randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00798-8}, doi = {10.1038/S41746-023-00798-8}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npjdm/YunCHJCYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/NohSULLCLHUCSKK23, author = {DongKi Noh and Changki Sung and Teayoung Uhm and Wooju Lee and Hyungtae Lim and Jaeseok Choi and Kyuewang Lee and Dasol Hong and Daeho Um and Inseop Chung and Hochul Shin and Min{-}Jung Kim and Hyoung{-}Rock Kim and SeungMin Baek and Hyun Myung}, title = {{X-MAS:} Extremely Large-Scale Multi-Modal Sensor Dataset for Outdoor Surveillance in Real Environments}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {2}, pages = {1093--1100}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3236569}, doi = {10.1109/LRA.2023.3236569}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/NohSULLCLHUCSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HongPC23, author = {Tran Thi My Hong and Young{-}Gyu Park and Jun Myoung Choi}, title = {Divergence Observation in a Mesoscale Eddy during Chla Bloom Revealed in Submesoscale Satellite Currents}, journal = {Remote. Sens.}, volume = {15}, number = {4}, pages = {995}, year = {2023}, url = {https://doi.org/10.3390/rs15040995}, doi = {10.3390/RS15040995}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HongPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sac/KangCYPKP23, author = {Il{-}Suk Kang and Hosik Choi and Young Joo Yoon and Junyoung Park and Soon{-}Sun Kwon and Cheolwoo Park}, title = {Fr{\'{e}}chet distance-based cluster analysis for multi-dimensional functional data}, journal = {Stat. Comput.}, volume = {33}, number = {4}, pages = {75}, year = {2023}, url = {https://doi.org/10.1007/s11222-023-10237-z}, doi = {10.1007/S11222-023-10237-Z}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sac/KangCYPKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnCLLK23, author = {Junghoon Ahn and Hongtaek Choi and Heehwa Lee and Jinyoung Lee and Hyeong{-}Dong Kim}, title = {Novel Multi-View {RGB} Sensor for Continuous Motion Analysis in Kinetic Chain Exercises: {A} Pilot Study for Simultaneous Validity and Intra-Test Reliability}, journal = {Sensors}, volume = {23}, number = {24}, pages = {9635}, year = {2023}, url = {https://doi.org/10.3390/s23249635}, doi = {10.3390/S23249635}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnCLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnHSCR23, author = {Yongjin Ahn and Juntaek Hong and Dain Shim and Joong{-}On Choi and Dong{-}wook Rha}, title = {Comparing the Lower-Limb Muscle Activation Patterns of Simulated Walking Using an End-Effector-Type Robot with Real Level and Stair Walking in Children with Spastic Bilateral Cerebral Palsy}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6579}, year = {2023}, url = {https://doi.org/10.3390/s23146579}, doi = {10.3390/S23146579}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnHSCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiNC23, author = {Jin Hyeong Choi and Jun{-}Ho Noh and Changsoon Choi}, title = {Highly Elastically Deformable Coiled CNT/Polymer Fibers for Wearable Strain Sensors and Stretchable Supercapacitors}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2359}, year = {2023}, url = {https://doi.org/10.3390/s23042359}, doi = {10.3390/S23042359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiSCAKP23, author = {Woo{-}Seok Choi and Kyu{-}Min Shim and Kyung{-}Ho Chong and Jun{-}Eon An and Cheon{-}Joong Kim and Byung{-}Yoon Park}, title = {Sagnac Effect Compensations and Locked States in a Ring Laser Gyroscope}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1718}, year = {2023}, url = {https://doi.org/10.3390/s23031718}, doi = {10.3390/S23031718}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiSCAKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiWJS23, author = {Kyoungtaek Choi and Seong Min Wi and Ho Gi Jung and Jae Kyu Suhr}, title = {Simplification of Deep Neural Network-Based Object Detector for Real-Time Edge Computing}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3777}, year = {2023}, url = {https://doi.org/10.3390/s23073777}, doi = {10.3390/S23073777}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiWJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCLJLSCKY23, author = {Si{-}Jun Kim and Minsu Choi and Sang{-}Ho Lee and Won{-}nyoung Jeong and Young{-}Seok Lee and In{-}Ho Seong and Chul{-}Hee Cho and Dae{-}Woong Kim and Shin{-}Jae You}, title = {Development of the Tele-Measurement of Plasma Uniformity via Surface Wave Information {(TUSI)} Probe for Non-Invasive In-Situ Monitoring of Electron Density Uniformity in Plasma Display Fabrication Process}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2521}, year = {2023}, url = {https://doi.org/10.3390/s23052521}, doi = {10.3390/S23052521}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCLJLSCKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLCPC23, author = {Taehwa Kim and Seungjin Lee and Hyungwoo Choi and Hong{-}Shik Park and Jun Kyun Choi}, title = {An Energy-Efficient Multi-Level Sleep Strategy for Periodic Uplink Transmission in Industrial Private 5G Networks}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9070}, year = {2023}, url = {https://doi.org/10.3390/s23229070}, doi = {10.3390/S23229070}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLCPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKPJJKKC23, author = {Junhyun Lee and Ho Jin and Khan{-}Hyuk Kim and Hyeonhu Park and Wooin Jo and Yunho Jang and Hyeonji Kang and Eunhyeuk Kim and Young{-}Jun Choi}, title = {Correction of Spacecraft Magnetic Field Noise: Initial Korean Pathfinder Lunar Orbiter MAGnetometer Observation in Solar Wind}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9428}, year = {2023}, url = {https://doi.org/10.3390/s23239428}, doi = {10.3390/S23239428}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKPJJKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SimNCC23, author = {Hyeon Jun Sim and Jun{-}Ho Noh and Jin Hyeong Choi and Changsoon Choi}, title = {Integrated Mechano-Electrochemical Harvesting Fiber and Thermally Responsive Artificial Muscle for Self-Powered Temperature-Strain Dual-Parameter Sensor}, journal = {Sensors}, volume = {23}, number = {1}, pages = {269}, year = {2023}, url = {https://doi.org/10.3390/s23010269}, doi = {10.3390/S23010269}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SimNCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SonCPLJ23, author = {Woong Son and Jungwook Choi and Soobum Park and Howon Lee and Bang Chul Jung}, title = {A Time Synchronization Protocol for Barrage Relay Networks}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2447}, year = {2023}, url = {https://doi.org/10.3390/s23052447}, doi = {10.3390/S23052447}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SonCPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/KimCLKKSLHLK23, author = {Daegyeong Kim and Wonwoo Choi and Chang{-}il Lim and Eunjin Kim and Geonwoo Kim and Yongho Song and Junsu Lee and Youngkwang Han and Hojoon Lee and Brent ByungHoon Kang}, title = {Towards scalable and configurable simulation for disaggregated architecture}, journal = {Simul. Model. Pract. Theory}, volume = {125}, pages = {102743}, year = {2023}, url = {https://doi.org/10.1016/j.simpat.2023.102743}, doi = {10.1016/J.SIMPAT.2023.102743}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/KimCLKKSLHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sle/AlandHBDMMNRSTVX23, author = {R. Claire Aland and Honor J. Hugo and Andrew Battle and Rebecca Donkin and Aaron McDonald and Heath McGowan and Jessica R. Nealon and Helen Ritchie and Allan Stirling and Melby Tentrisanna and Krisztina Valter and Junhua Xiao}, title = {A plethora of choices: an anatomists' practical perspectives for the selection of digital anatomy resources}, journal = {Smart Learn. Environ.}, volume = {10}, number = {1}, pages = {66}, year = {2023}, url = {https://doi.org/10.1186/s40561-023-00285-3}, doi = {10.1186/S40561-023-00285-3}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sle/AlandHBDMMNRSTVX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/ChoiKNY23, author = {WooChul Choi and Taehoon Kim and JoonYeop Na and Junhee Youn}, title = {Assessment of Dynamic Object Information Utilization Service in a Control Center for Each Urban Scale via Fuzzy {AHP}}, journal = {Syst.}, volume = {11}, number = {7}, pages = {368}, year = {2023}, url = {https://doi.org/10.3390/systems11070368}, doi = {10.3390/SYSTEMS11070368}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/ChoiKNY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeePLSRSSJHOCJ23, author = {Kwang{-}Hoon Lee and Jung{-}Hun Park and Yongjae Lee and Yeonggeun Song and Seungha Roh and Minkyo Shim and Yoonho Song and Woosong Jung and Young{-}Ha Hwang and Jonghyun Oh and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 0.99-pJ/b 10-Gb/s Receiver With Fast Recovery From Sleep Mode Under Voltage Drift}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {11}, pages = {4003--4007}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3285934}, doi = {10.1109/TCSII.2023.3285934}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeePLSRSSJHOCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/JungKCO23, author = {Hanul Jung and Su{-}Hui Kwak and Hongsoo Choi and Sehoon Oh}, title = {Two-Degree-of-Freedom Control of a Micro-Robot Using a Dual-Rate State Observer}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {31}, number = {3}, pages = {1451--1459}, year = {2023}, url = {https://doi.org/10.1109/TCST.2022.3220898}, doi = {10.1109/TCST.2022.3220898}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/JungKCO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/JungBCY23, author = {Dahuin Jung and Ho Bae and Hyun{-}Soo Choi and Sungroh Yoon}, title = {PixelSteganalysis: Pixel-Wise Hidden Information Removal With Low Visual Degradation}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {1}, pages = {331--342}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2021.3132987}, doi = {10.1109/TDSC.2021.3132987}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/JungBCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/ChoiHK23, author = {Jaewon Royce Choi and Sooyeon Hong and Junghwan Kim}, title = {Does social capital matter to the Millennials? Social capital and user engagements in online video platforms}, journal = {Telematics Informatics}, volume = {80}, pages = {101967}, year = {2023}, url = {https://doi.org/10.1016/j.tele.2023.101967}, doi = {10.1016/J.TELE.2023.101967}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/ChoiHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/PhanTLNPCSO23, author = {Duc Tri Phan and Quoc{-}Bao Ta and Cao Duong Ly and Cong Hoan Nguyen and Sumin Park and Jaeyeop Choi and Hwi O. Se and Junghwan Oh}, title = {Smart Low Level Laser Therapy System for Automatic Facial Dermatological Disorder Diagnosis}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {3}, pages = {1546--1557}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3237875}, doi = {10.1109/JBHI.2023.3237875}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/PhanTLNPCSO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiL23, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Joint Optimization of Altitude and Beamwidth for UAV-Powered Wireless Sensor Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {1}, pages = {1279--1284}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3208839}, doi = {10.1109/TVT.2022.3208839}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ChoiL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HongPC23, author = {Jun{-}Pyo Hong and Sangjun Park and Wan Choi}, title = {Base Station Dataset-Assisted Broadband Over-the-Air Aggregation for Communication-Efficient Federated Learning}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {11}, pages = {7259--7272}, year = {2023}, url = {https://doi.org/10.1109/TWC.2023.3249252}, doi = {10.1109/TWC.2023.3249252}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/HongPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkKKC023, author = {Jun{-}Hyung Park and Yeachan Kim and Junho Kim and Joon{-}Young Choi and SangKeun Lee}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Dynamic Structure Pruning for Compressing CNNs}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {9408--9416}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i8.26127}, doi = {10.1609/AAAI.V37I8.26127}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkKKC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KimLJC23, author = {Jong Myoung Kim and Young{-}jun Lee and Sangkeun Jung and Ho{-}Jin Choi}, editor = {Sunayana Sitaram and Beata Beigman Klebanov and Jason D. Williams}, title = {Semantic Ambiguity Detection in Sentence Classification using Task-Specific Embeddings}, booktitle = {Proceedings of the The 61st Annual Meeting of the Association for Computational Linguistics: Industry Track, {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {425--437}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-industry.41}, doi = {10.18653/V1/2023.ACL-INDUSTRY.41}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KimLJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKCCKKLLOPH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created through Human-Machine Collaboration}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6692--6712}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.370}, doi = {10.18653/V1/2023.ACL-LONG.370}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKCCKKLLOPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeKHHKCZ23, author = {Dongkyu Lee and Gyeonghun Kim and Janghoon Han and Taesuk Hong and Yireun Kim and Stanley Jungkyu Choi and Nevin L. Zhang}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Local Temperature Beam Search: Avoid Neural Text DeGeneration via Enhanced Calibration}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {9903--9915}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.628}, doi = {10.18653/V1/2023.FINDINGS-ACL.628}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeKHHKCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LeeCKYKNO23, author = {Deokjin Lee and Kiyoung Choi and Junyoung Kim and Wonbum Yun and Taehoon Kim and Kanghyun Nam and Sehoon Oh}, title = {ExSLeR: Development of a Robotic Arm for Human Skill Learning}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {209--214}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196166}, doi = {10.1109/AIM46323.2023.10196166}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/LeeCKYKNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/ChoiPCH23, author = {Hoyoung Choi and Hyunjae Park and Young{-}June Choi and Kyungsik Han}, title = {Consistency of Code: {A} Prompt Based Approach to Comprehend Functionality}, booktitle = {30th Asia-Pacific Software Engineering Conference, {APSEC} 2023, Seoul, Republic of Korea, December 4-7, 2023}, pages = {655--656}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSEC60848.2023.00095}, doi = {10.1109/APSEC60848.2023.00095}, timestamp = {Wed, 10 Apr 2024 20:58:45 +0200}, biburl = {https://dblp.org/rec/conf/apsec/ChoiPCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/LeeGCL23, author = {Junho Lee and Seongyun Go and Euteum Choi and Seongjin Lee}, editor = {Sungsoo Ahn and Seonah Lee and Sajid Anwar}, title = {A Survey of Health Management System for On-The-Fly Repairing of Concurrency Errors in Airborne Software}, booktitle = {Proceedings of the 2nd International Workshop on Intelligent Software Engineering co-located with the 30th Asia-Pacific Software Engineering Conference {(APSEC} 2023), Seoul, South Korea, December 4, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3655}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3655/ISE2023\_11\_Lee\_A\_Survey.pdf}, timestamp = {Thu, 11 Apr 2024 17:00:52 +0200}, biburl = {https://dblp.org/rec/conf/apsec/LeeGCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiKPJY23, author = {Jiwon Choi and Sangyeob Kim and Wonhoon Park and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A Resource-Efficient Super-Resolution {FPGA} Processor with Heterogeneous {CNN} and {SNN} Core Architecture}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347994}, doi = {10.1109/A-SSCC58667.2023.10347994}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ChoiKPJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/ChoiCKHCH23, author = {Geonyeong Choi and Hyo Jung Cho and Soon Sun Kim and Ji Eun Han and Jae Youn Cheong and Charmgil Hong}, title = {Drug Induced Liver Injury Prediction with Injective Molecular Transformer}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2023, Pittsburgh, PA, USA, October 15-18, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BHI58575.2023.10313508}, doi = {10.1109/BHI58575.2023.10313508}, timestamp = {Fri, 01 Dec 2023 14:30:09 +0100}, biburl = {https://dblp.org/rec/conf/bhi/ChoiCKHCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeC23, author = {Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {Can {CLIP} Share Image in Dialogue?}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {410--412}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00101}, doi = {10.1109/BIGCOMP57234.2023.00101}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/RyuKJMLCKKKCNHRJ23, author = {Seokhyun Ryu and Sohyun Kim and Jaeyung Jun and Donguk Moon and Kyungsoo Lee and Jungmin Choi and Sunwoong Kim and Hyungsoo Kim and Luke Kim and Won Ha Choi and Moohyeon Nam and Dooyoung Hwang and Hongchan Roh and Young{-}Pyo Joo}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {System Optimization of Data Analytics Platforms using Compute Express Link {(CXL)} Memory}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00011}, doi = {10.1109/BIGCOMP57234.2023.00011}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/RyuKJMLCKKKCNHRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/KwonKCYCJ23, author = {Gitaek Kwon and Jaeyoung Kim and Hong{-}Jun Choi and Byung{-}Moo Yoon and Sungchul Choi and Kyu{-}Hwan Jung}, title = {Improving Out-of-Distribution Detection Performance using Synthetic Outlier Exposure Generated by Visual Foundation Models}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {10--11}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/10/}, timestamp = {Mon, 11 Mar 2024 15:42:29 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/KwonKCYCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ChoiL23, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Joint Altitude and Beamwidth Optimization for UAV-Powered Wireless Sensor Networks}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {599--604}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060122}, doi = {10.1109/CCNC51644.2023.10060122}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/ChoiL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YunMLKTC23, author = {Gyeore Yun and Minjae Mun and Jungeun Lee and Dong{-}Geun Kim and Hong Z. Tan and Seungmoon Choi}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Generating Real-Time, Selective, and Multimodal Haptic Effects from Sound for Gaming Experience Enhancement}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {315:1--315:17}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580787}, doi = {10.1145/3544548.3580787}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YunMLKTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SeongYCLHJ23, author = {Hoyong Seong and Donghyun Youn and Injun Choi and Junghyup Lee and Sohmyung Ha and Minkyu Je}, title = {A 0.9V 2MHz 6.4x-Slope-Boosted Quadrature-Phase Relaxation Oscillator with 164.2dBc/Hz FoM and 62.5ppm Period Jitter in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121276}, doi = {10.1109/CICC57935.2023.10121276}, timestamp = {Sun, 21 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SeongYCLHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChoiKKJC23, author = {Jaeho Choi and Yura Kim and Kwang{-}Ho Kim and Sung{-}Hwa Jung and Ikhyun Cho}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {PCT-CycleGAN: Paired Complementary Temporal Cycle-Consistent Adversarial Networks for Radar-Based Precipitation Nowcasting}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {348--358}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615006}, doi = {10.1145/3583780.3615006}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ChoiKKJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ParkKCHYCLRYCC23, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {2024--2033}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614828}, doi = {10.1145/3583780.3614828}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ParkKCHYCLRYCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimKHKHCY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit with {CNN-SNN} Heterogeneous Core and Event-driven Backpropagation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10121940}, doi = {10.1109/COOLCHIPS57690.2023.10121940}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimKHKHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/EisenmannRWTIAA23, author = {Matthias Eisenmann and Annika Reinke and Vivienn Weru and Minu Dietlinde Tizabi and Fabian Isensee and Tim J. Adler and Sharib Ali and Vincent Andrearczyk and Marc Aubreville and Ujjwal Baid and Spyridon Bakas and Niranjan Balu and Sophia Bano and Jorge Bernal and Sebastian Bodenstedt and Alessandro Casella and Veronika Cheplygina and Marie Daum and Marleen de Bruijne and Adrien Depeursinge and Reuben Dorent and Jan Egger and David G. Ellis and Sandy Engelhardt and Melanie Ganz and Noha M. Ghatwary and Gabriel Girard and Patrick Godau and Anubha Gupta and Lasse Hansen and Kanako Harada and Mattias P. Heinrich and Nicholas Heller and Alessa Hering and Arnaud Huaulm{\'{e}} and Pierre Jannin and A. Emre Kavur and Oldrich Kodym and Michal Kozubek and Jianning Li and Hongwei Bran Li and Jun Ma and Carlos Mart{\'{\i}}n{-}Isla and Bjoern H. Menze and J. Alison Noble and Valentin Oreiller and Nicolas Padoy and Sarthak Pati and Kelly Payette and Tim R{\"{a}}dsch and Jonathan Rafael{-}Patino and Vivek Singh Bawa and Stefanie Speidel and Carole H. Sudre and Kimberlin M. H. van Wijnen and Martin Wagner and D. Wei and Amine Yamlahi and Moi Hoon Yap and C. Yuan and Maximilian Zenk and A. Zia and David Zimmerer and Dogu Baran Aydogan and Binod Bhattarai and Louise Bloch and Raphael Br{\"{u}}ngel and J. Cho and C. Choi and Q. Dou and Ivan Ezhov and Christoph M. Friedrich and C. Fuller and Rebati Raman Gaire and Adrian Galdran and {\'{A}}lvaro Garc{\'{\i}}a{-}Faura and Maria Grammatikopoulou and S. Hong and Mostafa Jahanifar and I. Jang and Abdolrahim Kadkhodamohammadi and I. Kang and Florian Kofler and S. Kondo and Hugo Jaco Kuijf and M. Li and M. Luu and Tomaz Martincic and Pedro Morais and Mohamed A. Naser and Bruno Oliveira and David Owen and S. Pang and J. Park and S. Park and Szymon Plotka and {\'{E}}lodie Puybareau and Nasir M. Rajpoot and K. Ryu and Numan Saeed and Adam Shephard and Pengcheng Shi and Dejan Stepec and Ronast Subedi and Guillaume Tochon and Helena R. Torres and H{\'{e}}l{\`{e}}ne Urien and Jo{\~{a}}o L. Vila{\c{c}}a and Kareem A. Wahid and H. Wang and J. Wang and L. Wang and X. Wang and Benedikt Wiestler and Marek Wodzinski and F. Xia and J. Xie and Z. Xiong and S. Yang and Y. Yang and Z. Zhao and Klaus H. Maier{-}Hein and Paul F. J{\"{a}}ger and Annette Kopp{-}Schneider and Lena Maier{-}Hein}, title = {Why is the Winner the Best?}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {19955--19966}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01911}, doi = {10.1109/CVPR52729.2023.01911}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/EisenmannRWTIAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimSKCKY23, author = {Gyeongman Kim and Hajin Shim and Hyunsu Kim and Yunjey Choi and Junho Kim and Eunho Yang}, title = {Diffusion Video Autoencoders: Toward Temporally Consistent Face Video Editing via Disentangled Video Encoding}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {6091--6100}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00590}, doi = {10.1109/CVPR52729.2023.00590}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimSKCKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhHLLJJCS23, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {24224--24235}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02320}, doi = {10.1109/CVPR52729.2023.02320}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhHLLJJCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungSJLCPL23, author = {Jaewon Jung and Jaeyong Song and Hongsun Jang and Hyeyoon Lee and Kanghyun Choi and Noseong Park and Jinho Lee}, title = {Fast Adversarial Training with Dynamic Batch-level Attack Control}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247930}, doi = {10.1109/DAC56929.2023.10247930}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JungSJLCPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimLCHL23, author = {Janghyeon Kim and Janghwan Lee and Jungwook Choi and JeongHo Han and Sangheon Lee}, title = {Range-Invariant Approximation of Non-Linear Operations for Efficient {BERT} Fine-Tuning}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247958}, doi = {10.1109/DAC56929.2023.10247958}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimLCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/OhCCCHS23, author = {Hyun Woo Oh and Cheol{-}Ho Choi and Jeong Woo Cha and Hyunmin Choi and Joonhwan Han and Jungho Shin}, title = {An SoC FPGA-based Integrated Real-time Image Processor for Uncooled Infrared Focal Plane Array}, booktitle = {26th Euromicro Conference on Digital System Design, {DSD} 2023, Golem, Albania, September 6-8, 2023}, pages = {660--668}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSD60849.2023.00095}, doi = {10.1109/DSD60849.2023.00095}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/OhCCCHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/SimLLLC23, author = {Minho Sim and Young{-}Jun Lee and Dongkun Lee and Jongwhoa Lee and Ho{-}Jin Choi}, editor = {Kobi Gal and Ann Now{\'{e}} and Grzegorz J. Nalepa and Roy Fairstein and Roxana Radulescu}, title = {A Simple Debiasing Framework for Out-of-Distribution Detection in Human Action Recognition}, booktitle = {{ECAI} 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Krak{\'{o}}w, Poland - Including 12th Conference on Prestigious Applications of Intelligent Systems {(PAIS} 2023)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {372}, pages = {2154--2161}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230511}, doi = {10.3233/FAIA230511}, timestamp = {Wed, 18 Oct 2023 09:31:16 +0200}, biburl = {https://dblp.org/rec/conf/ecai/SimLLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKLLK23, author = {Hojin Choi and Hyuk Kwon and Junghee Lee and Yonghee Lee and Kijoong Kim}, title = {Hardware-Based Isolation Technique to Guarantee Availability of Security Controls in a Gateway for Industrial Networks}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049871}, doi = {10.1109/ICEIC57457.2023.10049871}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChoiKPM023, author = {Joon{-}Young Choi and Junho Kim and Jun{-}Hyung Park and Wing{-}Lam Mok and SangKeun Lee}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {SMoP: Towards Efficient and Effective Prompt Tuning with Sparse Mixture-of-Prompts}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14306--14316}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.884}, doi = {10.18653/V1/2023.EMNLP-MAIN.884}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChoiKPM023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/ParkCKCHCC23, author = {Chung Park and Taekyoon Choi and Taesan Kim and Mincheol Cho and Junui Hong and Minsung Choi and Jaegul Choo}, editor = {Matthias Renz and Mario A. Nascimento}, title = {FedGeo: Privacy-Preserving User Next Location Prediction with Federated Learning}, booktitle = {Proceedings of the 31st {ACM} International Conference on Advances in Geographic Information Systems, {SIGSPATIAL} 2023, Hamburg, Germany, November 13-16, 2023}, pages = {39:1--39:10}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3589132.3625582}, doi = {10.1145/3589132.3625582}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/ParkCKCHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MoonKKCCHK23, author = {Seungjae Moon and Junsoo Kim and Jung{-}Hoon Kim and Junseo Cha and Gyubin Choi and Seongmin Hong and Joo{-}Young Kim}, title = {HyperAccel Latency Processing Unit (LPU\({}^{\mbox{TM}}\)) Accelerating Hyperscale Models for Generative {AI}}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254693}, doi = {10.1109/HCS59251.2023.10254693}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MoonKKCCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChoiKCJ23, author = {Yeonjun Choi and Sung{-}Hoon Kim and Hongkyw Choi and Seongwon Jung}, title = {Field Testing of {HEVC} based Terrestrial {UHD} 3D Broadcast in {ATSC} 3.0}, booktitle = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, pages = {460--464}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/ICACT56868.2023.10079389}, doi = {10.23919/ICACT56868.2023.10079389}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/ChoiKCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/HanLPC23, author = {Jaeseob Han and Gyeong Ho Lee and Hyunseo Park and Jun Kyun Choi}, title = {Data Accuracy Pattern-based Transmission Period Control Algorithm for IoT networks}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {668--673}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10067002}, doi = {10.1109/ICAIIC57133.2023.10067002}, timestamp = {Fri, 31 Mar 2023 17:22:31 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/HanLPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimCJL23, author = {Juyeop Kim and Jun{-}Ho Choi and Soobeom Jang and Jong{-}Seok Lee}, title = {Amicable Aid: Perturbing Images to Improve Classification Performance}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095024}, doi = {10.1109/ICASSP49357.2023.10095024}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KimCJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LimKYSLCL23, author = {Hyungjun Lim and Younggwan Kim and Kiho Yeom and Eunjoo Seo and Hoodong Lee and Stanley Jungkyu Choi and Honglak Lee}, title = {Lightweight Feature Encoder for Wake-Up Word Detection Based on Self-Supervised Speech Representation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094623}, doi = {10.1109/ICASSP49357.2023.10094623}, timestamp = {Sun, 05 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LimKYSLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HongPC23, author = {Jun{-}Pyo Hong and Sangjun Park and Wan Choi}, title = {Over-the-Air Aggregation-Based Federated Learning in Cache-Enabled Wireless Edge Networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023, Rome, Italy, May 28 - June 1, 2023}, pages = {1994--1999}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICC45041.2023.10279408}, doi = {10.1109/ICC45041.2023.10279408}, timestamp = {Thu, 02 Nov 2023 17:09:41 +0100}, biburl = {https://dblp.org/rec/conf/icc/HongPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeoSCYKSKK23, author = {Jaehoon Heo and Yongwon Shin and Sangjin Choi and Sungwoong Yune and Jung{-}Hoon Kim and Hyojin Sung and Youngjin Kwon and Joo{-}Young Kim}, title = {{PRIMO:} {A} Full-Stack Processing-in-DRAM Emulation Framework for Machine Learning Workloads}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323637}, doi = {10.1109/ICCAD57390.2023.10323637}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HeoSCYKSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeHLCJ23, author = {Chaehyeon Lee and Jiuk Hong and Jonghyuck Lee and Taehoon Choi and Heechul Jung}, title = {Ordinal Regression for Beef Grade Classification}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043530}, doi = {10.1109/ICCE56470.2023.10043530}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LeeHLCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimCJ023, author = {Junho Kim and Changwoon Choi and Hojun Jang and Young Min Kim}, title = {{LDL:} Line Distance Functions for Panoramic Localization}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {17836--17846}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01639}, doi = {10.1109/ICCV51070.2023.01639}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KimCJ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HanCHK23, author = {Gyojin Han and Jaehyun Choi and Hyeong Gwon Hong and Junmo Kim}, title = {Data Poisoning Attack Aiming the Vulnerability of Continual Learning}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {1905--1909}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10222168}, doi = {10.1109/ICIP49359.2023.10222168}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/HanCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiJLSK23, author = {Juhwan Choi and Kyohoon Jin and Junho Lee and Sangmin Song and YoungBin Kim}, editor = {Krystal Maughan and Rosanne Liu and Thomas F. Burns}, title = {SoftEDA: Rethinking Rule-Based Data Augmentation with Soft Labels}, booktitle = {The First Tiny Papers Track at {ICLR} 2023, Tiny Papers @ {ICLR} 2023, Kigali, Rwanda, May 5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=OiSbJbVWBJT}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiJLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HanCCK0C23, author = {Jiyeon Han and Hwanil Choi and Yunjey Choi and Junho Kim and Jung{-}Woo Ha and Jaesik Choi}, title = {Rarity Score : {A} New Metric to Evaluate the Uncommonness of Synthesized Images}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=JTGimap\_-F}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HanCCK0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimKKCY23, author = {Yoonjeon Kim and Hyunsu Kim and Junho Kim and Yunjey Choi and Eunho Yang}, title = {Learning Input-agnostic Manipulation Directions in StyleGAN with Text Guidance}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=47B\_ctC4pJ}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimKKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KohSBSHP0C23, author = {Hyunseo Koh and Minhyuk Seo and Jihwan Bang and Hwanjun Song and Deokki Hong and Seulki Park and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Boundary-Free Continual Learning by Scheduled Data Prior}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=qco4ekz2Epm}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KohSBSHP0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict4ageingwell/HongHJBC23, author = {Euntaek Hong and Jueun Hwang and Dawoon Jung and Yong{-}Chun Bahk and Kee{-}Hong Choi}, editor = {Mar{\'{\i}}a Dolores Lozano P{\'{e}}rez and Maurice D. Mulvenna and Martina Ziefle}, title = {Developing an ICT-Based Mental Healthcare Service Platform for Older People {(IMPOP)}}, booktitle = {Proceedings of the 9th International Conference on Information and Communication Technologies for Ageing Well and e-Health, {ICT4AWE} 2023, Prague, Czech Republic, April 22-24, 2023}, pages = {186--193}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0011972400003476}, doi = {10.5220/0011972400003476}, timestamp = {Tue, 23 May 2023 17:01:02 +0200}, biburl = {https://dblp.org/rec/conf/ict4ageingwell/HongHJBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKCSLSN23, author = {Hyojeong Choi and Daekyeong Kim and Sangwon Chae and Hong{-}Yeop Song and Yundong Lee and Sangung Shin and Hongjun Noh}, title = {Analysis for binary chaotic sequences generated by cascade chaotic maps}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {205--209}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393257}, doi = {10.1109/ICTC58733.2023.10393257}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKCSLSN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiISK23, author = {Jae{-}Ho Choi and Jun{-}Hyuk Im and Hae{-}Jun Song and Ki{-}Hyung Kim}, title = {Home IoT Authority Control Method Based on {DID} Auth}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {94--99}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200331}, doi = {10.1109/ICUFN57995.2023.10200331}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiISK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimLYSLCL23, author = {Younggwan Kim and Hyungjun Lim and Kiho Yeom and Eunjoo Seo and Hoodong Lee and Stanley Jungkyu Choi and Honglak Lee}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Investigation of Training Mute-Expressive End-to-End Speech Separation Networks for an Unknown Number of Speakers}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {3764--3768}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1158}, doi = {10.21437/INTERSPEECH.2023-1158}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimLYSLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/0007KCPKA23, author = {Jongmin Kim and Sangpyo Kim and Jaewan Choi and Jaiyoung Park and Donghwan Kim and Jung Ho Ahn}, editor = {Yan Solihin and Mark A. Heinrich}, title = {{SHARP:} {A} Short-Word Hierarchical Accelerator for Robust and Practical Fully Homomorphic Encryption}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {18:1--18:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589053}, doi = {10.1145/3579371.3589053}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/0007KCPKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/KimCKKJS23, author = {Gangsan Kim and Hyojeong Choi and Daekyeong Kim and Won Jun Kim and Xiaoxiang Jin and Hong{-}Yeop Song}, title = {Optimal Uncorrelated Polyphase {ZCZ} Sequences over an Alphabet of Minimum size}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2023, Taipei, Taiwan, June 25-30, 2023}, pages = {897--902}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIT54713.2023.10206924}, doi = {10.1109/ISIT54713.2023.10206924}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/KimCKKJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongLSKKPHJJSC23, author = {Jeongeun Song and Sunyoung Lee and Minseok Shin and Ohjun Kwon and Hansang Kim and Yujin Park and Gyubeom Hwang and Hyekyoung Jung and Hoesam Jeong and Changrock Song and Woo{-}Seok Choi}, title = {A Pixel Driver Design Technique to Obtain a High-Quality Depth Map in Indirect Time-of-Flight Sensors}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {31--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396430}, doi = {10.1109/ISOCC59558.2023.10396430}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongLSKKPHJJSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeKCCC23, author = {Go{-}Eun Lee and Seon Ho Kim and Jungchan Cho and Sang Tae Choi and Sang{-}Il Choi}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Text-Guided Cross-Position Attention for Segmentation: Case of Medical Image}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {14224}, pages = {537--546}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43904-9\_52}, doi = {10.1007/978-3-031-43904-9\_52}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeKCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KimW0KCNKAL23, author = {Michael Jaemin Kim and Minbok Wi and Jaehyun Park and Seoyoung Ko and Jaeyoung Choi and Hwayong Nam and Nam Sung Kim and Jung Ho Ahn and Eojin Lee}, title = {How to Kill the Second Bird with One {ECC:} The Pursuit of Row Hammer Resilient {DRAM}}, booktitle = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}, pages = {986--1001}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613424.3623777}, doi = {10.1145/3613424.3623777}, timestamp = {Mon, 24 Jun 2024 15:20:25 +0200}, biburl = {https://dblp.org/rec/conf/micro/KimW0KCNKAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/LeeLCPJ23, author = {Ki{-}Hun Lee and Howon Lee and Jungwook Choi and Soobum Park and Bang Chul Jung}, title = {Distributed Space-Time Block Coding for Barrage Relay Networks}, booktitle = {{IEEE} Military Communications Conference, {MILCOM} 2023, Boston, MA, USA, October 30 - Nov. 3, 2023}, pages = {292--297}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MILCOM58377.2023.10356386}, doi = {10.1109/MILCOM58377.2023.10356386}, timestamp = {Thu, 25 Jan 2024 09:55:40 +0100}, biburl = {https://dblp.org/rec/conf/milcom/LeeLCPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/BaeKYKCKLCC23, author = {Jong Wook Bae and Jungho Kim and Junyong Yun and Changwon Kang and Jeongseon Choi and Chanhyeok Kim and Junho Lee and Jungwook Choi and Jun Won Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {SiT Dataset: Socially Interactive Pedestrian Trajectory Dataset for Social Navigation Robots}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/4d6a000c216974f59e597bc878cd6325-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/BaeKYKCKLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimLLHCSC23, author = {Minsoo Kim and Sihwa Lee and Janghwan Lee and Sukjin Hong and Du{-}Seong Chang and Wonyong Sung and Jungwook Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Token-Scaled Logit Distillation for Ternary Weight Generative Language Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/8342218a4ec08b8c19661725e9cd6c0b-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimLLHCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/ParkKHCC23, author = {Chung Park and Taesan Kim and Junui Hong and Minsung Choi and Jaegul Choo}, editor = {Gianmarco De Francisci Morales and Claudia Perlich and Natali Ruchansky and Nicolas Kourtellis and Elena Baralis and Francesco Bonchi}, title = {Pre-training Contextual Location Embeddings in Personal Trajectories via Efficient Hierarchical Location Representations}, booktitle = {Machine Learning and Knowledge Discovery in Databases: Applied Data Science and Demo Track - European Conference, {ECML} {PKDD} 2023, Turin, Italy, September 18-22, 2023, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {14175}, pages = {125--140}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43430-3\_8}, doi = {10.1007/978-3-031-43430-3\_8}, timestamp = {Wed, 20 Sep 2023 23:28:48 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/ParkKHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/WanYYZCC23, author = {Aaron Wan and Hong Meng Yam and Swetha Yogeswaran and Beining Zhou and Hee Jung Choi and Trevor Chow}, editor = {Atul Kr. Ojha and A. Seza Dogru{\"{o}}z and Giovanni Da San Martino and Harish Tayyar Madabushi and Ritesh Kumar and Elisa Sartori}, title = {Stanford MLab at SemEval-2023 Task 10: Exploring GloVe- and Transformer-Based Methods for the Explainable Detection of Online Sexism}, booktitle = {Proceedings of the The 17th International Workshop on Semantic Evaluation, SemEval@ACL 2023, Toronto, Canada, 13-14 July 2023}, pages = {1791--1797}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.semeval-1.248}, doi = {10.18653/V1/2023.SEMEVAL-1.248}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semeval/WanYYZCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimCKNJLMP23, author = {Eunjae Kim and Sukwon Choi and Jiyoung Kim and Jae{-}Ho Nah and Woonam Jung and Tae{-}Hyeong Lee and Yeon{-}Kug Moon and Woo{-}Chan Park}, editor = {June Kim and Ming C. Lin and Bernd Bickel}, title = {An Architecture and Implementation of Real-Time Sound Propagation Hardware for Mobile Devices}, booktitle = {{SIGGRAPH} Asia 2023 Conference Papers, {SA} 2023, Sydney, NSW, Australia, December 12-15, 2023}, pages = {81:1--81:9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610548.3618237}, doi = {10.1145/3610548.3618237}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimCKNJLMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/LimKLSLC23, author = {Jang Hyuk Lim and Ja Ryeong Koo and Min Hong Lee and Dae Won Song and Sang Yeob Lee and Hyoung Jun Choi}, title = {A Method for Multi-Linear {TV} Channels Streaming based on Non-uniform Tiled Structure}, booktitle = {{IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2023, Jeju, Republic of Korea, December 4-7, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VCIP59821.2023.10402613}, doi = {10.1109/VCIP59821.2023.10402613}, timestamp = {Tue, 13 Feb 2024 21:33:24 +0100}, biburl = {https://dblp.org/rec/conf/vcip/LimKLSLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185353}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185353}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongLMMLKMCSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKLHKCY23, author = {Wooyoung Jo and Sangjin Kim and Juhyoung Lee and Donghyeon Han and Sangyeob Kim and Seungyoon Choi and Hoi{-}Jun Yoo}, title = {NeRPIM: {A} 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185399}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185399}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKLHKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePCCJH23, author = {Chan{-}Ho Lee and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Young{-}Jun Jeon and Sung{-}Wan Hong}, title = {A 1V 20.7{\(\mu\)}W Four-Stage Amplifier Capable of Driving a 4-to-12nF Capacitive Load with {\textgreater}1.07MHz {GBW} with an Improved Active Zero}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185347}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185347}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeePCCJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AhnKCKKCH23, author = {Jeongsun Ahn and Hong{-}Yeon Kim and Sang{-}Hyun Cho and Hyun{-}Jung Kim and Hongyeon Kim and Hyeonjeong Choi and Dain Ham}, title = {Semiconductor Equipment Health Monitoring With Multi-View Data}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {2322--2332}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10407547}, doi = {10.1109/WSC60868.2023.10407547}, timestamp = {Sat, 24 Feb 2024 20:42:44 +0100}, biburl = {https://dblp.org/rec/conf/wsc/AhnKCKKCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-13331, author = {Yoonjeon Kim and Hyunsu Kim and Junho Kim and Yunjey Choi and Eunho Yang}, title = {Learning Input-agnostic Manipulation Directions in StyleGAN with Text Guidance}, journal = {CoRR}, volume = {abs/2302.13331}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.13331}, doi = {10.48550/ARXIV.2302.13331}, eprinttype = {arXiv}, eprint = {2302.13331}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-13331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00515, author = {Sungchul Hong and Yunjin Choi and Jong{-}June Jeon}, title = {Interpretable Water Level Forecaster with Spatiotemporal Causal Attention Mechanisms}, journal = {CoRR}, volume = {abs/2303.00515}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00515}, doi = {10.48550/ARXIV.2303.00515}, eprinttype = {arXiv}, eprint = {2303.00515}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-07592, author = {Hyungjun Lim and Younggwan Kim and Kiho Yeom and Eunjoo Seo and Hoodong Lee and Stanley Jungkyu Choi and Honglak Lee}, title = {Lightweight feature encoder for wake-up word detection based on self-supervised speech representation}, journal = {CoRR}, volume = {abs/2303.07592}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.07592}, doi = {10.48550/ARXIV.2303.07592}, eprinttype = {arXiv}, eprint = {2303.07592}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-07592.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09736, author = {Jun{-}Hyung Park and Yeachan Kim and Junho Kim and Joon{-}Young Choi and SangKeun Lee}, title = {Dynamic Structure Pruning for Compressing CNNs}, journal = {CoRR}, volume = {abs/2303.09736}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09736}, doi = {10.48550/ARXIV.2303.09736}, eprinttype = {arXiv}, eprint = {2303.09736}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14773, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, journal = {CoRR}, volume = {abs/2303.14773}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14773}, doi = {10.48550/ARXIV.2303.14773}, eprinttype = {arXiv}, eprint = {2303.14773}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16557, author = {Hong{-}Jun Choi and Dongbin Na and Kyungjin Cho and Byunguk Bae and Seo Taek Kong and Hyunjoon An}, title = {Self-accumulative Vision Transformer for Bone Age Assessment Using the Sauvegrain Method}, journal = {CoRR}, volume = {abs/2303.16557}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16557}, doi = {10.48550/ARXIV.2303.16557}, eprinttype = {arXiv}, eprint = {2303.16557}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-02389, author = {Bo Qian and Hao Chen and Xiangning Wang and Haoxuan Che and Gitaek Kwon and Jaeyoung Kim and Sungjin Choi and Seoyoung Shin and Felix Krause and Markus Unterdechler and Junlin Hou and Rui Feng and Yihao Li and Mostafa El Habib Daho and Qiang Wu and Ping Zhang and Xiaokang Yang and Yiyu Cai and Weiping Jia and Huating Li and Bin Sheng}, title = {{DRAC:} Diabetic Retinopathy Analysis Challenge with Ultra-Wide Optical Coherence Tomography Angiography Images}, journal = {CoRR}, volume = {abs/2304.02389}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.02389}, doi = {10.48550/ARXIV.2304.02389}, eprinttype = {arXiv}, eprint = {2304.02389}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-02389.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06488, author = {Chaoning Zhang and Chenshuang Zhang and Chenghao Li and Yu Qiao and Sheng Zheng and Sumit Kumar Dam and Mengchun Zhang and Jung Uk Kim and Seong Tae Kim and Jinwoo Choi and Gyeong{-}Moon Park and Sung{-}Ho Bae and Lik{-}Hang Lee and Pan Hui and In So Kweon and Choong Seon Hong}, title = {One Small Step for Generative AI, One Giant Leap for {AGI:} {A} Complete Survey on ChatGPT in {AIGC} Era}, journal = {CoRR}, volume = {abs/2304.06488}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06488}, doi = {10.48550/ARXIV.2304.06488}, eprinttype = {arXiv}, eprint = {2304.06488}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-04356, author = {Hee Jung Choi and Trevor Chow and Aaron Wan and Hong Meng Yam and Swetha Yogeswaran and Beining Zhou}, title = {Stanford MLab at SemEval-2023 Task 10: Exploring GloVe- and Transformer-Based Methods for the Explainable Detection of Online Sexism}, journal = {CoRR}, volume = {abs/2305.04356}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.04356}, doi = {10.48550/ARXIV.2305.04356}, eprinttype = {arXiv}, eprint = {2305.04356}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-04356.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-04978, author = {Phillip Howard and Junlin Wang and Vasudev Lal and Gadi Singer and Yejin Choi and Swabha Swayamdipta}, title = {NeuroComparatives: Neuro-Symbolic Distillation of Comparative Knowledge}, journal = {CoRR}, volume = {abs/2305.04978}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.04978}, doi = {10.48550/ARXIV.2305.04978}, eprinttype = {arXiv}, eprint = {2305.04978}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-04978.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-15779, author = {Jooyoung Choi and Yunjey Choi and Yunji Kim and Junho Kim and Sungroh Yoon}, title = {Custom-Edit: Text-Guided Image Editing with Customized Diffusion Models}, journal = {CoRR}, volume = {abs/2305.15779}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.15779}, doi = {10.48550/ARXIV.2305.15779}, eprinttype = {arXiv}, eprint = {2305.15779}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-15779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17696, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created Through Human-Machine Collaboration}, journal = {CoRR}, volume = {abs/2305.17696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17696}, doi = {10.48550/ARXIV.2305.17696}, eprinttype = {arXiv}, eprint = {2305.17696}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02717, author = {Sunwoo Kim and Wooseok Jang and Hyunsu Kim and Junho Kim and Yunjey Choi and Seungryong Kim and Gayeong Lee}, title = {User-friendly Image Editing with Minimal Text Input: Leveraging Captioning and Injection Techniques}, journal = {CoRR}, volume = {abs/2306.02717}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02717}, doi = {10.48550/ARXIV.2306.02717}, eprinttype = {arXiv}, eprint = {2306.02717}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02728, author = {Minjoon Jung and Youwon Jang and Seongho Choi and Joochan Kim and Jin{-}Hwa Kim and Byoung{-}Tak Zhang}, title = {Overcoming Weak Visual-Textual Alignment for Video Moment Retrieval}, journal = {CoRR}, volume = {abs/2306.02728}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02728}, doi = {10.48550/ARXIV.2306.02728}, eprinttype = {arXiv}, eprint = {2306.02728}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04323, author = {Hongsu Byun and Safdar Jamil and Jungwook Han and Sungyong Park and Myungcheol Lee and Changsoo Kim and Beongjun Choi and Youngjae Kim}, title = {An Analytical Model-based Capacity Planning Approach for Building CSD-based Storage Systems}, journal = {CoRR}, volume = {abs/2306.04323}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04323}, doi = {10.48550/ARXIV.2306.04323}, eprinttype = {arXiv}, eprint = {2306.04323}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-12978, author = {Jeonghun Park and Byungju Lee and Jinseok Choi and Hoon Lee and Namyoon Lee and Seok{-}Hwan Park and Kyoung{-}Jae Lee and Junil Choi and Sung Ho Chae and Sang{-}Woon Jeon and Kyung Sup Kwak and Bruno Clerckx and Wonjae Shin}, title = {Rate-Splitting Multiple Access for 6G Networks: Ten Promising Scenarios and Applications}, journal = {CoRR}, volume = {abs/2306.12978}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.12978}, doi = {10.48550/ARXIV.2306.12978}, eprinttype = {arXiv}, eprint = {2306.12978}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-12978.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-15577, author = {Junwhan Ahn and Sungpack Hong and Sungjoo Yoo and Onur Mutlu and Kiyoung Choi}, title = {Retrospective: {A} Scalable Processing-in-Memory Accelerator for Parallel Graph Processing}, journal = {CoRR}, volume = {abs/2306.15577}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.15577}, doi = {10.48550/ARXIV.2306.15577}, eprinttype = {arXiv}, eprint = {2306.15577}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-15577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-04890, author = {Sangpyo Kim and Jongmin Kim and Jaeyoung Choi and Jung Ho Ahn}, title = {CiFHER: {A} Chiplet-Based {FHE} Accelerator with a Resizable Structure}, journal = {CoRR}, volume = {abs/2308.04890}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.04890}, doi = {10.48550/ARXIV.2308.04890}, eprinttype = {arXiv}, eprint = {2308.04890}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-04890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06744, author = {Minsoo Kim and Sihwa Lee and Janghwan Lee and Sukjin Hong and Du{-}Seong Chang and Wonyong Sung and Jungwook Choi}, title = {Token-Scaled Logit Distillation for Ternary Weight Generative Language Models}, journal = {CoRR}, volume = {abs/2308.06744}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06744}, doi = {10.48550/ARXIV.2308.06744}, eprinttype = {arXiv}, eprint = {2308.06744}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06744.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-13989, author = {Junho Kim and Changwoon Choi and Hojun Jang and Young Min Kim}, title = {{LDL:} Line Distance Functions for Panoramic Localization}, journal = {CoRR}, volume = {abs/2308.13989}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.13989}, doi = {10.48550/ARXIV.2308.13989}, eprinttype = {arXiv}, eprint = {2308.13989}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-13989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00237, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, journal = {CoRR}, volume = {abs/2309.00237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00237}, doi = {10.48550/ARXIV.2309.00237}, eprinttype = {arXiv}, eprint = {2309.00237}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02685, author = {Hyunwoo Ryu and Jiwoo Kim and Junwoo Chang and Hyun{-}Seok Ahn and Joo{-}Hwan Seo and Taehan Kim and Yubin Kim and Jongeun Choi and Roberto Horowitz}, title = {Diffusion-EDFs: Bi-equivariant Denoising Generative Modeling on {SE(3)} for Visual Robotic Manipulation}, journal = {CoRR}, volume = {abs/2309.02685}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02685}, doi = {10.48550/ARXIV.2309.02685}, eprinttype = {arXiv}, eprint = {2309.02685}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-07152, author = {Kangkyu Kwon and Yoonjae Lee and Yeongju Jung and Ira Soltis and Chanyeong Choi and Yewon Na and Lissette Romero and Myung{-}Chul Kim and Nathan Rodeheaver and Hodam Kim and Michael S. Lloyd and Ziqing Zhuang and William King and Susan Xu and Seung Hwan Ko and Jinwoo Lee and Woon{-}Hong Yeo}, title = {Novel Smart {N95} Filtering Facepiece Respirator with Real-time Adaptive Fit Functionality and Wireless Humidity Monitoring for Enhanced Wearable Comfort}, journal = {CoRR}, volume = {abs/2309.07152}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.07152}, doi = {10.48550/ARXIV.2309.07152}, eprinttype = {arXiv}, eprint = {2309.07152}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-07152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00618, author = {Hoyun Choi and Sungyeop Lee and B. Kahng and Junghyo Jo}, title = {{GNRK:} Graph Neural Runge-Kutta method for solving partial differential equations}, journal = {CoRR}, volume = {abs/2310.00618}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00618}, doi = {10.48550/ARXIV.2310.00618}, eprinttype = {arXiv}, eprint = {2310.00618}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01252, author = {Chung Park and Taesan Kim and Junui Hong and Minsung Choi and Jaegul Choo}, title = {Pre-training Contextual Location Embeddings in Personal Trajectories via Efficient Hierarchical Location Representations}, journal = {CoRR}, volume = {abs/2310.01252}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01252}, doi = {10.48550/ARXIV.2310.01252}, eprinttype = {arXiv}, eprint = {2310.01252}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02013, author = {Junho Choi and Taehyun Yun and Namjung Kim and Youngjoon Hong}, title = {Spectral operator learning for parametric PDEs without data reliance}, journal = {CoRR}, volume = {abs/2310.02013}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02013}, doi = {10.48550/ARXIV.2310.02013}, eprinttype = {arXiv}, eprint = {2310.02013}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02284, author = {Chung Park and Junui Hong and Cheonbok Park and Taesan Kim and Minsung Choi and Jaegul Choo}, title = {{PASTA:} PArallel Spatio-Temporal Attention with spatial auto-correlation gating for fine-grained crowd flow prediction}, journal = {CoRR}, volume = {abs/2310.02284}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02284}, doi = {10.48550/ARXIV.2310.02284}, eprinttype = {arXiv}, eprint = {2310.02284}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02982, author = {Jun Ho Choi and Oliver Garrod and Paul Atherton and Andrew Joyce{-}Gibbons and Miriam Mason{-}Sesay and Daniel Bj{\"{o}}rkegren}, title = {Are LLMs Useful in the Poorest Schools? theTeacherAI in Sierra Leone}, journal = {CoRR}, volume = {abs/2310.02982}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02982}, doi = {10.48550/ARXIV.2310.02982}, eprinttype = {arXiv}, eprint = {2310.02982}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02982.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12609, author = {Junwoo Chang and Hyunwoo Ryu and Jiwoo Kim and Soochul Yoo and Joohwan Seo and Nikhil Potu Surya Prakash and Jongeun Choi and Roberto Horowitz}, title = {Denoising Heat-inspired Diffusion with Insulators for Collision Free Motion Planning}, journal = {CoRR}, volume = {abs/2310.12609}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12609}, doi = {10.48550/ARXIV.2310.12609}, eprinttype = {arXiv}, eprint = {2310.12609}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12609.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14804, author = {Young{-}Jun Lee and Jonghwan Hyeon and Ho{-}Jin Choi}, title = {Large Language Models can Share Images, Too!}, journal = {CoRR}, volume = {abs/2310.14804}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14804}, doi = {10.48550/ARXIV.2310.14804}, eprinttype = {arXiv}, eprint = {2310.14804}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14804.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-01288, author = {Junmin Gu and Paul Lin and Kesheng Wu and Seung{-}Hoe Ku and Choong{-}Seock Chang and Randy Michael Churchill and Jong Choi and Norbert Podhorszki and Scott Klasky}, title = {Unraveling Diffusion in Fusion Plasma: {A} Case Study of In Situ Processing and Particle Sorting}, journal = {CoRR}, volume = {abs/2311.01288}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.01288}, doi = {10.48550/ARXIV.2311.01288}, eprinttype = {arXiv}, eprint = {2311.01288}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-01288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05462, author = {Aydin Zaboli and Seong Lok Choi and Tai{-}Jin Song and Junho Hong}, title = {ChatGPT and other Large Language Models for Cybersecurity of Smart Grid Applications}, journal = {CoRR}, volume = {abs/2311.05462}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05462}, doi = {10.48550/ARXIV.2311.05462}, eprinttype = {arXiv}, eprint = {2311.05462}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05462.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13188, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, journal = {CoRR}, volume = {abs/2311.13188}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13188}, doi = {10.48550/ARXIV.2311.13188}, eprinttype = {arXiv}, eprint = {2311.13188}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-03003, author = {Sunjae Lee and Junyoung Choi and Jungjae Lee and Hojun Choi and Steven Y. Ko and Sangeun Oh and Insik Shin}, title = {Explore, Select, Derive, and Recall: Augmenting {LLM} with Human-like Memory for Mobile Task Automation}, journal = {CoRR}, volume = {abs/2312.03003}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.03003}, doi = {10.48550/ARXIV.2312.03003}, eprinttype = {arXiv}, eprint = {2312.03003}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-03003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04594, author = {Chung Park and Taekyoon Choi and Taesan Kim and Mincheol Cho and Junui Hong and Minsung Choi and Jaegul Choo}, title = {FedGeo: Privacy-Preserving User Next Location Prediction with Federated Learning}, journal = {CoRR}, volume = {abs/2312.04594}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04594}, doi = {10.48550/ARXIV.2312.04594}, eprinttype = {arXiv}, eprint = {2312.04594}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04594.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-05548, author = {Kwang{-}Hyun Uhm and Seung{-}Won Jung and Moon Hyung Choi and Sung{-}Hoo Hong and Sung{-}Jea Ko}, title = {A Unified Multi-Phase {CT} Synthesis and Classification Framework for Kidney Cancer Diagnosis with Incomplete Data}, journal = {CoRR}, volume = {abs/2312.05548}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.05548}, doi = {10.48550/ARXIV.2312.05548}, eprinttype = {arXiv}, eprint = {2312.05548}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-05548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09139, author = {Junsu Kim and Sumin Hong and Chanwoo Kim and Jihyeon Kim and Yihalem Yimolal Tiruneh and Jeongwan On and Jihyun Song and Sunhwa Choi and Seungryul Baek}, title = {Class-Wise Buffer Management for Incremental Object Detection: An Effective Buffer Training Strategy}, journal = {CoRR}, volume = {abs/2312.09139}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09139}, doi = {10.48550/ARXIV.2312.09139}, eprinttype = {arXiv}, eprint = {2312.09139}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09139.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15924, author = {Dong{-}Hyun Jung and Hongjae Nam and Junil Choi and David J. Love}, title = {Modeling and Analysis of {GEO} Satellite Networks}, journal = {CoRR}, volume = {abs/2312.15924}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15924}, doi = {10.48550/ARXIV.2312.15924}, eprinttype = {arXiv}, eprint = {2312.15924}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15924.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AnCLKKKLYW22, author = {Chang{-}Gyun An and Bong{-}Yeon Choi and Hoon Lee and Tae{-}Gyu Kim and Kyung{-}Min Kang and Mina Kim and Yoon{-}Seong Lee and Junsin Yi and Chung{-}Yuen Won}, title = {Space Vector Pulse-Width Modulation Control Strategy for Four-Leg Inverters Under Single Line-to-Ground Faults in Islanded Microgrids}, journal = {{IEEE} Access}, volume = {10}, pages = {18557--18569}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151066}, doi = {10.1109/ACCESS.2022.3151066}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AnCLKKKLYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiJSK22, author = {Yeunju Choi and Youngmoon Jung and Youngjoo Suh and Hoirin Kim}, title = {Learning to Maximize Speech Quality Directly Using {MOS} Prediction for Neural Text-to-Speech}, journal = {{IEEE} Access}, volume = {10}, pages = {52621--52629}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3175810}, doi = {10.1109/ACCESS.2022.3175810}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiJSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCAKLRDCK22, author = {Honggyun Kim and Vijay D. Chavan and Jamal Aziz and Byoungsu Ko and Jae{-}Sung Lee and Junsuk Rho and Tukaram D. Dongale and Kyeong{-}Keun Choi and Deok{-}Kee Kim}, title = {Effect of {ALD} Processes on Physical and Electrical Properties of HfO\({}_{\mbox{2}}\) Dielectrics for the Surface Passivation of a {CMOS} Image Sensor Application}, journal = {{IEEE} Access}, volume = {10}, pages = {68724--68730}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3183593}, doi = {10.1109/ACCESS.2022.3183593}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimCAKLRDCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPJKLKC22, author = {Su{-}Hun Kim and Dipak Patel and Yeundae Jeong and Minhee Kim and Se{-}Hee Lee and Jung Ho Kim and Seyong Choi}, title = {7 {T} Niobium-Titanium-Based Persistent-Mode Superconducting Magnet for an Electron Beam Ion Source}, journal = {{IEEE} Access}, volume = {10}, pages = {14731--14738}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3147589}, doi = {10.1109/ACCESS.2022.3147589}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPJKLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPSC22, author = {Seokgoo Kim and Hyung Jun Park and Yun{-}Ho Seo and Jooho Choi}, title = {A Robust Health Indicator for Rotating Machinery Under Time-Varying Operating Conditions}, journal = {{IEEE} Access}, volume = {10}, pages = {4993--5001}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3140755}, doi = {10.1109/ACCESS.2022.3140755}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCKPC22, author = {Seungjin Lee and Hyungwoo Choi and Taehwa Kim and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Energy-Conscious Access Point (eAP) System With Cross-Layer Design in Wi-Fi Networks for Reliable IoT Services}, journal = {{IEEE} Access}, volume = {10}, pages = {61228--61248}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3181304}, doi = {10.1109/ACCESS.2022.3181304}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeCKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJKSJJSC22, author = {Jeongmin Lee and Moonseok Jang and Wang Kexin and In{-}Yeong Song and Hyeonggyu Jeong and Jinwoo Jeong and Yong Ho Song and Jungwook Choi}, title = {Improving {NVM} Lifetime Using Task Stack Migration on Low-End MCU-Based Devices}, journal = {{IEEE} Access}, volume = {10}, pages = {125319--125333}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225193}, doi = {10.1109/ACCESS.2022.3225193}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeJKSJJSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSCJJW22, author = {Sungdong Lee and Leonard Sunwoo and Youngwon Choi and Jae Hyup Jung and Seung Chai Jung and Joong{-}Ho Won}, title = {Impact of Diffusion-Perfusion Mismatch on Predicting Final Infarction Lesion Using Deep Learning}, journal = {{IEEE} Access}, volume = {10}, pages = {97879--97887}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204048}, doi = {10.1109/ACCESS.2022.3204048}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSCJJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NaSSRC22, author = {Dong{-}jun Na and Won{-}Gi Seo and Hongsuk Shim and Kwanwoong Ryu and Kwonhue Choi}, title = {A Very Fast Joint Detection for Polar-Coded {SCMA}}, journal = {{IEEE} Access}, volume = {10}, pages = {38534--38544}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3165582}, doi = {10.1109/ACCESS.2022.3165582}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NaSSRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RafiqRJC22, author = {Muhammad Rafiq and Ghazala Rafiq and Ho{-}Youl Jung and Gyu Sang Choi}, title = {{SSNO:} Spatio-Spectral Neural Operator for Functional Space Learning of Partial Differential Equations}, journal = {{IEEE} Access}, volume = {10}, pages = {15084--15095}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3148401}, doi = {10.1109/ACCESS.2022.3148401}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RafiqRJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinUCXJK22, author = {Hong{-}Kyu Shin and Kwang{-}Hyun Uhm and Kyuyeon Choi and Zhixin Xu and Seung{-}Won Jung and Sung{-}Jea Ko}, title = {Graph Segmentation-Based Pseudo-Labeling for Semi-Supervised Pathology Image Classification}, journal = {{IEEE} Access}, volume = {10}, pages = {93960--93970}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204000}, doi = {10.1109/ACCESS.2022.3204000}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinUCXJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonKJCBKCP22, author = {Sewon Yoon and Soobum Kim and Joo{-}Ho Jung and Sangbin Cha and Youngseok Baek and Bontae Koo and In{-}Oh Choi and Sang{-}Hong Park}, title = {Efficient Protocol to Use {FMCW} Radar and {CNN} to Distinguish Micro-Doppler Signatures of Multiple Drones and Birds}, journal = {{IEEE} Access}, volume = {10}, pages = {26033--26044}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3155776}, doi = {10.1109/ACCESS.2022.3155776}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonKJCBKCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WuDPSCWWY22, author = {Qunzhuo Wu and Zhaohong Deng and Xiaoyong Pan and Hong{-}Bin Shen and Kup{-}Sze Choi and Shitong Wang and Jing Wu and Dong{-}Jun Yu}, title = {{MDGF-MCEC:} a multi-view dual attention embedding model with cooperative ensemble learning for CircRNA-disease association prediction}, journal = {Briefings Bioinform.}, volume = {23}, number = {5}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac289}, doi = {10.1093/BIB/BBAC289}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WuDPSCWWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ChoiAHCLHMK22, author = {Yeongryeol Choi and Nahyeon An and Seokyoung Hong and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {Time-series clustering approach for training data selection of a data-driven predictive model: Application to an industrial bio 2, 3-butanediol distillation process}, journal = {Comput. Chem. Eng.}, volume = {161}, pages = {107758}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2022.107758}, doi = {10.1016/J.COMPCHEMENG.2022.107758}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ChoiAHCLHMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/KimLBBJLSKKSYC22, author = {Yeon{-}Soo Kim and Sang{-}Dae Lee and Seung{-}Min Baek and Seung{-}Yun Baek and Hyeon{-}Ho Jeon and Jun{-}Ho Lee and Md. Abu Ayub Siddique and Yong{-}Joo Kim and Wan{-}Soo Kim and Taeyong Sim and Simin Yi and Young{-}Soo Choi}, title = {Development of {DEM-MBD} coupling model for draft force prediction of agricultural tractor with plowing depth}, journal = {Comput. Electron. Agric.}, volume = {202}, pages = {107405}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107405}, doi = {10.1016/J.COMPAG.2022.107405}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/KimLBBJLSKKSYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChoiCYJSJKCHJ22, author = {Injun Choi and Edward Jongyoon Choi and Donghyeon Yi and Yoontae Jung and Hoyong Seong and Hyuntak Jeon and Soon{-}Jae Kweon and Ik{-}Joon Chang and Sohmyung Ha and Minkyu Je}, title = {An SRAM-Based Hybrid Computation-in-Memory Macro Using Current-Reused Differential {CCO}}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {12}, number = {2}, pages = {536--546}, year = {2022}, url = {https://doi.org/10.1109/JETCAS.2022.3170595}, doi = {10.1109/JETCAS.2022.3170595}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChoiCYJSJKCHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MoonCPCHK22, author = {JaeYoung Moon and Youjin Choi and TaeHwa Park and JunDoo Choi and Jin{-}Hyuk Hong and Kyung{-}Joong Kim}, title = {Diversifying dynamic difficulty adjustment agent by integrating player state models into Monte-Carlo tree search}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117677}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117677}, doi = {10.1016/J.ESWA.2022.117677}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/MoonCPCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkJGKLCLJJA22, author = {Jinhee Park and Sehyeon Jang and Jeonghwan Gwak and Byeong C. Kim and Jang Jae Lee and Kyu Yeong Choi and Kun Ho Lee and Sung Chan Jun and Gil{-}Jin Jang and Sangtae Ahn}, title = {Individualized diagnosis of preclinical Alzheimer's Disease using deep neural networks}, journal = {Expert Syst. Appl.}, volume = {210}, pages = {118511}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.118511}, doi = {10.1016/J.ESWA.2022.118511}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ParkJGKLCLJJA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hovhannes Melikyan and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: {TEAM} CoSTAR's Robotic Autonomy Solution that Won Phase {II} of {DARPA} Subterranean Challenge}, journal = {Field Robotics}, volume = {2}, number = {1}, pages = {1432--1506}, year = {2022}, url = {https://doi.org/10.55417/fr.2022047}, doi = {10.55417/FR.2022047}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChoiC22, author = {Hong{-}Jun Choi and Dong{-}Wan Choi}, title = {Attractive and repulsive training to address inter-task forgetting issues in continual learning}, journal = {Neurocomputing}, volume = {500}, pages = {486--498}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.05.079}, doi = {10.1016/J.NEUCOM.2022.05.079}, timestamp = {Fri, 01 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ChoiC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimJCL22, author = {Jun{-}Hyuk Kim and Soobeom Jang and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Successive learned image compression: Comprehensive analysis of instability}, journal = {Neurocomputing}, volume = {506}, pages = {12--24}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.07.065}, doi = {10.1016/J.NEUCOM.2022.07.065}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimJCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLLKC22, author = {Jaeseob Han and Gyeong Ho Lee and Joohyung Lee and Tae Yeon Kim and Jun Kyun Choi}, title = {A Novel Deep-Learning-Based Robust Data Transmission Period Control Framework in IoT Edge Computing System}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {23}, pages = {23486--23505}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3203156}, doi = {10.1109/JIOT.2022.3203156}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HanLLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLPC22, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Jun Kyun Choi}, title = {Joint Subcarrier and Transmission Power Allocation in OFDMA-Based {WPT} System for Mobile-Edge Computing in IoT Environment}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {16}, pages = {15039--15052}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3103768}, doi = {10.1109/JIOT.2021.3103768}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HanLPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLPLC22, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Joohyung Lee and Jun Kyun Choi}, title = {A Multivariate-Time-Series-Prediction-Based Adaptive Data Transmission Period Control Algorithm for IoT Networks}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {1}, pages = {419--436}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3124673}, doi = {10.1109/JIOT.2021.3124673}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HanLPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSCS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Sung{-}Chan Choi and Hyoung{-}Kyu Song}, title = {Group-Wise Sidelink Synchronization Signal and Carrier Frequency Offset Detection Method for D2D-Based {NR-V2X} Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {4}, pages = {2973--2983}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3097364}, doi = {10.1109/JIOT.2021.3097364}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KimCWOL22, author = {Siun Kim and Yoona Choi and Jung{-}Hyun Won and Jung Mi Oh and Howard Lee}, title = {An annotated corpus from biomedical articles to construct a drug-food interaction database}, journal = {J. Biomed. Informatics}, volume = {126}, pages = {103985}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.103985}, doi = {10.1016/J.JBI.2022.103985}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/KimCWOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/NguyenJYCY22, author = {Thong Phi Nguyen and Ji Won Jung and Yong Jin Yoo and Sung Hoon Choi and Jonghun Yoon}, title = {Intelligent Evaluation of Global Spinal Alignment by a Decentralized Convolutional Neural Network}, journal = {J. Digit. Imaging}, volume = {35}, number = {2}, pages = {213--225}, year = {2022}, url = {https://doi.org/10.1007/s10278-021-00533-3}, doi = {10.1007/S10278-021-00533-3}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/NguyenJYCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/KimPHKKCSCKC22, author = {Jin Tak Kim and Sangshin Park and Sangchul Han and Jinhyeon Kim and Hyogon Kim and Young{-}Ho Choi and Jaehong Seo and Sang Uk Chon and Jungyeong Kim and Jungsan Cho}, title = {Development of disaster-responding special-purpose machinery: Results of experiments}, journal = {J. Field Robotics}, volume = {39}, number = {6}, pages = {783--804}, year = {2022}, url = {https://doi.org/10.1002/rob.22078}, doi = {10.1002/ROB.22078}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/KimPHKKCSCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HanSHPKCSLJRYK22, author = {Won Ho Han and Dae Kyung Sohn and Yul Hwangbo and Hee Jung Park and Mijung Kim and Yoona Choi and Il Won Shin and Jung Min Lee and Heungki Jeon and Ki Chung Ryu and Tae{-}Sik Yoon and Jee Hee Kim}, title = {Effect of a Wireless Vital Sign Monitoring System on the Rapid Response System in the General Ward}, journal = {J. Medical Syst.}, volume = {46}, number = {10}, pages = {64}, year = {2022}, url = {https://doi.org/10.1007/s10916-022-01846-8}, doi = {10.1007/S10916-022-01846-8}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HanSHPKCSLJRYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JangWLJSSC22, author = {Moonseok Jang and Kexin Wang and Sangjin Lee and Hyeonggyu Jeong and In{-}Yeong Song and Yong Ho Song and Jungwook Choi}, title = {Achieving low write latency through new stealth program operation supporting early write completion in {NAND} flash memory}, journal = {J. Syst. Archit.}, volume = {133}, pages = {102767}, year = {2022}, url = {https://doi.org/10.1016/j.sysarc.2022.102767}, doi = {10.1016/J.SYSARC.2022.102767}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/JangWLJSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ParkPLCRSC22, author = {Hyung Jun Park and Namu Park and Jang Ho Lee and Myeong Geun Choi and Jin{-}Sook Ryu and Min Song and Chang Min Choi}, title = {Automated extraction of information of lung cancer staging from unstructured reports of {PET-CT} interpretation: natural language processing with deep-learning}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {229}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01975-7}, doi = {10.1186/S12911-022-01975-7}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ParkPLCRSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JungLSJLCK22, author = {Minjoon Jung and Seunghyun Lee and Eun{-}Seon Sim and Min Ho Jo and Yu Jin Lee and Hyebin Choi and Junseok Kwon}, title = {Stagemix video generation using face and body keypoints detection}, journal = {Multim. Tools Appl.}, volume = {81}, number = {27}, pages = {38531--38542}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13103-8}, doi = {10.1007/S11042-022-13103-8}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JungLSJLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LeeJCPS22, author = {Seung Hoon Lee and Jungho Jeon and Dong{-}Yoon Choi and Jong Min Park and Byung Cheol Song}, title = {Image based rainfall amount estimation for auto-wiping of vehicles}, journal = {Neural Comput. Appl.}, volume = {34}, number = {18}, pages = {15543--15554}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07269-3}, doi = {10.1007/S00521-022-07269-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LeeJCPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/KimWCCH22, author = {Soon Ho Kim and Junhyuk Woo and Kiri Choi and Moo Young Choi and Kyungreem Han}, title = {Neural Information Processing and Computations of Two-Input Synapses}, journal = {Neural Comput.}, volume = {34}, number = {10}, pages = {2102--2131}, year = {2022}, url = {https://doi.org/10.1162/neco\_a\_01534}, doi = {10.1162/NECO\_A\_01534}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/KimWCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChoiBPLVBMMHP22, author = {Hyoungshin Choi and Kyoungseob Byeon and Bo{-}yong Park and Jong{-}eun Lee and Sofie L. Valk and Boris C. Bernhardt and Adriana Di Martino and Michael P. Milham and Seok{-}Jun Hong and Hyunjin Park}, title = {Diagnosis-informed connectivity subtyping discovers subgroups of autism with reproducible symptom profiles}, journal = {NeuroImage}, volume = {256}, pages = {119212}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119212}, doi = {10.1016/J.NEUROIMAGE.2022.119212}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChoiBPLVBMMHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JiCSBSMPSLSOKL22, author = {Sooyeon Ji and Eun{-}Jung Choi and Beomseok Sohn and Kyoungwon Baik and Na{-}Young Shin and Won{-}Jin Moon and Seongbeom Park and Soohwa Song and Phil Hyu Lee and Dongmyung Shin and Se{-}Hong Oh and Eung{-}Yeop Kim and Jongho Lee}, title = {Sandwich spatial saturation for neuromelanin-sensitive {MRI:} Development and multi-center trial}, journal = {NeuroImage}, volume = {264}, pages = {119706}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119706}, doi = {10.1016/J.NEUROIMAGE.2022.119706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JiCSBSMPSLSOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeHJLCKCIJPAHK22, author = {Sun Yeop Lee and Sangwoo Ha and Min Gyeong Jeon and Hao Li and Hyunju Choi and Hwa Pyung Kim and Ye Ra Choi and Hoseok I and Yeon Joo Jeong and Yoon Ha Park and Hyemin Ahn and Sang Hyup Hong and Hyun Jung Koo and Choong Wook Lee and Min Jae Kim and Yeon Joo Kim and Kyung Won Kim and Jong Mun Choi}, title = {Localization-adjusted diagnostic performance and assistance effect of a computer-aided detection system for pneumothorax and consolidation}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00658-x}, doi = {10.1038/S41746-022-00658-X}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeHJLCKCIJPAHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/JoPBHKCKEH22, author = {Eunkyung Jo and Seora Park and Hyeonseok Bang and Youngeun Hong and Yeni Kim and Jungwon Choi and Bung{-}Nyun Kim and Daniel A. Epstein and Hwajung Hong}, title = {GeniAuti: Toward Data-Driven Interventions to Challenging Behaviors of Autistic Children through Caregivers' Tracking}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW1}}, pages = {92:1--92:27}, year = {2022}, url = {https://doi.org/10.1145/3512939}, doi = {10.1145/3512939}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/JoPBHKCKEH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ClerckxKCK22, author = {Bruno Clerckx and Junghoon Kim and Kae Won Choi and Dong In Kim}, title = {Foundations of Wireless Information and Power Transfer: Theory, Prototypes, and Experiments}, journal = {Proc. {IEEE}}, volume = {110}, number = {1}, pages = {8--30}, year = {2022}, url = {https://doi.org/10.1109/JPROC.2021.3132369}, doi = {10.1109/JPROC.2021.3132369}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/ClerckxKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/GongHYKPJSC22, author = {Young Jin Gong and Seong Taek Hwang and Sang Yul Yang and Kihyeon Kim and Jae Hyeong Park and Hosang Jung and Dongsu Shin and Hyouk Ryeol Choi}, title = {Retractable Locking System Driven by Shape Memory Alloy Actuator for Lightweight Soft Robotic Application}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {12185--12192}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3213489}, doi = {10.1109/LRA.2022.3213489}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/GongHYKPJSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scw/HommaISWYY22, author = {Tatsuki Homma and Ryosuke Iba and Junyi Shen and Takuma Wakayama and Hirofumi Yamamura and Takehiko Yamato}, title = {The pivotal mechanism versus the voluntary contribution mechanism: an experimental comparison}, journal = {Soc. Choice Welf.}, volume = {58}, number = {3}, pages = {429--505}, year = {2022}, url = {https://doi.org/10.1007/s00355-021-01350-x}, doi = {10.1007/S00355-021-01350-X}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scw/HommaISWYY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AbbasiCK22, author = {Wajahat H. Abbasi and Hojong Choi and Jungsuk Kim}, title = {Hexagonal Stimulation Digital Controller Design and Verification for Wireless Subretinal Implant Device}, journal = {Sensors}, volume = {22}, number = {8}, pages = {2899}, year = {2022}, url = {https://doi.org/10.3390/s22082899}, doi = {10.3390/S22082899}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AbbasiCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekBJKKSCHKK22, author = {Seung{-}Yun Baek and Seung{-}Min Baek and Hyeon{-}Ho Jeon and Wan{-}Soo Kim and Yeon{-}Soo Kim and Taeyong Sim and Kyu{-}Hong Choi and Soon{-}Jung Hong and Hyunggun Kim and Yong{-}Joo Kim}, title = {Traction Performance Evaluation of the Electric All-Wheel-Drive Tractor}, journal = {Sensors}, volume = {22}, number = {3}, pages = {785}, year = {2022}, url = {https://doi.org/10.3390/s22030785}, doi = {10.3390/S22030785}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekBJKKSCHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKLJSLCYLLY22, author = {Chul{-}Hee Cho and Si{-}Jun Kim and Young{-}Seok Lee and Won{-}nyoung Jeong and In{-}Ho Seong and Jang{-}Jae Lee and Minsu Choi and Ye{-}Bin You and Sang{-}Ho Lee and Jinho Lee and Shin{-}Jae You}, title = {Refined Appearance Potential Mass Spectrometry for High Precision Radical Density Quantification in Plasma}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6589}, year = {2022}, url = {https://doi.org/10.3390/s22176589}, doi = {10.3390/S22176589}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoKLJSLCYLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLCSC22, author = {Seung{-}Ho Choi and Joon{-}Seok Lee and Won{-}Jun Choi and Jae{-}Woo Seo and Seon{-}Jin Choi}, title = {Nanomaterials for IoT Sensing Platforms and Point-of-Care Applications in South Korea}, journal = {Sensors}, volume = {22}, number = {2}, pages = {610}, year = {2022}, url = {https://doi.org/10.3390/s22020610}, doi = {10.3390/S22020610}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLCSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiPY22, author = {Hojong Choi and Junghun Park and Yeon{-}Mo Yang}, title = {A Novel Quick-Response Eigenface Analysis Scheme for Brain-Computer Interfaces}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5860}, year = {2022}, url = {https://doi.org/10.3390/s22155860}, doi = {10.3390/S22155860}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiPY22a, author = {Hojong Choi and Junghun Park and Yeon{-}Mo Yang}, title = {Whitening Technique Based on Gram-Schmidt Orthogonalization for Motor Imagery Classification of Brain-Computer Interface Applications}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6042}, year = {2022}, url = {https://doi.org/10.3390/s22166042}, doi = {10.3390/S22166042}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiPY22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HejaziRACYHKJL22, author = {Arash Hejazi and Reza E. Rad and Seyed Ali Hosseini Asl and Kyung{-}Duk Choi and Joon{-}Mo Yoo and Hyungki Huh and Seokkee Kim and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A High-Power 3P3T Cross Antenna Switch with Low Harmonic Distortion and Enhanced Isolation Using T-Type Pull-Down Path for Cellular Mobile Devices}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5461}, year = {2022}, url = {https://doi.org/10.3390/s22145461}, doi = {10.3390/S22145461}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HejaziRACYHKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongLCCKKKKK22, author = {Juntaek Hong and Jongweon Lee and Taeyoung Choi and Wooin Choi and Taeyong Kim and Kyuwan Kwak and Seongjun Kim and Kyeongyeol Kim and Daehyun Kim}, title = {Feasibility of Overground Gait Training Using a Joint-Torque-Assisting Wearable Exoskeletal Robot in Children with Static Brain Injury}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3870}, year = {2022}, url = {https://doi.org/10.3390/s22103870}, doi = {10.3390/S22103870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongLCCKKKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongOCK22, author = {Jonggi Hong and Yeonji Oh and Hojong Choi and Jungsuk Kim}, title = {Low-Area Four-Channel Controlled Dielectric Breakdown System Design for Point-of-Care Applications}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1895}, year = {2022}, url = {https://doi.org/10.3390/s22051895}, doi = {10.3390/S22051895}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HongOCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungCCKRC22, author = {Unsang Jung and Jin Hyuck Choi and Han Tae Choo and Gyu Ug Kim and Jaemyung Ryu and Hojong Choi}, title = {Fully Customized Photoacoustic System Using Doubly Q-Switched Nd: {YAG} Laser and Multiple Axes Stages for Laboratory Applications}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2621}, year = {2022}, url = {https://doi.org/10.3390/s22072621}, doi = {10.3390/S22072621}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungCCKRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKC22a, author = {Hyung Jun Park and Nam Ho Kim and Jooho Choi}, title = {A Trade-Off Analysis between Sensor Quality and Data Intervals for Prognostics Performance}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7220}, year = {2022}, url = {https://doi.org/10.3390/s22197220}, doi = {10.3390/S22197220}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKC22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkPWCKLK22, author = {Se{-}Kyung Park and Junkyu Park and Hong{-}In Won and Seung{-}Hwan Choi and Chang{-}Hyun Kim and Suwoong Lee and Min Young Kim}, title = {Three-Dimensional Foot Position Estimation Based on Footprint Shadow Image Processing and Deep Learning for Smart Trampoline Fitness System}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6922}, year = {2022}, url = {https://doi.org/10.3390/s22186922}, doi = {10.3390/S22186922}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkPWCKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeHKCA22, author = {Sunjung Lee and Seunghwan Hwang and Michael Jaemin Kim and Jaewan Choi and Jung Ho Ahn}, title = {Future Scaling of Memory Hierarchy for Tensor Cores and Eliminating Redundant Shared Memory Traffic Using Inter-Warp Multicasting}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {12}, pages = {3115--3126}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3207134}, doi = {10.1109/TC.2022.3207134}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeHKCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/JungJC22, author = {Hojin Jung and DoHyun Jung and Seibum B. Choi}, title = {{LQR} Control of an All-Wheel Drive Vehicle Considering Variable Input Constraint}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {30}, number = {1}, pages = {85--96}, year = {2022}, url = {https://doi.org/10.1109/TCST.2021.3051233}, doi = {10.1109/TCST.2021.3051233}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcst/JungJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ZhangDWCZLSYW22, author = {Wei Zhang and Zhaohong Deng and Jun Wang and Kup{-}Sze Choi and Te Zhang and Xiaoqing Luo and Hong{-}Bin Shen and Wenhao Ying and Shitong Wang}, title = {Transductive Multiview Modeling With Interpretable Rules, Matrix Factorization, and Cooperative Learning}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {10}, pages = {11226--11239}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2021.3071451}, doi = {10.1109/TCYB.2021.3071451}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ZhangDWCZLSYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/LouDCSWW22, author = {Qiongdan Lou and Zhaohong Deng and Kup{-}Sze Choi and Hong{-}Bin Shen and Jun Wang and Shitong Wang}, title = {Robust Multi-Label Relief Feature Selection Based on Fuzzy Margin Co-Optimization}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {6}, number = {2}, pages = {387--398}, year = {2022}, url = {https://doi.org/10.1109/TETCI.2020.3044679}, doi = {10.1109/TETCI.2020.3044679}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/LouDCSWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChoiLJLK22, author = {Jae{-}Ho Choi and Myung{-}Jun Lee and Nam{-}Hoon Jeong and Geon Lee and Kyung{-}Tae Kim}, title = {Fusion of Target and Shadow Regions for Improved {SAR} {ATR}}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--17}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3165849}, doi = {10.1109/TGRS.2022.3165849}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ChoiLJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JungCK22, author = {Hoin Jung and Han{-}Soo Choi and Myungjoo Kang}, title = {Boundary Enhancement Semantic Segmentation for Building Extraction From Remote Sensed Image}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3108781}, doi = {10.1109/TGRS.2021.3108781}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/JungCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/BasitRCJ22, author = {Bilal Abdul Basit and Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {A Robust Iterative Learning Control Technique to Efficiently Mitigate Disturbances for Three-Phase Standalone Inverters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {4}, pages = {3233--3244}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3071695}, doi = {10.1109/TIE.2021.3071695}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/BasitRCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/UhmJCHK22, author = {Kwang{-}Hyun Uhm and Seung{-}Won Jung and Moon Hyung Choi and Sung{-}Hoo Hong and Sung{-}Jea Ko}, title = {A Unified Multi-Phase {CT} Synthesis and Classification Framework for Kidney Cancer Diagnosis With Incomplete Data}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {12}, pages = {6093--6104}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3219123}, doi = {10.1109/JBHI.2022.3219123}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/UhmJCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ParkJCOJSAL22, author = {Juhyung Park and Woojin Jung and Eun{-}Jung Choi and Se{-}Hong Oh and Jinhee Jang and Dongmyung Shin and Hongjun An and Jongho Lee}, title = {DIFFnet: Diffusion Parameter Mapping Network Generalized for Input Diffusion Gradient Schemes and b-Value}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {2}, pages = {491--499}, year = {2022}, url = {https://doi.org/10.1109/TMI.2021.3116298}, doi = {10.1109/TMI.2021.3116298}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/ParkJCOJSAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LeeCJKPKA22, author = {Sunjung Lee and Jaewan Choi and Wonkyung Jung and Byeongho Kim and Jaehyun Park and Hweesoo Kim and Jung Ho Ahn}, title = {{MVP:} An Efficient {CNN} Accelerator with Matrix, Vector, and Processing-Near-Memory Units}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {27}, number = {5}, pages = {42:1--42:25}, year = {2022}, url = {https://doi.org/10.1145/3497745}, doi = {10.1145/3497745}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LeeCJKPKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HongPKC22, author = {Sung Hyuck Hong and Jaeyong Park and Sung{-}Jin Kim and Junil Choi}, title = {Hybrid Beamforming for Intelligent Reflecting Surface Aided Millimeter Wave {MIMO} Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {9}, pages = {7343--7357}, year = {2022}, url = {https://doi.org/10.1109/TWC.2022.3157880}, doi = {10.1109/TWC.2022.3157880}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HongPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/JungPCLKK022, author = {Yong{-}Ho Jung and Jun{-}Hyung Park and Joon{-}Young Choi and Mingyu Lee and Junho Kim and Kang{-}Min Kim and SangKeun Lee}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Learning from Missing Relations: Contrastive Learning with Commonsense Knowledge Graphs for Commonsense Inference}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {1514--1523}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.119}, doi = {10.18653/V1/2022.FINDINGS-ACL.119}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/JungPCLKK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KimALCK22, author = {Jun{-}Ho Kim and Mohammed A. Al{-}masni and Haejoon Lee and Yoon{-}Seok Choi and Dong{-}Hyun Kim}, title = {A single-stage detector of cerebral microbleeds using 3D feature fused region proposal network (FFRP-Net)}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869855}, doi = {10.1109/AICAS54282.2022.9869855}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aicas/KimALCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/LeeJLCPK22, author = {Chang{-}Han Lee and Jong{-}Jin Jang and Junho Lee and Sang{-}Hyun Choi and Subin Park and Juhwang Kim}, title = {Key Considerations for Communication Satellite System Design}, booktitle = {27th Asia Pacific Conference on Communications, {APCC} 2022, Jeju Island, Republic of Korea, October 19-21, 2022}, pages = {193--194}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APCC55198.2022.9943596}, doi = {10.1109/APCC55198.2022.9943596}, timestamp = {Tue, 22 Nov 2022 09:54:49 +0100}, biburl = {https://dblp.org/rec/conf/apcc/LeeJLCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChoiLC22, author = {June Suk Choi and Chae{-}Gyun Lim and Ho{-}Jin Choi}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Boosting Adapter Transfer Learning via Weak Parameter Sharing}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {382--384}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00086}, doi = {10.1109/BIGCOMP54360.2022.00086}, timestamp = {Sat, 19 Nov 2022 21:13:09 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/ChoiLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeC22b, author = {Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Exploring the Repetition Problem in Empathetic Dialogue Generative Model}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {398--400}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00090}, doi = {10.1109/BIGCOMP54360.2022.00090}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeC22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LimLLC22, author = {Chae{-}Gyun Lim and Dongkun Lee and Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Knowledge Management Approach for Memory Components Based on User-friendly Conversational System}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {401--403}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00091}, doi = {10.1109/BIGCOMP54360.2022.00091}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LimLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/MadokoroHKHKDCT22, author = {Mai Madokoro and Hiroshi Horiuchi and Tomoko Kobayashi and Tomoko Horio and Yasuyuki Kimura and Hideo Doi and Yong{-}Joon Choi and Kazuhiro Takahashi and Toshihiko Noda and Junichi Nabekura and Kazuaki Sawada}, title = {In-vivo pH Imaging System for Hydrogen Ion Dynamics Observation in the Brain of a Freely-Moving Mouse}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {620--624}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948690}, doi = {10.1109/BIOCAS54905.2022.9948690}, timestamp = {Tue, 22 Nov 2022 09:54:51 +0100}, biburl = {https://dblp.org/rec/conf/biocas/MadokoroHKHKDCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/SongKLJCKBKPC22, author = {Hyeong{-}Woo Song and Ho Yong Kim and Han{-}Sol Lee and Daewon Jung and You Hee Choi and Chang{-}Sei Kim and Doyeon Bang and Byungjeon Kang and Jong{-}Oh Park and Eunpyo Choi}, title = {Ultrasound-mediated Delivery of Natural Killer Cells with Microbubble for Cancer Treatment}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925298}, doi = {10.1109/BIOROB52689.2022.9925298}, timestamp = {Fri, 11 Nov 2022 16:53:13 +0100}, biburl = {https://dblp.org/rec/conf/biorob/SongKLJCKBKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/OhCKHC22, author = {Jungwoo Oh and Hyunseung Chung and Joon{-}Myoung Kwon and Dong{-}gyun Hong and Edward Choi}, editor = {Gerardo Flores and George H. Chen and Tom J. Pollard and Joyce C. Ho and Tristan Naumann}, title = {Lead-agnostic Self-supervised Learning for Local and Global Representations of Electrocardiogram}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2022, 7-8 April 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {174}, pages = {338--353}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v174/oh22a.html}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chil/OhCKHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/JangSLKKCLB22, author = {Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Context aware Named Entity Recognition and Relation Extraction with Domain-specific language model}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {782--796}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-63.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:41 +0100}, biburl = {https://dblp.org/rec/conf/clef/JangSLKKCLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/LiF0YADTAZMYJSL22, author = {Yuan Li and Biaoyan Fang and Jiayuan He and Hiyori Yoshikawa and Saber A. Akhondi and Christian Druckenbrodt and Camilo Thorne and Zubair Afzal and Zenan Zhai and Kojiro Machi and Masaharu Yoshioka and Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae and Darshini Mahendran and Christina Tang and Bridget T. McInnes and Timothy Baldwin and Karin Verspoor}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Extended Overview of ChEMU 2022 Evaluation Campaign: Information Extraction in Chemical Patents}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {758--781}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-62.pdf}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clef/LiF0YADTAZMYJSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LeeLC22, author = {Young{-}Jun Lee and Chae{-}Gyun Lim and Ho{-}Jin Choi}, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {Does {GPT-3} Generate Empathetic Dialogues? {A} Novel In-Context Example Selection Method and Automatic Evaluation Metric for Empathetic Dialogue Generation}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {669--683}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.coling-1.56}, timestamp = {Thu, 13 Oct 2022 17:29:38 +0200}, biburl = {https://dblp.org/rec/conf/coling/LeeLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/MaCKH22, author = {Jun Ma and Seong Jun Choi and Jaehyoun Kim and Min Hong}, title = {Various Patient classification model using {ABR} data}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {189--194}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00037}, doi = {10.1109/CSCI58124.2022.00037}, timestamp = {Mon, 22 Apr 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/csci/MaCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimCU22, author = {Junho Kim and Yunjey Choi and Youngjung Uh}, title = {Feature Statistics Mixing Regularization for Generative Adversarial Networks}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {11284--11293}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01101}, doi = {10.1109/CVPR52688.2022.01101}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimCU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhKNHCL22, author = {Junghun Oh and Heewon Kim and Seungjun Nah and Cheeun Hong and Jonghyun Choi and Kyoung Mu Lee}, title = {Attentive Fine-Grained Structured Sparsity for Image Restoration}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {17652--17661}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01715}, doi = {10.1109/CVPR52688.2022.01715}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhKNHCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongWLYCLCK22, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21393--21398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02074}, doi = {10.1109/CVPR52688.2022.02074}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongWLYCLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimCKC22, author = {Boeun Kim and Hyung Jin Chang and Jungho Kim and Jin Young Choi}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Global-Local Motion Transformer for Unsupervised Skeleton-Based Action Learning}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13664}, pages = {209--225}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19772-7\_13}, doi = {10.1007/978-3-031-19772-7\_13}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimJCK22, author = {Junho Kim and Hojun Jang and Changwoon Choi and Young Min Kim}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{CPO:} Change Robust Panorama to Point Cloud Localization}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {13669}, pages = {176--192}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20077-9\_11}, doi = {10.1007/978-3-031-20077-9\_11}, timestamp = {Thu, 10 Nov 2022 10:31:49 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimJCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeKKKHC22, author = {Gayoung Lee and Hyunsu Kim and Junho Kim and Seonghyeon Kim and Jung{-}Woo Ha and Yunjey Choi}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Generator Knows What Discriminator Should Learn in Unconditional GANs}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XVII}}, series = {Lecture Notes in Computer Science}, volume = {13677}, pages = {406--422}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19790-1\_25}, doi = {10.1007/978-3-031-19790-1\_25}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeKKKHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JungCKKZ22, author = {Minjoon Jung and Seongho Choi and Joochan Kim and Jin{-}Hwa Kim and Byoung{-}Tak Zhang}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Modal-specific Pseudo Query Generation for Video Corpus Moment Retrieval}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {7769--7781}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.530}, doi = {10.18653/V1/2022.EMNLP-MAIN.530}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JungCKKZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimLHCC22, author = {Minsoo Kim and Sihwa Lee and Sukjin Hong and Du{-}Seong Chang and Jungwook Choi}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Understanding and Improving Knowledge Distillation for Quantization Aware Training of Large Transformer Encoders}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {6713--6725}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.450}, doi = {10.18653/V1/2022.EMNLP-MAIN.450}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimLHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimPLMC022, author = {Junho Kim and Jun{-}Hyung Park and Mingyu Lee and Wing{-}Lam Mok and Joon{-}Young Choi and SangKeun Lee}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Tutoring Helps Students Learn Better: Improving Knowledge Distillation for {BERT} with Tutor Network}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {7371--7382}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.498}, doi = {10.18653/V1/2022.EMNLP-MAIN.498}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimPLMC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/CassiauKSNPACCC22, author = {Nicolas Cassiau and Ilgyu Kim and Emilio Calvanese Strinati and Gosan Noh and Antonio Pietrabissa and Fabrice Arnal and Guido Casati and Taesang Choi and You{-}Jun Choi and Heesang Chung and Sylvain Colombero and Pierre Dal Zotto and Emanuele De Santis and Jean{-}Baptiste Dor{\'{e}} and Alessandro Giuseppi and Jean{-}Michel Houssin and Junhyeong Kim and Marc Laugeois and Federico Pigni and Xavier Popon and Leszek Raschkowski and Marjorie Thary and Seok Ho Won}, title = {5G-ALLSTAR: Beyond 5G Satellite-Terrestrial Multi-Connectivity}, booktitle = {2022 Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2022, Grenoble, France, June 7-10, 2022}, pages = {148--153}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EuCNC/6GSummit54941.2022.9815664}, doi = {10.1109/EUCNC/6GSUMMIT54941.2022.9815664}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/CassiauKSNPACCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChoiLC22, author = {Hong Jun Choi and Hyuk Lee and Jin{-}Young Choi}, title = {Is a False Positive really False Positive?}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {145--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728948}, doi = {10.23919/ICACT53585.2022.9728948}, timestamp = {Fri, 18 Mar 2022 11:45:43 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChoiLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/KimPLHOC22, author = {Nakyoung Kim and Hyunseo Park and Gyeong Ho Lee and Jaeseob Han and Hyeontaek Oh and Jun Kyun Choi}, title = {Hierarchical User Status Classification for Imbalanced Biometric Data Class}, booktitle = {2022 International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2022, Jeju Island, Korea, Republic of, February 21-24, 2022}, pages = {246--250}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICAIIC54071.2022.9722653}, doi = {10.1109/ICAIIC54071.2022.9722653}, timestamp = {Tue, 08 Mar 2022 11:05:05 +0100}, biburl = {https://dblp.org/rec/conf/icaiic/KimPLHOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/ParkKLHOC22, author = {Hyunseo Park and Nakyoung Kim and Gyeong Ho Lee and Jaeseob Han and Hyeontaek Oh and Jun Kyun Choi}, title = {Multi-head {CNN} and {LSTM} with Attention for User Status Estimation from Biometric Information}, booktitle = {2022 International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2022, Jeju Island, Korea, Republic of, February 21-24, 2022}, pages = {387--391}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICAIIC54071.2022.9722697}, doi = {10.1109/ICAIIC54071.2022.9722697}, timestamp = {Tue, 08 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaiic/ParkKLHOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/KimCCC22, author = {Jungho Kim and Hoon Choi and Insang Cho and Youngchan Cho}, title = {Neural Network Characteristics-aware Proactive Boost for Heterogeneous Computing to Improve Energy Efficiency}, booktitle = {29th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2022, Glasgow, United Kingdom, October 24-26, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICECS202256217.2022.9971089}, doi = {10.1109/ICECS202256217.2022.9971089}, timestamp = {Fri, 23 Dec 2022 17:47:32 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/KimCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiLYPHK22, author = {Jaewoong Choi and Junho Lee and Changyeon Yoon and Jung Ho Park and Geonho Hwang and Myungjoo Kang}, title = {Do Not Escape From the Manifold: Discovering the Local Coordinates on the Latent Space of GANs}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=aTzMi4yV\_RO}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiLYPHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiLJC22, author = {Taesung Choi and Dongkun Lee and Yuchae Jung and Ho{-}Jin Choi}, title = {Multivariate Time-series Anomaly Detection using SeqVAE-CNN Hybrid Model}, booktitle = {International Conference on Information Networking, {ICOIN} 2022, Jeju-si, Republic of Korea, January 12-15, 2022}, pages = {250--253}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICOIN53446.2022.9687205}, doi = {10.1109/ICOIN53446.2022.9687205}, timestamp = {Tue, 01 Feb 2022 17:24:19 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ChoiLJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ChoiZKHL22, author = {Jun{-}Ho Choi and Huan Zhang and Jun{-}Hyuk Kim and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Deep Image Destruction: Vulnerability of Deep Image-to-Image Models against Adversarial Attacks}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {1287--1293}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956577}, doi = {10.1109/ICPR56361.2022.9956577}, timestamp = {Fri, 23 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ChoiZKHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HoonJPLC22, author = {Jung Hoon Lee and Je Il Jo and Byungkoo Park and Chang Hoon Lee and Seungho Choi}, title = {The range spreading {EW} technique against the compressed pulse}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2089--2091}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9953022}, doi = {10.1109/ICTC55196.2022.9953022}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/HoonJPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JinLCJK22, author = {Kyohoon Jin and Junho Lee and Juhwan Choi and Soojin Jang and Youngbin Kim}, title = {Generative Data Augmentation via Wasserstein Autoencoder for Text Classification}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {603--607}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952762}, doi = {10.1109/ICTC55196.2022.9952762}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JinLCJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimKNHKKCGSLK22, author = {Goo{-}Young Kim and Donghun Kim and Sang Do Noh and Hong Ku Han and Nam Geun Kim and Yong{-}Shin Kang and Seung Hyun Choi and Dong Hyun Go and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Duck Young Kim and Gregor von Cieminski and David Romero}, title = {Human Digital Twin System for Operator Safety and Work Management}, booktitle = {Advances in Production Management Systems. Smart Manufacturing and Logistics Systems: Turning Ideas into Action - {IFIP} {WG} 5.7 International Conference, {APMS} 2022, Gyeongju, South Korea, September 25-29, 2022, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {664}, pages = {529--536}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16411-8\_61}, doi = {10.1007/978-3-031-16411-8\_61}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimKNHKKCGSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChoiLKHA22, author = {Jaewan Choi and Hailong Li and Byeongho Kim and Seunghwan Hwang and Jung Ho Ahn}, title = {Accelerating Transformer Networks through Recomposing Softmax Layers}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {92--103}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00018}, doi = {10.1109/IISWC55918.2022.00018}, timestamp = {Wed, 04 Jan 2023 16:48:40 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChoiLKHA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/LiCA22, author = {Hailong Li and Jaewan Choi and Jung Ho Ahn}, title = {A Slice and Dice Approach to Accelerate Compound Sparse Attention on {GPU}}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2022, Austin, TX, USA, November 6-8, 2022}, pages = {104--116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IISWC55918.2022.00019}, doi = {10.1109/IISWC55918.2022.00019}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/LiCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/YooKKJSLLLCLKN22, author = {Sijung Yoo and Donghoon Kim and Yoon Mo Koo and Sujee Kim Wooju Jeong and Hyungjoon Shim and Won{-}Jun Lee and Beom Seok Lee and Seungyun Lee and Hyejung Choi and Hyung Dong Lee and Taehoon Kim and Myung{-}Hee Na}, title = {Structural and Device Considerations for Vertical Cross Point Memory with Single-stack Memory toward {CXL} Memory beyond 1x nm 3DXP}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779247}, doi = {10.1109/IMW52921.2022.9779247}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/YooKKJSLLLCLKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NamCHDJPLJKKSSC22, author = {Bu{-}Il Nam and Young{-}Ha Choi and Sungki Hong and Ki{-}Young Dong and Wontaeck Jung and Sang{-}Won Park and Soon{-}Yong Lee and Dooyeun Jung and Byoung{-}Hee Kim and Eun{-}Kyoung Kim and Ki{-}Whan Song and Jai Hyuk Song and Woo Young Choi}, title = {Novel Electrical Detection Method for Random Defects on Peripheral Circuits in {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {40--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764437}, doi = {10.1109/IRPS48227.2022.9764437}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NamCHDJPLJKKSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLLBKPCCA22, author = {Hyoung{-}Jung Kim and Jae{-}Hyuk Lee and Jae{-}Geun Lim and Jun{-}Ho Boo and Ho{-}Jin Kim and Seong{-}Bo Park and Youngdon Choi and Jung{-}Hwan Choi and Gil{-}Cho Ahn}, title = {A 430-MS/s 7-b Asynchronous {SAR} {ADC} With a 40 fF Input Sampling Capacitor}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {235--236}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031358}, doi = {10.1109/ISOCC56007.2022.10031358}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimLLBKPCCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/ChoiJHJSPLKL22, author = {Kangwook Choi and Gyuweon Jung and Seongbin Hong and Yujeong Jeong and Wonjun Shin and Jinwoo Park and Chang{-}Yong Lee and Donghee Kim and Jong{-}Ho Lee}, title = {Response Analysis of Resistor-type Gas Sensor with Bias Voltage Condition}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789620}, doi = {10.1109/ISOEN54820.2022.9789620}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/ChoiJHJSPLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/JungKSHJPKCL22, author = {Gyuweon Jung and Jaehyeon Kim and Wonjun Shin and Seongbin Hong and Yujeong Jeong and Jinwoo Park and Donghee Kim and Kangwook Choi and Jong{-}Ho Lee}, title = {Optimal Bias Conditions for FET-type Gas Sensors to Minimize Current Fluctuations}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789643}, doi = {10.1109/ISOEN54820.2022.9789643}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/JungKSHJPKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKBSJLJCGL22, author = {Sehwan Lee and Yoonsung Choi and Geunha Kim and Seungyeob Baik and Taeryoung Seol and Homin Jang and Doyoung Lee and Minkyu Je and Ji{-}Woong Choi and Arup K. George and Junghyup Lee}, title = {A 0.7V 17fJ/Step-FOMW 178.1dB-FOMSNDR 10kHz-BW 560mVPP True-ExG Biopotential Acquisition System with Parasitic-Insensitive 421M{\(\Omega\)} Input Impedance in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {336--338}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731114}, doi = {10.1109/ISSCC42614.2022.9731114}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKBSJLJCGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/OhWSKKCS22, author = {Changdae Oh and Heeji Won and Junhyuk So and Taero Kim and Yewon Kim and Hosik Choi and Kyungwoo Song}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Learning Fair Representation via Distributional Contrastive Disentanglement}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {1295--1305}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539232}, doi = {10.1145/3534678.3539232}, timestamp = {Mon, 28 Aug 2023 21:17:29 +0200}, biburl = {https://dblp.org/rec/conf/kdd/OhWSKKCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/BizjakCPS22, author = {Ziga Bizjak and June Ho Choi and Won{-}Hyoung Park and Ziga Spiclin}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Deep Learning Based Modality-Independent Intracranial Aneurysm Detection}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13433}, pages = {760--769}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16437-8\_73}, doi = {10.1007/978-3-031-16437-8\_73}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/BizjakCPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChoiLJH22, author = {Mingii Choi and Sangyeong Lee and Heesun Jung and Jong{-}Uk Hou}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {Transformers in Spectral Domain for Estimating Image Geometric Transformation}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {6860--6867}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3548233}, doi = {10.1145/3503161.3548233}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChoiLJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ParkYKSCSN22, author = {Ho{-}min Park and Ilho Yun and Ajit Kumar and Ankit Kumar Singh and Bong Jun Choi and Dhananjay Singh and Wesley De Neve}, editor = {Shahin Amiriparian and Lukas Christ and Andreas K{\"{o}}nig and Alan Cowen and Eva{-}Maria Me{\ss}ner and Erik Cambria and Bj{\"{o}}rn W. Schuller}, title = {Towards Multimodal Prediction of Time-continuous Emotion using Pose Feature Engineering and a Transformer Encoder}, booktitle = {MuSe@MM 2022: Proceedings of the 3rd International on Multimodal Sentiment Analysis Workshop and Challenge, Lisboa, Portugal, 10 October 2022}, pages = {47--54}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3551876.3554807}, doi = {10.1145/3551876.3554807}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ParkYKSCSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/ParkCL22, author = {Junha Park and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Adversarial Robustness of Flow-based Image Super-Resolution}, booktitle = {24th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2022, Shanghai, China, September 26-28, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MMSP55362.2022.9949274}, doi = {10.1109/MMSP55362.2022.9949274}, timestamp = {Fri, 25 Nov 2022 16:03:16 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/ParkCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChoiHWOI22, author = {Jungmin Choi and Ukyo Honda and Taro Watanabe and Hiroki Ouchi and Kentaro Inui}, editor = {Shirley Dita and Arlene O. Trillanes and Rochelle Irene Lucas}, title = {Law Retrieval with Supervised Contrastive Learning Using the Hierarchical Structure of Law}, booktitle = {Proceedings of the 36th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2022, Manila, Philippines, October 20-22, 2022}, pages = {590--599}, publisher = {De La Salle University}, year = {2022}, url = {https://aclanthology.org/2022.paclic-1.65}, timestamp = {Mon, 05 Jun 2023 16:32:16 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ChoiHWOI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/ParkHPKCC22, author = {Chung Park and Junui Hong and Cheonbok Park and Taesan Kim and Minsung Choi and Jaegul Choo}, editor = {Jo{\~{a}}o Gama and Tianrui Li and Yang Yu and Enhong Chen and Yu Zheng and Fei Teng}, title = {{PASTA:} PArallel Spatio-Temporal Attention with Spatial Auto-Correlation Gating for Fine-Grained Crowd Flow Prediction}, booktitle = {Advances in Knowledge Discovery and Data Mining - 26th Pacific-Asia Conference, {PAKDD} 2022, Chengdu, China, May 16-19, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13280}, pages = {354--366}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05933-9\_28}, doi = {10.1007/978-3-031-05933-9\_28}, timestamp = {Thu, 20 Apr 2023 09:06:59 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/ParkHPKCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/DangJCNMKD22, author = {Hoang{-}Hai Dang and Jaehwang Jung and Jaemin Choi and Duc{-}Than Nguyen and William Mansky and Jeehoon Kang and Derek Dreyer}, editor = {Ranjit Jhala and Isil Dillig}, title = {Compass: strong and compositional library specifications in relaxed memory separation logic}, booktitle = {{PLDI} '22: 43rd {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, San Diego, CA, USA, June 13 - 17, 2022}, pages = {792--808}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3519939.3523451}, doi = {10.1145/3519939.3523451}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/DangJCNMKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/ShinCYO22, author = {Suho Shin and Hoyong Choi and Yung Yi and Jungseul Ok}, editor = {D. Manjunath and Jayakrishnan Nair and Niklas Carlsson and Edith Cohen and Philippe Robert}, title = {Power of Bonus in Pricing for Crowdsourcing}, booktitle = {{SIGMETRICS/PERFORMANCE} '22: {ACM} {SIGMETRICS/IFIP} {PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, Mumbai, India, June 6 - 10, 2022}, pages = {43--44}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489048.3522633}, doi = {10.1145/3489048.3522633}, timestamp = {Wed, 01 Mar 2023 21:16:34 +0100}, biburl = {https://dblp.org/rec/conf/sigmetrics/ShinCYO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeJCCL22, author = {Eon{-}Ho Lee and Hyeon Jun Jeon and Jinwoo Choi and Hyun{-}Taek Choi and Sejin Lee}, title = {Development of Vehicle Detection Method on Water Surface Using LiDAR Data for Situation Awareness}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {188--193}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826242}, doi = {10.1109/UR55393.2022.9826242}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeeJCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/KwonLCLCC22, author = {Bum Chul Kwon and Jungsoo Lee and Chaeyeon Chung and Nyoungwoo Lee and Ho{-}Jin Choi and Jaegul Choo}, editor = {Marco Agus and Wolfgang Aigner and Thomas H{\"{o}}llt}, title = {{DASH:} Visual Analytics for Debiasing Image Classification via User-Driven Synthetic Data Augmentation}, booktitle = {24th Eurographics Conference on Visualization, EuroVis 2022 - Short Papers, Rome, Italy, June 13-17, 2022}, pages = {91--95}, publisher = {Eurographics Association}, year = {2022}, url = {https://doi.org/10.2312/evs.20221099}, doi = {10.2312/EVS.20221099}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vissym/KwonLCLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KooDNSLOHLCCS22, author = {Byeongwoo Koo and Sunghan Do and Sang{-}Pil Nam and Heewook Shin and Sungno Lee and Eunhye Oh and Jaemin Hong and Jung{-}Ho Lee and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 8GS/s {RF} Sampling {DAC} with Code-Dependent Nonlinearity Compensation and Intersegmental Current-Mismatch Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {86--87}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830442}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830442}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KooDNSLOHLCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/HongYCK22, author = {Seungbum Hong and Jihun Yoon and Min{-}Kook Choi and Junmo Kim}, title = {Self-Supervised Knowledge Transfer via Loosely Supervised Auxiliary Tasks}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {2947--2956}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00300}, doi = {10.1109/WACV51458.2022.00300}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/HongYCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-08678, author = {Jusop Choi and Wonseok Choi and William Aiken and Hyoungshick Kim and Jun Ho Huh and Taesoo Kim and Yongdae Kim and Ross J. Anderson}, title = {Attack of the Clones: Measuring the Maintainability, Originality and Security of Bitcoin 'Forks' in the Wild}, journal = {CoRR}, volume = {abs/2201.08678}, year = {2022}, url = {https://arxiv.org/abs/2201.08678}, eprinttype = {arXiv}, eprint = {2201.08678}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-08678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06889, author = {Jungwoo Oh and Hyunseung Chung and Joon{-}Myoung Kwon and Dong{-}gyun Hong and Edward Choi}, title = {Lead-agnostic Self-supervised Learning for Local and Global Representations of Electrocardiogram}, journal = {CoRR}, volume = {abs/2203.06889}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06889}, doi = {10.48550/ARXIV.2203.06889}, eprinttype = {arXiv}, eprint = {2203.06889}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12266, author = {Junghun Oh and Heewon Kim and Seungjun Nah and Cheeun Hong and Jonghyun Choi and Kyoung Mu Lee}, title = {Attentive Fine-Grained Structured Sparsity for Image Restoration}, journal = {CoRR}, volume = {abs/2204.12266}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12266}, doi = {10.48550/ARXIV.2204.12266}, eprinttype = {arXiv}, eprint = {2204.12266}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12266.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06421, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, journal = {CoRR}, volume = {abs/2205.06421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06421}, doi = {10.48550/ARXIV.2205.06421}, eprinttype = {arXiv}, eprint = {2205.06421}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08549, author = {Jiyeon Han and Hwanil Choi and Yunjey Choi and Junho Kim and Jung{-}Woo Ha and Jaesik Choi}, title = {Rarity Score : {A} New Metric to Evaluate the Uncommonness of Synthesized Images}, journal = {CoRR}, volume = {abs/2206.08549}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08549}, doi = {10.48550/ARXIV.2206.08549}, eprinttype = {arXiv}, eprint = {2206.08549}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08743, author = {Changdae Oh and Heeji Won and Junhyuk So and Taero Kim and Yewon Kim and Hosik Choi and Kyungwoo Song}, title = {Learning Fair Representation via Distributional Contrastive Disentanglement}, journal = {CoRR}, volume = {abs/2206.08743}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08743}, doi = {10.48550/ARXIV.2206.08743}, eprinttype = {arXiv}, eprint = {2206.08743}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08743.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04480, author = {Katherine Hoffmann Pham and Junpei Komiyama}, title = {Strategic Choices of Migrants and Smugglers in the Central Mediterranean Sea}, journal = {CoRR}, volume = {abs/2207.04480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04480}, doi = {10.48550/ARXIV.2207.04480}, eprinttype = {arXiv}, eprint = {2207.04480}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-05317, author = {Junho Kim and Hojun Jang and Changwoon Choi and Young Min Kim}, title = {{CPO:} Change Robust Panorama to Point Cloud Localization}, journal = {CoRR}, volume = {abs/2207.05317}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.05317}, doi = {10.48550/ARXIV.2207.05317}, eprinttype = {arXiv}, eprint = {2207.05317}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-05317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06101, author = {Boeun Kim and Hyung Jin Chang and Jungho Kim and Jin Young Choi}, title = {Global-local Motion Transformer for Unsupervised Skeleton-based Action Learning}, journal = {CoRR}, volume = {abs/2207.06101}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06101}, doi = {10.48550/ARXIV.2207.06101}, eprinttype = {arXiv}, eprint = {2207.06101}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06101.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10241, author = {Junho Choi and Namjung Kim and Youngjoon Hong}, title = {Unsupervised Legendre-Galerkin Neural Network for Stiff Partial Differential Equations}, journal = {CoRR}, volume = {abs/2207.10241}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10241}, doi = {10.48550/ARXIV.2207.10241}, eprinttype = {arXiv}, eprint = {2207.10241}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10354, author = {Jun Ho Lee and Jae Soon Baik and Tae Hwan Hwang and Jun Won Choi}, title = {Learning from Data with Noisy Labels Using Temporal Self-Ensemble}, journal = {CoRR}, volume = {abs/2207.10354}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10354}, doi = {10.48550/ARXIV.2207.10354}, eprinttype = {arXiv}, eprint = {2207.10354}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10354.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-13320, author = {Gayoung Lee and Hyunsu Kim and Junho Kim and Seonghyeon Kim and Jung{-}Woo Ha and Yunjey Choi}, title = {Generator Knows What Discriminator Should Learn in Unconditional GANs}, journal = {CoRR}, volume = {abs/2207.13320}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.13320}, doi = {10.48550/ARXIV.2207.13320}, eprinttype = {arXiv}, eprint = {2207.13320}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-13320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00323, author = {Jae{-}Won Choi and Dae{-}Yong Hong and Chan Jung and Eugene Hwang and Sung{-}Hyuk Park and Seung{-}Young Roh}, title = {A Multi-View Learning Approach to Enhance Automatic 12-Lead {ECG} Diagnosis Performance}, journal = {CoRR}, volume = {abs/2208.00323}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00323}, doi = {10.48550/ARXIV.2208.00323}, eprinttype = {arXiv}, eprint = {2208.00323}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07552, author = {Juhyung Park and Dongwon Park and Hyeong{-}Geol Shin and Eun{-}Jung Choi and Hongjun An and Minjun Kim and Dongmyung Shin and Se Young Chun and Jongho Lee}, title = {Coil2Coil: Self-supervised {MR} image denoising using phased-array coil images}, journal = {CoRR}, volume = {abs/2208.07552}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07552}, doi = {10.48550/ARXIV.2208.07552}, eprinttype = {arXiv}, eprint = {2208.07552}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02251, author = {Janghoon Han and Joongbo Shin and Hosung Song and Hyunjik Jo and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi}, title = {External Knowledge Selection with Weighted Negative Sampling in Knowledge-grounded Task-oriented Dialogue Systems}, journal = {CoRR}, volume = {abs/2209.02251}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02251}, doi = {10.48550/ARXIV.2209.02251}, eprinttype = {arXiv}, eprint = {2209.02251}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02251.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-03739, author = {Bruno Clerckx and Junghoon Kim and Kae Won Choi and Dong In Kim}, title = {Foundations of Wireless Information and Power Transfer: Theory, Prototypes, and Experiments}, journal = {CoRR}, volume = {abs/2209.03739}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.03739}, doi = {10.48550/ARXIV.2209.03739}, eprinttype = {arXiv}, eprint = {2209.03739}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-03739.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-06357, author = {Bum Chul Kwon and Jungsoo Lee and Chaeyeon Chung and Nyoungwoo Lee and Ho{-}Jin Choi and Jaegul Choo}, title = {{DASH:} Visual Analytics for Debiasing Image Classification via User-Driven Synthetic Data Augmentation}, journal = {CoRR}, volume = {abs/2209.06357}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.06357}, doi = {10.48550/ARXIV.2209.06357}, eprinttype = {arXiv}, eprint = {2209.06357}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-06357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-12617, author = {Minjoon Jung and Seongho Choi and Joochan Kim and Jin{-}Hwa Kim and Byoung{-}Tak Zhang}, title = {Modal-specific Pseudo Query Generation for Video Corpus Moment Retrieval}, journal = {CoRR}, volume = {abs/2210.12617}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.12617}, doi = {10.48550/ARXIV.2210.12617}, eprinttype = {arXiv}, eprint = {2210.12617}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-12617.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11014, author = {Minsoo Kim and Sihwa Lee and Sukjin Hong and Du{-}Seong Chang and Jungwook Choi}, title = {Understanding and Improving Knowledge Distillation for Quantization-Aware Training of Large Transformer Encoders}, journal = {CoRR}, volume = {abs/2211.11014}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11014}, doi = {10.48550/ARXIV.2211.11014}, eprinttype = {arXiv}, eprint = {2211.11014}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15046, author = {Jaeho Choi and Yura Kim and Kwang{-}Ho Kim and Sung{-}Hwa Jung and Ikhyun Cho}, title = {Regional Precipitation Nowcasting Based on CycleGAN Extension}, journal = {CoRR}, volume = {abs/2211.15046}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15046}, doi = {10.48550/ARXIV.2211.15046}, eprinttype = {arXiv}, eprint = {2211.15046}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15046.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15875, author = {Gyojin Han and Jaehyun Choi and Hyeong Gwon Hong and Junmo Kim}, title = {Training Time Adversarial Attack Aiming the Vulnerability of Continual Learning}, journal = {CoRR}, volume = {abs/2211.15875}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15875}, doi = {10.48550/ARXIV.2211.15875}, eprinttype = {arXiv}, eprint = {2211.15875}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15875.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02802, author = {Gyeongman Kim and Hajin Shim and Hyunsu Kim and Yunjey Choi and Junho Kim and Eunho Yang}, title = {Diffusion Video Autoencoders: Toward Temporally Consistent Face Video Editing via Disentangled Video Encoding}, journal = {CoRR}, volume = {abs/2212.02802}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02802}, doi = {10.48550/ARXIV.2212.02802}, eprinttype = {arXiv}, eprint = {2212.02802}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02802.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-04119, author = {Young{-}Jun Lee and Byungsoo Ko and Han{-}Gyu Kim and Ho{-}Jin Choi}, title = {DialogCC: Large-Scale Multi-Modal Dialogue Dataset}, journal = {CoRR}, volume = {abs/2212.04119}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.04119}, doi = {10.48550/ARXIV.2212.04119}, eprinttype = {arXiv}, eprint = {2212.04119}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-04119.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08568, author = {Matthias Eisenmann and Annika Reinke and Vivienn Weru and Minu Dietlinde Tizabi and Fabian Isensee and Tim J. Adler and Patrick Godau and Veronika Cheplygina and Michal Kozubek and Sharib Ali and Anubha Gupta and Jan Kybic and J. Alison Noble and Carlos Ortiz{-}de{-}Sol{\'{o}}rzano and Samiksha Pachade and Caroline Petitjean and Daniel Sage and Donglai Wei and Elizabeth Wilden and Deepak Alapatt and Vincent Andrearczyk and Ujjwal Baid and Spyridon Bakas and Niranjan Balu and Sophia Bano and Vivek Singh Bawa and Jorge Bernal and Sebastian Bodenstedt and Alessandro Casella and Jinwook Choi and Olivier Commowick and Marie Daum and Adrien Depeursinge and Reuben Dorent and Jan Egger and Hannah Eichhorn and Sandy Engelhardt and Melanie Ganz and Gabriel Girard and Lasse Hansen and Mattias P. Heinrich and Nicholas Heller and Alessa Hering and Arnaud Huaulm{\'{e}} and Hyunjeong Kim and Bennett A. Landman and Hongwei Bran Li and Jianning Li and Jun Ma and Anne L. Martel and et al.}, title = {Biomedical image analysis competitions: The state of current participation practice}, journal = {CoRR}, volume = {abs/2212.08568}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08568}, doi = {10.48550/ARXIV.2212.08568}, eprinttype = {arXiv}, eprint = {2212.08568}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10391, author = {Jimin Hong and Jungsoo Park and Daeyoung Kim and Seongjae Choi and Bokyung Son and Jaewook Kang}, title = {TeSS: Zero-Shot Classification via Textual Similarity Comparison with Prompting using Sentence Encoder}, journal = {CoRR}, volume = {abs/2212.10391}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10391}, doi = {10.48550/ARXIV.2212.10391}, eprinttype = {arXiv}, eprint = {2212.10391}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10391.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-14574, author = {DongKi Noh and Changki Sung and Teayoung Uhm and Wooju Lee and Hyungtae Lim and Jaeseok Choi and Kyuewang Lee and Dasol Hong and Daeho Um and Inseop Chung and Hochul Shin and Min{-}Jung Kim and Hyoung{-}Rock Kim and SeungMin Baek and Hyun Myung}, title = {{X-MAS:} Extremely Large-Scale Multi-Modal Sensor Dataset for Outdoor Surveillance in Real Environments}, journal = {CoRR}, volume = {abs/2212.14574}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.14574}, doi = {10.48550/ARXIV.2212.14574}, eprinttype = {arXiv}, eprint = {2212.14574}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-14574.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ParkJPCK22, author = {Seunghwan Park and Chi{-}Gon Jung and Aesun Park and Joongeun Choi and Honggoo Kang}, title = {TiGER: Tiny bandwidth key encapsulation mechanism for easy miGration based on {RLWE(R)}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1651}, year = {2022}, url = {https://eprint.iacr.org/2022/1651}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ParkJPCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKCL21, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Manri Cheon and Jong{-}Seok Lee}, title = {Volatile-Nonvolatile Memory Network for Progressive Image Super-Resolution}, journal = {{IEEE} Access}, volume = {9}, pages = {37487--37496}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3063760}, doi = {10.1109/ACCESS.2021.3063760}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKPC21, author = {Hyungwoo Choi and Taehwa Kim and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Cooperative Online Learning-Based Load Balancing Scheme for Maximizing QoS Satisfaction in Dense HetNets}, journal = {{IEEE} Access}, volume = {9}, pages = {92345--92357}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089782}, doi = {10.1109/ACCESS.2021.3089782}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSJ21, author = {Kyoungtaek Choi and Jae Kyu Suhr and Ho Gi Jung}, title = {Detection-Verification-Cascade-Based Low-Cost Precise Vehicle Positioning Exploiting Extended Digital Map}, journal = {{IEEE} Access}, volume = {9}, pages = {11065--11079}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3050109}, doi = {10.1109/ACCESS.2021.3050109}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongHLEYCMAOS21, author = {Sojin Jeong and Sangwoo Han and Ho{-}Jun Lee and Deokjoon Eom and Gisu Youm and Yejoo Choi and Seungjun Moon and Kyungjin Ahn and Jinju Oh and Changhwan Shin}, title = {Abruptly-Switching MoS{\unicode{8322}}-Channel Atomic-Threshold-Switching Field-Effect Transistor With AgTi/HfO{\unicode{8322}}-Based Threshold Switching Device}, journal = {{IEEE} Access}, volume = {9}, pages = {116953--116961}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3106331}, doi = {10.1109/ACCESS.2021.3106331}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongHLEYCMAOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongLLCS21, author = {Joonyong Jeong and Gyeongyong Lee and Jungkeol Lee and Jungwook Choi and Yong Ho Song}, title = {Buffer Management With Append-Only Data Isolation for Improving {SSD} Performance}, journal = {{IEEE} Access}, volume = {9}, pages = {157681--157698}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3130278}, doi = {10.1109/ACCESS.2021.3130278}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongLLCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungHC21, author = {Yeon{-}Jee Jung and Seung{-}Ho Han and Ho{-}Jin Choi}, title = {Explaining {CNN} and {RNN} Using Selective Layer-Wise Relevance Propagation}, journal = {{IEEE} Access}, volume = {9}, pages = {18670--18681}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3051171}, doi = {10.1109/ACCESS.2021.3051171}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangJPABCKKCK21, author = {Dongyeon Kang and Jun Tae Jang and Shinyoung Park and Md. Hasan Raza Ansari and Jong{-}Ho Bae and Sung{-}Jin Choi and Dong Myong Kim and Changwook Kim and Seongjae Cho and Dae Hwan Kim}, title = {Threshold-Variation-Tolerant Coupling-Gate {\(\alpha\)}-IGZO Synaptic Transistor for More Reliably Controllable Hardware Neuromorphic System}, journal = {{IEEE} Access}, volume = {9}, pages = {59345--59352}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072688}, doi = {10.1109/ACCESS.2021.3072688}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangJPABCKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHPCKJ21, author = {Dongsu Kim and Su Jin Heo and Goeun Pyo and Hongsoo Choi and Hyuk{-}Jun Kwon and Jae Eun Jang}, title = {{PZT} Ferroelectric Synapse {TFT} With Multi-Level of Conductance State for Neuromorphic Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {140975--140982}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3119607}, doi = {10.1109/ACCESS.2021.3119607}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimHPCKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLLSPKS21, author = {Dayoung Kim and Tae{-}Hyeon Kim and Yun{-}Yeong Choi and Geun{-}Ho Lee and Jungwon Lee and Wookyung Sun and Byung{-}Gook Park and Hyungjin Kim and Hyungsoon Shin}, title = {Selected Bit-Line Current {PUF:} Implementation of Hardware Security Primitive Based on a Memristor Crossbar Array}, journal = {{IEEE} Access}, volume = {9}, pages = {120901--120910}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108534}, doi = {10.1109/ACCESS.2021.3108534}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCLLSPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLS21, author = {Hyunwoo Kim and Jeonghoon Kim and Jungwook Choi and Jungkeol Lee and Yong Ho Song}, title = {Binarized Encoder-Decoder Network and Binarized Deconvolution Engine for Semantic Segmentation}, journal = {{IEEE} Access}, volume = {9}, pages = {8006--8027}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048375}, doi = {10.1109/ACCESS.2020.3048375}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonLC21, author = {Hyung Jun Kwon and Jung Hoon Lee and Wan Choi}, title = {Machine Learning-Based Beamforming in K-User {MISO} Interference Channels}, journal = {{IEEE} Access}, volume = {9}, pages = {28066--28075}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3058759}, doi = {10.1109/ACCESS.2021.3058759}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwonLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCPP21, author = {Ho{-}Jun Lee and Hong Tae Choi and Sung Kyu Park and Ho{-}Hyun Park}, title = {Semi-Global Context Network for Semantic Correspondence}, journal = {{IEEE} Access}, volume = {9}, pages = {2496--2507}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3046845}, doi = {10.1109/ACCESS.2020.3046845}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKJLJCS21, author = {Gyeongyong Lee and Jaewook Kwak and Joonyong Jeong and Daeyong Lee and Moonseok Jang and Jungwook Choi and Yong Ho Song}, title = {Internal Task-Aware Command Scheduling to Improve Read Performance of Embedded Flash Storage Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {71638--71650}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3079520}, doi = {10.1109/ACCESS.2021.3079520}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKJLJCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenRRCJ21, author = {Anh Tuan Nguyen and Sang{-}Wook Ryu and Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Continuous Control Set Model Predictive Control for Three-Phase {CVCF} Inverters: Fuzzy Logic Approach}, journal = {{IEEE} Access}, volume = {9}, pages = {75158--75168}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3081718}, doi = {10.1109/ACCESS.2021.3081718}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NguyenRRCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RyuKRCJ21, author = {Sang{-}Wook Ryu and Jinuk Kim and Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {A Comparative Study on Reduced-Order Disturbance Observer-Based Optimal Control Strategies for Surface-Mounted {PMSM} Drives}, journal = {{IEEE} Access}, volume = {9}, pages = {122983--122994}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110259}, doi = {10.1109/ACCESS.2021.3110259}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RyuKRCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer}, journal = {{IEEE} Access}, volume = {9}, pages = {72316--72325}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3079988}, doi = {10.1109/ACCESS.2021.3079988}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21a, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Correction to "5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer"}, journal = {{IEEE} Access}, volume = {9}, pages = {83551}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3087874}, doi = {10.1109/ACCESS.2021.3087874}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LeeCCLCKKKK21, author = {Seunghyun Lee and Young Hun Choi and Yeon Jin Cho and Seul Bi Lee and Jung{-}Eun Cheon and Woo Sun Kim and Jaesung Ko and Jae{-}Moon Koh and Gyeong Hoon Kang}, title = {The usefulness of noninvasive liver stiffness assessment using shear-wave elastography for predicting liver fibrosis in children}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {68}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00601-8}, doi = {10.1186/S12880-021-00601-8}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/LeeCCLCKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KimLCA21, author = {Hweesoo Kim and Sunjung Lee and Jaewan Choi and Jung Ho Ahn}, title = {Row-Streaming Dataflow Using a Chaining Buffer and Systolic Array+ Structure}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {1}, pages = {34--37}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3054371}, doi = {10.1109/LCA.2021.3054371}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KimLCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/PhanTHVNPCO21, author = {Duc Tri Phan and Quoc{-}Bao Ta and Thanh{-}Canh Huynh and Tan Hung Vo and Cong Hoan Nguyen and Sumin Park and Jaeyeop Choi and Junghwan Oh}, title = {A smart {LED} therapy device with an automatic facial acne vulgaris diagnosis based on deep learning and internet of things application}, journal = {Comput. Biol. Medicine}, volume = {136}, pages = {104610}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104610}, doi = {10.1016/J.COMPBIOMED.2021.104610}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/PhanTHVNPCO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChoiAYHKP21, author = {Chanho Choi and Houngjong Ahn and Jihun Yu and Jung{-}Su Han and Su{-}Chul Kim and Young{-}Jun Park}, title = {Optimization of gear macro-geometry for reducing gear whine noise in agricultural tractor transmission}, journal = {Comput. Electron. Agric.}, volume = {188}, pages = {106358}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106358}, doi = {10.1016/J.COMPAG.2021.106358}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ChoiAYHKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/BangCHSCK21, author = {Jihoon Bang and Hyeonjin Chung and Junyeol Hong and Hyeongwook Seo and Jaehoon Choi and Sunwoo Kim}, title = {Millimeter-Wave Communications: Recent Developments and Challenges of Hardware and Beam Management Algorithms}, journal = {{IEEE} Commun. Mag.}, volume = {59}, number = {8}, pages = {86--92}, year = {2021}, url = {https://doi.org/10.1109/MCOM.001.2001010}, doi = {10.1109/MCOM.001.2001010}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/BangCHSCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimCCPYC21, author = {Tong Min Kim and Wonseo Choi and In{-}Young Choi and Sang Jun Park and Kun{-}Ho Yoon and Dong{-}Jin Chang}, title = {Semi-AI and Full-AI digitizer: The ways to digitalize visual field big data}, journal = {Comput. Methods Programs Biomed.}, volume = {207}, pages = {106168}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106168}, doi = {10.1016/J.CMPB.2021.106168}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimCCPYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/RyuKYJCPHCCCL21, author = {Susie Ryu and Jun Hong Kim and Heejin Yu and Hwi{-}Dong Jung and Suk Won Chang and Jeong Jin Park and Soonhyuk Hong and Hyung{-}Ju Cho and Yoon Jeong Choi and Jongeun Choi and Joon Sang Lee}, title = {Diagnosis of obstructive sleep apnea with prediction of flow characteristics according to airway morphology automatically extracted from medical images: Computational fluid dynamics and artificial intelligence approach}, journal = {Comput. Methods Programs Biomed.}, volume = {208}, pages = {106243}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106243}, doi = {10.1016/J.CMPB.2021.106243}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/RyuKYJCPHCCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/KimKPC21, author = {Ki{-}Ha Kim and Ji Hoon Kang and Xiaomin Pan and Jung{-}Il Choi}, title = {PaScaL{\_}TDMA: {A} library of parallel and scalable solvers for massive tridiagonal systems}, journal = {Comput. Phys. Commun.}, volume = {260}, pages = {107722}, year = {2021}, url = {https://doi.org/10.1016/j.cpc.2020.107722}, doi = {10.1016/J.CPC.2020.107722}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/KimKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/creativity/RheePOCK21, author = {Boa Rhee and Federico Pianzola and Nayea Oh and Gangta Choi and Jungho Kim}, title = {Remediating tradition with technology: a case study of From Tangible to Intangible: {A} Media Showcase of Kisa chin p'yori chinch'an uigwe}, journal = {Digit. Creativity}, volume = {32}, number = {1}, pages = {56--70}, year = {2021}, url = {https://doi.org/10.1080/14626268.2021.1876093}, doi = {10.1080/14626268.2021.1876093}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/creativity/RheePOCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LeeHC21, author = {Gyeong Ho Lee and Jaeseob Han and Jun Kyun Choi}, title = {MPdist-based missing data imputation for supporting big data analyses in IoT-based applications}, journal = {Future Gener. Comput. Syst.}, volume = {125}, pages = {421--432}, year = {2021}, url = {https://doi.org/10.1016/j.future.2021.06.042}, doi = {10.1016/J.FUTURE.2021.06.042}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LeeHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiJJ21, author = {Seoung{-}Ho Choi and Seoung Yeon Jo and Sung Hoon Jung}, title = {Component based comparative analysis of each module in image captioning}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {121--125}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2020.08.004}, doi = {10.1016/J.ICTE.2020.08.004}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiSJPKCJK21, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack and Min Wook Kang and Yun Won Chung and Hyungjun Joo and Kyungran Kang}, title = {Design and implementation of integrated tactical mobility testbed}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.01.011}, doi = {10.1016/J.ICTE.2021.01.011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiSJPKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdar/KoHSC21, author = {Debbie Honghee Ko and Ammar Ul Hassan and Jungjae Suk and Jaeyoung Choi}, title = {SKFont: skeleton-driven Korean font generator with conditional deep adversarial networks}, journal = {Int. J. Document Anal. Recognit.}, volume = {24}, number = {4}, pages = {325--337}, year = {2021}, url = {https://doi.org/10.1007/s10032-021-00374-4}, doi = {10.1007/S10032-021-00374-4}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdar/KoHSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeBC21, author = {Kisong Lee and Junseong Bang and Hyun{-}Ho Choi}, title = {Secrecy Outage Minimization for Wireless-Powered Relay Networks With Destination-Assisted Cooperative Jamming}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {3}, pages = {1467--1476}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3013573}, doi = {10.1109/JIOT.2020.3013573}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeLPC21, author = {Sanghyun Lee and Joohyung Lee and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Fair and Scalable Relay Control Scheme for Internet of Things in LoRa-Based Low-Power Wide-Area Networks}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {7}, pages = {5985--6001}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3034185}, doi = {10.1109/JIOT.2020.3034185}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeLPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/KimKLJKLCLY21, author = {Jaewon Kim and Sungsik Kang and Konsu Lee and Jin Ho Jung and Garam Kim and Hyunkeong Lim and Yong Choi and Sangwon Lee and Mijin Yun}, title = {Effect of Scan Time on Neuro 18F-Fluorodeoxyglucose Positron Emission Tomography Image Generated Using Deep Learning}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {3}, pages = {681--687}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3316}, doi = {10.1166/JMIHI.2021.3316}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/KimKLJKLCLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimJLPMHPLKHJLR21, author = {Yoo Jung Kim and Hyungjoon Jang and Kyoungbun Lee and Seongkeun Park and Sung{-}Gyu Min and Choyeon Hong and Jeong Hwan Park and Kanggeun Lee and Jisoo Kim and Wonjae Hong and Hyun Jung and Yanling Liu and Haran Rajkumar and Mahendra Khened and Ganapathy Krishnamurthi and Sen Yang and Xiyue Wang and Chang Hee Han and Jinwook Choi}, title = {{PAIP} 2019: Liver cancer segmentation challenge}, journal = {Medical Image Anal.}, volume = {67}, pages = {101854}, year = {2021}, url = {https://doi.org/10.1016/j.media.2020.101854}, doi = {10.1016/J.MEDIA.2020.101854}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimJLPMHPLKHJLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/LvQHC21, author = {Zhihan Lv and Liang Qiao and M. Shamim Hossain and Bong Jun Choi}, title = {Analysis of Using Blockchain to Protect the Privacy of Drone Big Data}, journal = {{IEEE} Netw.}, volume = {35}, number = {1}, pages = {44--49}, year = {2021}, url = {https://doi.org/10.1109/MNET.011.2000154}, doi = {10.1109/MNET.011.2000154}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/LvQHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuiMZAABBBBBBBB21, author = {Steve C. N. Hui and Mark Mikkelsen and Helge J. Z{\"{o}}llner and Vishwadeep Ahluwalia and Sarael Alcauter and Laima Baltusis and Deborah A. Barany and Laura R. Barlow and Robert Becker and Jeffrey I. Berman and Adam Berrington and Pallab K. Bhattacharyya and Jakob Udby Blicher and Wolfgang Bogner and Mark S. Brown and Vince D. Calhoun and Ryan Castillo and Kim M. Cecil and Richard A. E. Edden and Yeo Bi Choi and Winnie C. W. Chu and William T. Clarke and Alexander R. Craven and Koen Cuypers and Michael Dacko and Camilo de la Fuente{-}Sandoval and Patricia Desmond and Aleksandra Domagalik and Julien Dumont and Niall W. Duncan and Ulrike Dydak and Katherine Dyke and David A. Edmondson and Gabriele Ende and Lars Ersland and C. John Evans and Alan S. R. Fermin and Antonio Ferretti and Ariane Fillmer and Tao Gong and Ian Greenhouse and James T. Grist and Meng Gu and Ashley D. Harris and Katarzyna Hat and Stefanie Heba and Eva Heckova and John P. Hegarty and Kirstin{-}Friederike Heise and Shiori Honda and Aaron Jacobson and Jacobus F. A. Jansen and Christopher W. Jenkins and Stephen J. Johnston and Christoph Juchem and Alayar Kangarlu and Adam B. Kerr and Karl Landheer and Thomas Lange and Phil Lee and Swati Rane Levendovszky and Catherine Limperopoulos and Feng Liu and William Lloyd and David J. Lythgoe and Maro G. Machizawa and Erin L. MacMillan and Richard J. Maddock and Andrei V. Manzhurtsev and Mar{\'{\i}}a L. Martinez{-}Gudino and Jack J. Miller and Heline Mirzakhanian and Marta Moreno{-}Ortega and Paul G. Mullins and Shinichiro Nakajima and Jamie Near and Ralph Noeske and Wibeke Nordh{\o}y and Georg Oeltzschner and Raul Osorio{-}Duran and Mar{\'{\i}}a Concepci{\'{o}}n Garc{\'{\i}}a Otaduy and Erick H. Pasaye and Ronald Peeters and Scott J. Peltier and Ulrich Pilatus and Nenad Polomac and Eric C. Porges and Subechhya Pradhan and James Joseph Prisciandaro and Nicolaas A. Puts and Caroline D. Rae and Francisco Reyes{-}Madrigal and Timothy P. L. Roberts and Caroline E. Robertson and Jens T. Rosenberg and Diana{-}Georgiana Rotaru and Ruth L. O'Gorman Tuura and Muhammad G. Saleh and Kristian Sandberg and Ryan Sangill and Keith Schembri and Anouk Schrantee and Natalia A. Semenova and Debra Singel and Rouslan Sitnikov and Jolinda Smith and Yulu Song and Craig E. L. Stark and Diederick Stoffers and Stephan P. Swinnen and Rongwen Tain and Costin Tanase and Sofie Tapper and Martin Tegenthoff and Thomas Thiel and Marc Thioux and Peter Truong and Pim van Dijk and Nolan Vella and Rishma Vidyasagar and Andrej Vovk and Guangbin Wang and Lars T. Westlye and Timothy K. Wilbur and William R. Willoughby and Martin Wilson and Hans{-}J{\"{o}}rg Wittsack and Adam J. Woods and Yen{-}Chien Wu and Junqian Xu and Maria Yanez Lopez and David Ka Wai Yeung and Qun Zhao and Xiaopeng Zhou and Gasper Zupan}, title = {Frequency drift in {MR} spectroscopy at 3T}, journal = {NeuroImage}, volume = {241}, pages = {118430}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118430}, doi = {10.1016/J.NEUROIMAGE.2021.118430}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuiMZAABBBBBBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimZHWCL21, author = {Jung{-}Hoon Kim and Yizhen Zhang and Kuan Han and Zheyu Wen and Minkyu Choi and Zhongming Liu}, title = {Representation learning of resting state fMRI with variational autoencoder}, journal = {NeuroImage}, volume = {241}, pages = {118423}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118423}, doi = {10.1016/J.NEUROIMAGE.2021.118423}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KimZHWCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShinLYYJONJKFKC21, author = {Hyeong{-}Geol Shin and Jingu Lee and Young Hyun Yun and Seong Ho Yoo and Jinhee Jang and Se{-}Hong Oh and Yoonho Nam and Sehoon Jung and Sunhye Kim and Masaki Fukunaga and Woojun Kim and Hyung Jin Choi and Jongho Lee}, title = {{\(\chi\)}-separation: Magnetic susceptibility source separation toward iron and myelin mapping in the brain}, journal = {NeuroImage}, volume = {240}, pages = {118371}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118371}, doi = {10.1016/J.NEUROIMAGE.2021.118371}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ShinLYYJONJKFKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KangBCKHLPYYCYC21, author = {Si{-}Hyuck Kang and Hyunyoung Baek and Jihoon Cho and Seok Kim and Hee Hwang and Wonjae Lee and Jin Joo Park and Yeonyee E. Yoon and Chang{-}Hwan Yoon and Young{-}Seok Cho and Tae{-}Jin Youn and Goo{-}Yeong Cho and In{-}Ho Chae and Dong{-}Ju Choi and Sooyoung Yoo and Jung{-}Won Suh}, title = {Management of cardiovascular disease using an mHealth tool: a randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00535-z}, doi = {10.1038/S41746-021-00535-Z}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KangBCKHLPYYCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pomacs/ShinCYO21, author = {Suho Shin and Hoyong Choi and Yung Yi and Jungseul Ok}, title = {Power of Bonus in Pricing for Crowdsourcing}, journal = {Proc. {ACM} Meas. Anal. Comput. Syst.}, volume = {5}, number = {3}, pages = {36:1--36:25}, year = {2021}, url = {https://doi.org/10.1145/3491048}, doi = {10.1145/3491048}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pomacs/ShinCYO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HwangC21, author = {Junsun Hwang and Hongsoo Choi}, title = {Neutrobots smuggle drugs across biological barriers}, journal = {Sci. Robotics}, volume = {6}, number = {52}, pages = {0286}, year = {2021}, url = {https://doi.org/10.1126/scirobotics.abh0286}, doi = {10.1126/SCIROBOTICS.ABH0286}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/HwangC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKHBPS21, author = {Yoonjo Choi and Namhun Kim and Seunghwan Hong and Junsu Bae and Ilsuk Park and Hong{-}Gyoo Sohn}, title = {Critical Image Identification via Incident-Type Definition Using Smartphone Data during an Emergency: {A} Case Study of the 2020 Heavy Rainfall Event in Korea}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3562}, year = {2021}, url = {https://doi.org/10.3390/s21103562}, doi = {10.3390/S21103562}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKHBPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLKYP21, author = {Hong Tae Choi and Ho{-}Jun Lee and Hoon Kang and Sungwook Yu and Ho{-}Hyun Park}, title = {{SSD-EMB:} An Improved {SSD} Using Enhanced Feature Map Block for Object Detection}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2842}, year = {2021}, url = {https://doi.org/10.3390/s21082842}, doi = {10.3390/S21082842}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLKYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiPWP21, author = {Kyungjun Choi and Seong Jun Park and Mooncheol Won and Cheol Hoon Park}, title = {Soft Inductive Coil Spring Strain Sensor Integrated with {SMA} Spring Bundle Actuator}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2304}, year = {2021}, url = {https://doi.org/10.3390/s21072304}, doi = {10.3390/S21072304}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiPWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiSPKK21, author = {Yae Rim Choi and Jaewon Shim and Jae{-}Ho Park and Young{-}Suk Kim and Min Jung Kim}, title = {Discovery of Orphan Olfactory Receptor 6M1 as a New Anticancer Target in {MCF-7} Cells by a Combination of Surface Plasmon Resonance-Based and Cell-Based Systems}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3468}, year = {2021}, url = {https://doi.org/10.3390/s21103468}, doi = {10.3390/S21103468}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiSPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ImHRLCJK21, author = {Sanghyuk Im and Jonghwan Hyeon and Eunyoung Rha and Janghyeon Lee and Ho{-}Jin Choi and Yuchae Jung and Tae{-}Jung Kim}, title = {Classification of Diffuse Glioma Subtype from Clinical-Grade Pathological Images Using Deep Transfer Learning}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3500}, year = {2021}, url = {https://doi.org/10.3390/s21103500}, doi = {10.3390/S21103500}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ImHRLCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongCPPCK21, author = {Heeyeon Jeong and Kyungjun Choi and Seong Jun Park and Cheol Hoon Park and Hyouk Ryeol Choi and Uikyum Kim}, title = {Rugged and Compact Three-Axis Force/Torque Sensor for Wearable Robots}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2770}, year = {2021}, url = {https://doi.org/10.3390/s21082770}, doi = {10.3390/S21082770}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongCPPCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoPJJHCP21, author = {Hyeong Geun Jo and Beom Hoon Park and Do Yeong Joung and Jung Ki Jo and Jeong{-}Kyu Hoh and Won Young Choi and Kwan Kyu Park}, title = {Forward-Looking Ultrasound Wearable Scanner System for Estimation of Urinary Bladder Volume}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5445}, year = {2021}, url = {https://doi.org/10.3390/s21165445}, doi = {10.3390/S21165445}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoPJJHCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangCK21, author = {Hosung Kang and Hojong Choi and Jungsuk Kim}, title = {Ambient Light Rejection Integrated Circuit for Autonomous Adaptation on a Sub-Retinal Prosthetic System}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5638}, year = {2021}, url = {https://doi.org/10.3390/s21165638}, doi = {10.3390/S21165638}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KhacC0J21, author = {Cuong Nguyen Khac and Yeongyu Choi and Ju H. Park and Ho{-}Youl Jung}, title = {A Robust Road Vanishing Point Detection Adapted to the Real-world Driving Scenes}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2133}, year = {2021}, url = {https://doi.org/10.3390/s21062133}, doi = {10.3390/S21062133}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KhacC0J21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCJC21, author = {Sunhyo Kim and Sungho Cho and Seom{-}Kyu Jung and Jee Woong Choi}, title = {Passive Source Localization Using Acoustic Intensity in Multipath-Dominant Shallow-Water Waveguide}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2198}, year = {2021}, url = {https://doi.org/10.3390/s21062198}, doi = {10.3390/S21062198}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKBACPCSKKSKP21, author = {Hyung{-}Mo Kim and Jaehi Kim and Sungje Bock and Jaehyun An and Yun{-}Sik Choi and Xuan{-}Hung Pham and Myeong Geun Cha and Bomi Seong and Wooyeon Kim and Yoon{-}Hee Kim and Hobeom Song and Jung{-}Won Kim and Seung{-}min Park and Sang Hun Lee and Won{-}Yeop Rho and Sangchul Lee and Dae Hong Jeong and Ho{-}Young Lee and Bong{-}Hyun Jun}, title = {Silver-Assembled Silica Nanoparticles in Lateral Flow Immunoassay for Visual Inspection of Prostate-Specific Antigen}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4099}, year = {2021}, url = {https://doi.org/10.3390/s21124099}, doi = {10.3390/S21124099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKBACPCSKKSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCK21, author = {Jungsuk Kim and Jungbeom Ko and Hojong Choi and Hyunchul Kim}, title = {Printed Circuit Board Defect Detection Using Deep Learning via {A} Skip-Connected Convolutional Autoencoder}, journal = {Sensors}, volume = {21}, number = {15}, pages = {4968}, year = {2021}, url = {https://doi.org/10.3390/s21154968}, doi = {10.3390/S21154968}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimNKYPSKLHYJC21, author = {Bobae Kim and Uk{-}Won Nam and Sunghwan Kim and Sukwon Youn and Won{-}Kee Park and Jongdae Sohn and Hong Joo Kim and Seh{-}Wook Lee and Junga Hwang and Sung{-}Joon Ye and Insoo Jun and Young{-}Jun Choi}, title = {A Study on the Performance of a Silicon Photodiode Sensor for a Particle Dosimeter and Spectrometer}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8029}, year = {2021}, url = {https://doi.org/10.3390/s21238029}, doi = {10.3390/S21238029}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimNKYPSKLHYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaiYPKCKKML21, author = {Thi Thao Mai and Su Woong Yoo and Suhyun Park and Jin Young Kim and Kang{-}Ho Choi and Chulhong Kim and Seong Young Kwon and Jung{-}Joon Min and Changho Lee}, title = {In Vivo Quantitative Vasculature Segmentation and Assessment for Photodynamic Therapy Process Monitoring Using Photoacoustic Microscopy}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1776}, year = {2021}, url = {https://doi.org/10.3390/s21051776}, doi = {10.3390/S21051776}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MaiYPKCKKML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NohCHP21, author = {Kyoung Jun Noh and Jiho Choi and Jin Seong Hong and Kang Ryoung Park}, title = {Finger-Vein Recognition Using Heterogeneous Databases by Domain Adaption Based on a Cycle-Consistent Adversarial Network}, journal = {Sensors}, volume = {21}, number = {2}, pages = {524}, year = {2021}, url = {https://doi.org/10.3390/s21020524}, doi = {10.3390/S21020524}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/NohCHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCAYPKHJL21, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Seyed Ali Hosseini Asl and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A Low-Band Multi-Gain {LNA} Design for Diversity Receive Module with 1.2 dB {NF}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8340}, year = {2021}, url = {https://doi.org/10.3390/s21248340}, doi = {10.3390/S21248340}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCAYPKHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoCLK21, author = {Jeong{-}Woo Seo and Jungmi Choi and Kun Ho Lee and Jaeuk U. Kim}, title = {Age-Related Changes in the Characteristics of the Elderly Females Using the Signal Features of an Earlobe Photoplethysmogram}, journal = {Sensors}, volume = {21}, number = {23}, pages = {7782}, year = {2021}, url = {https://doi.org/10.3390/s21237782}, doi = {10.3390/S21237782}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SeoCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinKLYC21, author = {Hong{-}Gi Shin and Hyung{-}Jung Kim and Sang{-}Wook Lee and Hyun{-}Goo Yoon and Yong{-}Hoon Choi}, title = {Calculation of AeroMACS Spectrum Requirements Based on Traffic Simulator}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3343}, year = {2021}, url = {https://doi.org/10.3390/s21103343}, doi = {10.3390/S21103343}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinKLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/UllahPKKPCY21, author = {Muhammad Nasir Ullah and Yuseung Park and Gyeong Beom Kim and Chanho Kim and Chansun Park and Hojong Choi and Jung{-}Yeol Yeom}, title = {Simultaneous Acquisition of Ultrasound and Gamma Signals with a Single-Channel Readout}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1048}, year = {2021}, url = {https://doi.org/10.3390/s21041048}, doi = {10.3390/S21041048}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/UllahPKKPCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/MasoodPJYJC21, author = {Zaki Masood and Hosung Park and Han Seung Jang and Sunyong Yoo and Sokhee P. Jung and Yonghoon Choi}, title = {Optimal Power Allocation for Maximizing Energy Efficiency in DAS-Based IoT Network}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {2}, pages = {2342--2348}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2020.3013693}, doi = {10.1109/JSYST.2020.3013693}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/MasoodPJYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/KangCCLK21, author = {Ki{-}Bong Kang and Jae{-}Ho Choi and Byung{-}Lae Cho and Jung{-}Soo Lee and Kyung{-}Tae Kim}, title = {Analysis of Micro-Doppler Signatures of Small UAVs Based on Doppler Spectrum}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {57}, number = {5}, pages = {3252--3267}, year = {2021}, url = {https://doi.org/10.1109/TAES.2021.3074208}, doi = {10.1109/TAES.2021.3074208}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/KangCCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChoiASKJ21, author = {Sara Choi and Hong Keun Ahn and Byungkyu Song and Seung{-}Hyuk Kang and Seong{-}Ook Jung}, title = {Self-Referenced Single-Ended Resistance Monitoring Write Termination Scheme for {STT-RAM} Write Energy Reduction}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {6}, pages = {2481--2493}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3069710}, doi = {10.1109/TCSI.2021.3069710}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/ChoiASKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LimKSC21, author = {Sun Hong Lim and Sunwoo Kim and Byonghyo Shim and Jun Won Choi}, title = {Deep Learning-Based Beam Tracking for Millimeter-Wave Communications Under Mobility}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {11}, pages = {7458--7469}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2021.3107526}, doi = {10.1109/TCOMM.2021.3107526}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/LimKSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/BasitCJ21, author = {Bilal Abdul Basit and Han Ho Choi and Jin{-}Woo Jung}, title = {An Online Torque Ripple Minimization Technique for {IPMSM} Drives: Fuzzy System-Based d-Axis Current Design Approach}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {12}, pages = {11794--11805}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3044807}, doi = {10.1109/TIE.2020.3044807}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/BasitCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/HongKCLC21, author = {Seungwan Hong and Seunghong Kim and Jiheon Choi and Younho Lee and Jung Hee Cheon}, title = {Efficient Sorting of Homomorphic Encrypted Data With k-Way Sorting Network}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {16}, pages = {4389--4404}, year = {2021}, url = {https://doi.org/10.1109/TIFS.2021.3106167}, doi = {10.1109/TIFS.2021.3106167}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/HongKCLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/MohammedCJ21, author = {Sadeq Ali Qasem Mohammed and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Iterative Learning Direct Torque Control for Torque Ripple Minimization of Surface-Mounted Permanent Magnet Synchronous Motor Drives}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {11}, pages = {7291--7303}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3053700}, doi = {10.1109/TII.2021.3053700}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/MohammedCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/RehmanCJ21, author = {Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {An Optimal Direct Torque Control Strategy for Surface-Mounted Permanent Magnet Synchronous Motor Drives}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {11}, pages = {7390--7400}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3053107}, doi = {10.1109/TII.2021.3053107}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/RehmanCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/JiJONCSSJL21, author = {Sooyeon Ji and Jinhee Jeong and Se{-}Hong Oh and Yoonho Nam and Seung Hong Choi and Hyeong{-}Geol Shin and Dongmyung Shin and Woojin Jung and Jongho Lee}, title = {Quad-Contrast Imaging: Simultaneous Acquisition of Four Contrast-Weighted Images (PD-Weighted, T{\unicode{8322}}-Weighted, {PD-FLAIR} and T{\unicode{8322}}-FLAIR Images) With Synthetic T{\unicode{8321}}-Weighted Image, T{\unicode{8321}}- and T{\unicode{8322}}-Maps}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {12}, pages = {3617--3626}, year = {2021}, url = {https://doi.org/10.1109/TMI.2021.3093617}, doi = {10.1109/TMI.2021.3093617}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/JiJONCSSJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/HongCJ21, author = {Sumin Hong and Junyoung Choi and Won{-}Ki Jeong}, title = {Distributed Interactive Visualization Using GPU-Optimized Spark}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {27}, number = {9}, pages = {3670--3684}, year = {2021}, url = {https://doi.org/10.1109/TVCG.2020.2990894}, doi = {10.1109/TVCG.2020.2990894}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/HongCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/SonLC21, author = {Kyungrak Son and Jung Hoon Lee and Wan Choi}, title = {Wireless Index Coded Transmission by Spatial Multiplexing With Multiple Antennas}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {5}, pages = {5104--5108}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3072537}, doi = {10.1109/TVT.2021.3072537}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/SonLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/ChoiML21, author = {Hyun{-}Ho Choi and Sengly Muy and Jung{-}Ryun Lee}, title = {Geometric Analysis-Based Cluster Head Selection for Sectorized Wireless Powered Sensor Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {3}, pages = {649--653}, year = {2021}, url = {https://doi.org/10.1109/LWC.2020.3044902}, doi = {10.1109/LWC.2020.3044902}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wcl/ChoiML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/HongKCC21, author = {Sung Hyuck Hong and Sucheol Kim and Junil Choi and Wan Choi}, title = {Polar-Cap Codebook Design for {MISO} Rician Fading Channels With Limited Feedback}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {4}, pages = {730--734}, year = {2021}, url = {https://doi.org/10.1109/LWC.2020.3041941}, doi = {10.1109/LWC.2020.3041941}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/HongKCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/SeoLHNPC21, author = {Yong{-}Jun Seo and Joohyung Lee and Jungyeon Hwang and Dusit Niyato and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Joint Mobile Cache and Power Management Scheme for Energy-Efficient Mobile Augmented Reality Service in Mobile Edge Computing}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {5}, pages = {1061--1065}, year = {2021}, url = {https://doi.org/10.1109/LWC.2021.3057114}, doi = {10.1109/LWC.2021.3057114}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/SeoLHNPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoiOHSJLZ21, author = {Seongho Choi and Kyoung{-}Woon On and Yu{-}Jung Heo and Ahjeong Seo and Youwon Jang and Min Su Lee and Byoung{-}Tak Zhang}, title = {DramaQA: Character-Centered Video Story Understanding with Hierarchical {QA}}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {1166--1174}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i2.16203}, doi = {10.1609/AAAI.V35I2.16203}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/ChoiOHSJLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0001SCSKLH21, author = {Yejin Lee and Seong Hoon Seo and Hyunji Choi and Hyoung Uk Sul and Soosung Kim and Jae W. Lee and Tae Jun Ham}, editor = {Tim Sherwood and Emery D. Berger and Christos Kozyrakis}, title = {{MERCI:} efficient embedding reduction on commodity hardware via sub-query memoization}, booktitle = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021}, pages = {302--313}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3445814.3446717}, doi = {10.1145/3445814.3446717}, timestamp = {Sat, 30 Sep 2023 09:34:47 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0001SCSKLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JinBCYPKCCKL21, author = {Hyungmin Jin and Jindo Byun and Hyunyoon Cho and Hojun Yoon and Jin{-}Hee Park and Kyoungsoo Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 24Gb/s/pin {PAM-4} Built Out Tester chip enabling {PAM-4} chips test with {NRZ} interface {ATE}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634750}, doi = {10.1109/A-SSCC53895.2021.9634750}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JinBCYPKCCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/OhHSCKK21, author = {Ghil{-}Geun Oh and Min{-}Hye Ho and Yeon{-}Jung Shin and Jaewook Choi and Ju{-}Youn Kim and Young{-}Dae Kim}, title = {Dynamic Voltage Stress Sensing Circuits for Screening Out Early Device Reliability Issues in Advanced Technology Nodes}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634812}, doi = {10.1109/A-SSCC53895.2021.9634812}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/OhHSCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcicts/TannertGB0CCSJF21, author = {Tobias Tannert and Markus Gr{\"{o}}zing and Manfred Berroth and Christian Schmidt and Jung Han Choi and Christoph Caspar and Jonathan Schostak and Volker Jungnickel and Ronald Freund and Holger R{\"{u}}cker}, title = {Analog 2: 1 Multiplexer with over 110 GHz Bandwidth in SiGe BiCMOS Technology}, booktitle = {{IEEE} BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, {BCICTS} 2021, Monterey, CA, USA, December 5-8, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCICTS50416.2021.9682492}, doi = {10.1109/BCICTS50416.2021.9682492}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcicts/TannertGB0CCSJF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeC21a, author = {Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Herwig Unger and Jinho Kim and U Kang and Chakchai So{-}In and Junping Du and Walid Saad and Young{-}Guk Ha and Christian Wagner and Julien Bourgeois and Chanboon Sathitwiriyawong and Hyuk{-}Yoon Kwon and Carson K. Leung}, title = {Comparative Study of Emotion Annotation Approaches in Korean Dialogue}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2021, Jeju Island, South Korea, January 17-20, 2021}, pages = {354--357}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigComp51126.2021.00077}, doi = {10.1109/BIGCOMP51126.2021.00077}, timestamp = {Thu, 15 Jul 2021 15:42:38 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimCKYU21, author = {Hyunsu Kim and Yunjey Choi and Junho Kim and Sungjoo Yoo and Youngjung Uh}, title = {Exploiting Spatial Dimensions of Latent in {GAN} for Real-Time Image Editing}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {852--861}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Kim\_Exploiting\_Spatial\_Dimensions\_of\_Latent\_in\_GAN\_for\_Real-Time\_Image\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00091}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimCKYU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/GirdharHKLC21, author = {Mansi Girdhar and Junho Hong and Ramya Karnati and Soonwoo Lee and Sungsoo Choi}, title = {Cybersecurity of Process Bus Network in Digital Substations}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369743}, doi = {10.1109/ICEIC51217.2021.9369743}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/GirdharHKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YoonJPBJCKLCCCK21, author = {Hojun Yoon and Wonjoo Jung and Jaewoo Park and Jindo Byun and Hyungmin Jin and Hyunyoon Cho and Youngmin Kim and Baek{-}Jin Lim and Young{-}Chul Cho and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Changsik Yoo and Sang{-}Hyun Lee}, title = {A 3.2-12.8Gb/s Duty-Cycle Compensating Quadrature Error Corrector for {DRAM} Interfaces, With Fast Locking and Low Power Characteristics}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {463--466}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567848}, doi = {10.1109/ESSCIRC53450.2021.9567848}, timestamp = {Thu, 28 Oct 2021 16:11:37 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YoonJPBJCKLCCCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HongC21, author = {Sung Hyuck Hong and Junil Choi}, title = {Phase Shift Design for Intelligent Reflecting Surface Aided mmWave {MIMO} Systems}, booktitle = {{IEEE} Globecom 2021 Workshops, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GCWkshps52748.2021.9682115}, doi = {10.1109/GCWKSHPS52748.2021.9682115}, timestamp = {Sun, 30 Jan 2022 17:54:17 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HongC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimJJL021, author = {Sun{-}Hyun Kim and Byoung Hoon Jung and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{ODC:} Orchestration for Dynamic Coupling between Satellites and Cells via Reinforcement Learning}, booktitle = {{IEEE} Globecom 2021 Workshops, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GCWkshps52748.2021.9681948}, doi = {10.1109/GCWKSHPS52748.2021.9681948}, timestamp = {Sun, 30 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KimJJL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChoiLC21, author = {Hong Jun Choi and Hyuk Lee and Jin{-}Young Choi}, title = {Is a False Positive really False Positive?}, booktitle = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, pages = {145--149}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ICACT51234.2021.9370442}, doi = {10.23919/ICACT51234.2021.9370442}, timestamp = {Fri, 18 Mar 2022 11:48:11 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChoiLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeLKJKHLWKJLLC21, author = {Taewoo Lee and Min{-}Joong Lee and Tae Gyoon Kang and Seokyeoung Jung and Minseok Kwon and Yeona Hong and Jungin Lee and Kyoung{-}Gu Woo and Ho{-}Gyeong Kim and Jiseung Jeong and Jihyun Lee and Hosik Lee and Young Sang Choi}, title = {Adaptable Multi-Domain Language Model for Transformer {ASR}}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {7358--7362}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413475}, doi = {10.1109/ICASSP39728.2021.9413475}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeLKJKHLWKJLLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HwangKCL21, author = {Jaehui Hwang and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Just One Moment: Structural Vulnerability of Deep Action Recognition against One Frame Attack}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {7648--7656}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00757}, doi = {10.1109/ICCV48922.2021.00757}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HwangKCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimCJ021, author = {Junho Kim and Changwoon Choi and Hojun Jang and Young Min Kim}, title = {{PICCOLO:} Point Cloud-Centric Omnidirectional Localization}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {3293--3303}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00330}, doi = {10.1109/ICCV48922.2021.00330}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KimCJ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/WonLCJ21, author = {Dae{-}Yeon Won and YoungJun Lee and Ho{-}Jin Choi and Yuchae Jung}, title = {Contrastive Representations Pre-Training for Enhanced Discharge Summary {BERT}}, booktitle = {9th {IEEE} International Conference on Healthcare Informatics, {ICHI} 2021, Victoria, BC, Canada, August 9-12, 2021}, pages = {507--508}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHI52183.2021.00093}, doi = {10.1109/ICHI52183.2021.00093}, timestamp = {Wed, 20 Oct 2021 16:44:24 +0200}, biburl = {https://dblp.org/rec/conf/ichi/WonLCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChungKNWCK21, author = {Hee{-}Sang Chung and Junhyeong Kim and Gosan Noh and Seok Ho Won and Taesang Choi and Ilgyu Kim}, title = {Demonstration of Service Continuity Based on Multi-Connectivity with Cellular and Satellite Access Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1400--1402}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621102}, doi = {10.1109/ICTC52510.2021.9621102}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChungKNWCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/PandeyCYH21, author = {Suman Pandey and Mi{-}Jung Choi and Jae{-}Hyung Yoo and James Won{-}Ki Hong}, editor = {Toufik Ahmed and Olivier Festor and Yacine Ghamri{-}Doudane and Joon{-}Myung Kang and Alberto E. Schaeffer Filho and Abdelkader Lahmadi and Edmundo R. M. Madeira}, title = {Streaming Pattern Based Feature Extraction for Training Neural Network Classifier to Predict Quality of {VOD} services}, booktitle = {17th {IFIP/IEEE} International Symposium on Integrated Network Management, {IM} 2021, Bordeaux, France, May 17-21, 2021}, pages = {551--557}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9463989}, timestamp = {Mon, 05 Jul 2021 08:04:03 +0200}, biburl = {https://dblp.org/rec/conf/im/PandeyCYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/Ham0SKCJL21, author = {Tae Jun Ham and Yejin Lee and Seong Hoon Seo and Soosung Kim and Hyunji Choi and Sung Jun Jung and Jae W. Lee}, title = {{ELSA:} Hardware-Software Co-design for Efficient, Lightweight Self-Attention Mechanism in Neural Networks}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {692--705}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00060}, doi = {10.1109/ISCA52012.2021.00060}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/Ham0SKCJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JangLKPACKKYAPL21, author = {Jun{-}Woo Jang and Sehwan Lee and Dongyoung Kim and Hyunsun Park and Ali Shafiee Ardestani and Yeongjae Choi and Channoh Kim and Yoojin Kim and Hyeongseok Yu and Hamzah Abdel{-}Aziz and Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Myeong Woo Kim and Hanwoong Jung and Heewoo Nam and Dongguen Lim and Seungwon Lee and Joon{-}Ho Song and Suknam Kwon and Joseph Hassoun and Sukhwan Lim and Changkyu Choi}, title = {Sparsity-Aware and Re-configurable {NPU} Architecture for Samsung Flagship Mobile SoC}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {15--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00011}, doi = {10.1109/ISCA52012.2021.00011}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/JangLKPACKKYAPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VenkataramaniSW21, author = {Swagath Venkataramani and Vijayalakshmi Srinivasan and Wei Wang and Sanchari Sen and Jintao Zhang and Ankur Agrawal and Monodeep Kar and Shubham Jain and Alberto Mannari and Hoang Tran and Yulong Li and Eri Ogawa and Kazuaki Ishizaki and Hiroshi Inoue and Marcel Schaal and Mauricio J. Serrano and Jungwook Choi and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Allison Allain and James Bonanno and Nianzheng Cao and Robert Casatuta and Matthew Cohen and Bruce M. Fleischer and Michael Guillorn and Howard Haynie and Jinwook Jung and Mingu Kang and Kyu{-}Hyoun Kim and Siyu Koswatta and Sae Kyu Lee and Martin Lutz and Silvia M. Mueller and Jinwook Oh and Ashish Ranjan and Zhibin Ren and Scot Rider and Kerstin Schelm and Michael Scheuermann and Joel Silberman and Jie Yang and Vidhi Zalani and Xin Zhang and Ching Zhou and Matthew M. Ziegler and Vinay Shah and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {RaPiD: {AI} Accelerator for Ultra-low Precision Training and Inference}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {153--166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00021}, doi = {10.1109/ISCA52012.2021.00021}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/VenkataramaniSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HyunKCM21, author = {Jongkil Hyun and Junghwan Kim and Cheol{-}Ho Choi and Byungin Moon}, title = {Hardware Architecture of a Haar Classifier Based Face Detection System Using a Skip Scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401114}, doi = {10.1109/ISCAS51556.2021.9401114}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HyunKCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiRLPLHJ21, author = {Hong{-}Seok Choi and Seungha Roh and Sanghee Lee and Jung{-}Hoon Park and Kwanghoon Lee and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {A 6b 48-GS/s Asynchronous 2b/cycle Time-Interleaved {ADC} in 28-nm {CMOS}}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {127--128}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613967}, doi = {10.1109/ISOCC53507.2021.9613967}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiRLPLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JinKCLRCK21, author = {Junghoon Jin and Seungjun Kim and Sunguk Choi and Pil{-}Ho Lee and Sang{-}jae Rhee and Ki{-}hwan Choi and Jongsun Kim}, title = {A 7.68 GHz Fast-Lock Low-Jitter Digital {MDLL}}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {311--312}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613940}, doi = {10.1109/ISOCC53507.2021.9613940}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JinKCLRCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/YangKCC21, author = {Jin Ho Yang and Dae Jung Kim and Woo Young Choi and Chung Choo Chung}, title = {{SAFE-STOP} System: Tactical Intention Awareness Based Emergency Collision Avoidance for Malicious Cut-in of Surrounding Vehicle}, booktitle = {24th {IEEE} International Intelligent Transportation Systems Conference, {ITSC} 2021, Indianapolis, IN, USA, September 19-22, 2021}, pages = {1533--1540}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITSC48978.2021.9564909}, doi = {10.1109/ITSC48978.2021.9564909}, timestamp = {Wed, 03 Nov 2021 08:38:45 +0100}, biburl = {https://dblp.org/rec/conf/itsc/YangKCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/ChoiJK21, author = {Yeunju Choi and Youngmoon Jung and Hoirin Kim}, title = {Neural {MOS} Prediction for Synthesized Speech Using Multi-Task Learning with Spoofing Detection and Spoofing Type Classification}, booktitle = {{IEEE} Spoken Language Technology Workshop, {SLT} 2021, Shenzhen, China, January 19-22, 2021}, pages = {462--469}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SLT48900.2021.9383533}, doi = {10.1109/SLT48900.2021.9383533}, timestamp = {Thu, 08 Apr 2021 14:52:59 +0200}, biburl = {https://dblp.org/rec/conf/slt/ChoiJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanCKL21, author = {Jong{-}Wook Han and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, title = {Edge Attention Network for Image Deblurring and Super-Resolution}, booktitle = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, pages = {2401--2406}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SMC52423.2021.9658863}, doi = {10.1109/SMC52423.2021.9658863}, timestamp = {Tue, 11 Jan 2022 08:26:14 +0100}, biburl = {https://dblp.org/rec/conf/smc/HanCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKCLCLKLSCS21, author = {Kiseo Kang and ByungJun Kim and Gahyun Choi and Sun{-}Kyung Lee and Jisoo Choi and Jaeho Lee and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Yonuk Chong and Jae{-}Yoon Sim}, title = {A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492343}, doi = {10.23919/VLSICIRCUITS52068.2021.9492343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKCLCLKLSCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShimLPCYJLKLBKC21, author = {Eun Sub Shim and Kyungho Lee and Junghyung Pyo and Wooseok Choi and Jungbin Yun and Taesub Jung and Kyungduck Lee and Seyoung Kim and Chanhee Lee and Seungki Baek and Hyuncheol Kim and Sungsoo Choi and Junseok Yang and Kyoungmok Son and Jongwon Choi and Howoo Park and Bumsuk Kim and JungChak Ahn and Duckhyun Chang}, title = {All-Directional Dual Pixel Auto Focus Technology in {CMOS} Image Sensors}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492472}, doi = {10.23919/VLSICIRCUITS52068.2021.9492472}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShimLPCYJLKLBKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/ChoiCJQ21, author = {Jun{-}Ho Choi and Marios Constantinides and Sagar Joglekar and Daniele Quercia}, title = {{KAIROS:} Talking Heads and Moving Bodies for Successful Meetings}, booktitle = {HotMobile '21: The 22nd International Workshop on Mobile Computing Systems and Applications, Virtual Event, United Kingdom, February 24-26, 2021}, pages = {30--36}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3446382.3448361}, doi = {10.1145/3446382.3448361}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmcsa/ChoiCJQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-00133, author = {Sewon Min and Jordan L. Boyd{-}Graber and Chris Alberti and Danqi Chen and Eunsol Choi and Michael Collins and Kelvin Guu and Hannaneh Hajishirzi and Kenton Lee and Jennimaria Palomaki and Colin Raffel and Adam Roberts and Tom Kwiatkowski and Patrick S. H. Lewis and Yuxiang Wu and Heinrich K{\"{u}}ttler and Linqing Liu and Pasquale Minervini and Pontus Stenetorp and Sebastian Riedel and Sohee Yang and Minjoon Seo and Gautier Izacard and Fabio Petroni and Lucas Hosseini and Nicola De Cao and Edouard Grave and Ikuya Yamada and Sonse Shimaoka and Masatoshi Suzuki and Shumpei Miyawaki and Shun Sato and Ryo Takahashi and Jun Suzuki and Martin Fajcik and Martin Docekal and Karel Ondrej and Pavel Smrz and Hao Cheng and Yelong Shen and Xiaodong Liu and Pengcheng He and Weizhu Chen and Jianfeng Gao and Barlas Oguz and Xilun Chen and Vladimir Karpukhin and Stan Peshterliev and Dmytro Okhonko and Michael Sejr Schlichtkrull and Sonal Gupta and Yashar Mehdad and Wen{-}tau Yih}, title = {NeurIPS 2020 EfficientQA Competition: Systems, Analyses and Lessons Learned}, journal = {CoRR}, volume = {abs/2101.00133}, year = {2021}, url = {https://arxiv.org/abs/2101.00133}, eprinttype = {arXiv}, eprint = {2101.00133}, timestamp = {Fri, 30 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-00133.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-02463, author = {Juhung Park and Woojin Jung and Eun{-}Jung Choi and Se{-}Hong Oh and Dongmyung Shin and Hongjun An and Jongho Lee}, title = {DIFFnet: Diffusion parameter mapping network generalized for input diffusion gradient schemes and bvalues}, journal = {CoRR}, volume = {abs/2102.02463}, year = {2021}, url = {https://arxiv.org/abs/2102.02463}, eprinttype = {arXiv}, eprint = {2102.02463}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-02463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09785, author = {Sun Hong Lim and Sunwoo Kim and Byonghyo Shim and Jun Won Choi}, title = {Deep Learning-based Beam Tracking for Millimeter-wave Communications under Mobility}, journal = {CoRR}, volume = {abs/2102.09785}, year = {2021}, url = {https://arxiv.org/abs/2102.09785}, eprinttype = {arXiv}, eprint = {2102.09785}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09785.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14754, author = {Hyunsu Kim and Yunjey Choi and Junho Kim and Sungjoo Yoo and Youngjung Uh}, title = {StyleMapGAN: Exploiting Spatial Dimensions of Latent in {GAN} for Real-time Image Editing}, journal = {CoRR}, volume = {abs/2104.14754}, year = {2021}, url = {https://arxiv.org/abs/2104.14754}, eprinttype = {arXiv}, eprint = {2104.14754}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-15022, author = {Jun{-}Ho Choi and Huan Zhang and Jun{-}Hyuk Kim and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Deep Image Destruction: {A} Comprehensive Study on Vulnerability of Deep Image-to-Image Models against Adversarial Attacks}, journal = {CoRR}, volume = {abs/2104.15022}, year = {2021}, url = {https://arxiv.org/abs/2104.15022}, eprinttype = {arXiv}, eprint = {2104.15022}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-15022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-10867, author = {SeungHwan An and Hosik Choi and Jong{-}June Jeon}, title = {EXoN: EXplainable encoder Network}, journal = {CoRR}, volume = {abs/2105.10867}, year = {2021}, url = {https://arxiv.org/abs/2105.10867}, eprinttype = {arXiv}, eprint = {2105.10867}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-10867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13647, author = {Sung Hyuck Hong and Jaeyong Park and Sung{-}Jin Kim and Junil Choi}, title = {Hybrid Beamforming for Intelligent Reflecting Surface Aided Millimeter Wave {MIMO} Systems}, journal = {CoRR}, volume = {abs/2105.13647}, year = {2021}, url = {https://arxiv.org/abs/2105.13647}, eprinttype = {arXiv}, eprint = {2105.13647}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13647.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06959, author = {Jaewoong Choi and Changyeon Yoon and Junho Lee and Jung Ho Park and Geonho Hwang and Myungjoo Kang}, title = {Do Not Escape From the Manifold: Discovering the Local Coordinates on the Latent Space of GANs}, journal = {CoRR}, volume = {abs/2106.06959}, year = {2021}, url = {https://arxiv.org/abs/2106.06959}, eprinttype = {arXiv}, eprint = {2106.06959}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06959.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09908, author = {Kyu{-}Lim Kim and Jeong{-}Soo Kim and Seung{-}Ri Song and Jun{-}Ho Choi and Chul{-}Min Joo and Jong{-}Seok Lee}, title = {Light Lies: Optical Adversarial Attack}, journal = {CoRR}, volume = {abs/2106.09908}, year = {2021}, url = {https://arxiv.org/abs/2106.09908}, eprinttype = {arXiv}, eprint = {2106.09908}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-03649, author = {Hyeonuk Nam and Byeong{-}Yun Ko and Gyeong{-}Tae Lee and Seong{-}Hu Kim and Won{-}Ho Jung and Sang{-}Min Choi and Yong{-}Hwa Park}, title = {Heavily Augmented Sound Event Detection utilizing Weak Predictions}, journal = {CoRR}, volume = {abs/2107.03649}, year = {2021}, url = {https://arxiv.org/abs/2107.03649}, eprinttype = {arXiv}, eprint = {2107.03649}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-03649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-09847, author = {Minjung Shin and Jeonghoon Kim and Seongho Choi and Yu{-}Jung Heo and Donghyun Kim and Min Su Lee and Byoung{-}Tak Zhang and Jeh{-}Kwang Ryu}, title = {CogME: {A} Novel Evaluation Metric for Video Understanding Intelligence}, journal = {CoRR}, volume = {abs/2107.09847}, year = {2021}, url = {https://arxiv.org/abs/2107.09847}, eprinttype = {arXiv}, eprint = {2107.09847}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-09847.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06545, author = {Junho Kim and Changwoon Choi and Hojun Jang and Young Min Kim}, title = {{PICCOLO:} Point Cloud-Centric Omnidirectional Localization}, journal = {CoRR}, volume = {abs/2108.06545}, year = {2021}, url = {https://arxiv.org/abs/2108.06545}, eprinttype = {arXiv}, eprint = {2108.06545}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06545.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-04203, author = {Yu{-}Jung Heo and Min Su Lee and Seongho Choi and Woo Suk Choi and Minjung Shin and Minjoon Jung and Jeh{-}Kwang Ryu and Byoung{-}Tak Zhang}, title = {Toward a Human-Level Video Understanding Intelligence}, journal = {CoRR}, volume = {abs/2110.04203}, year = {2021}, url = {https://arxiv.org/abs/2110.04203}, eprinttype = {arXiv}, eprint = {2110.04203}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-04203.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12696, author = {Seungbum Hong and Jihun Yoon and Junmo Kim and Min{-}Kook Choi}, title = {Self-Supervised Knowledge Transfer via Loosely Supervised Auxiliary Tasks}, journal = {CoRR}, volume = {abs/2110.12696}, year = {2021}, url = {https://arxiv.org/abs/2110.12696}, eprinttype = {arXiv}, eprint = {2110.12696}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04120, author = {Junho Kim and Yunjey Choi and Youngjung Uh}, title = {Feature Statistics Mixing Regularization for Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/2112.04120}, year = {2021}, url = {https://arxiv.org/abs/2112.04120}, eprinttype = {arXiv}, eprint = {2112.04120}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04720, author = {Juyeop Kim and Jun{-}Ho Choi and Soobeom Jang and Jong{-}Seok Lee}, title = {Amicable Aid: Turning Adversarial Attack to Benefit Classification}, journal = {CoRR}, volume = {abs/2112.04720}, year = {2021}, url = {https://arxiv.org/abs/2112.04720}, eprinttype = {arXiv}, eprint = {2112.04720}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04720.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-07921, author = {Jaehui Hwang and Huan Zhang and Jun{-}Ho Choi and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Temporal Shuffling for Defending Deep Action Recognition Models against Adversarial Attacks}, journal = {CoRR}, volume = {abs/2112.07921}, year = {2021}, url = {https://arxiv.org/abs/2112.07921}, eprinttype = {arXiv}, eprint = {2112.07921}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-07921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/HongKCLC21, author = {Seungwan Hong and Seunghong Kim and Jiheon Choi and Younho Lee and Jung Hee Cheon}, title = {Efficient Sorting of Homomorphic Encrypted Data with k-way Sorting Network}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {551}, year = {2021}, url = {https://eprint.iacr.org/2021/551}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/HongKCLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChaCI20, author = {Ho{-}Seung Cha and Seong{-}Jun Choi and Chang{-}Hwan Im}, title = {Real-Time Recognition of Facial Expressions Using Facial Electromyograms Recorded Around the Eyes for Social Virtual Reality Applications}, journal = {{IEEE} Access}, volume = {8}, pages = {62065--62075}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2983608}, doi = {10.1109/ACCESS.2020.2983608}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChaCI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChavhanGGKCH20, author = {Suresh Chavhan and Deepak Gupta and Sahil Garg and Ashish Khanna and Bong Jun Choi and M. Shamim Hossain}, title = {Privacy and Security Management in Intelligent Transportation System}, journal = {{IEEE} Access}, volume = {8}, pages = {148677--148688}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3015096}, doi = {10.1109/ACCESS.2020.3015096}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChavhanGGKCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiHK20, author = {In{-}Sun Choi and Junho Hong and Tae{-}Wan Kim}, title = {Multi-Agent Based Cyber Attack Detection and Mitigation for Distribution Automation System}, journal = {{IEEE} Access}, volume = {8}, pages = {183495--183504}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3029765}, doi = {10.1109/ACCESS.2020.3029765}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKJP20, author = {In{-}Oh Choi and Ki{-}Bong Kang and Joo{-}Ho Jung and Sang{-}Hong Park}, title = {Efficient Estimation of the Helicopter Blade Parameter by Independent Component Analysis}, journal = {{IEEE} Access}, volume = {8}, pages = {156889--156899}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3019506}, doi = {10.1109/ACCESS.2020.3019506}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongKLCLCS20, author = {Joonyong Jeong and Jaewook Kwak and Daeyong Lee and Seungdo Choi and Jungkeol Lee and Jungwook Choi and Yong Ho Song}, title = {Level Aware Data Placement Technique for Hybrid {NAND} Flash Storage of Log-Structured Merge-Tree Based Key-Value Store System}, journal = {{IEEE} Access}, volume = {8}, pages = {188256--188268}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031322}, doi = {10.1109/ACCESS.2020.3031322}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongKLCLCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungCLK20, author = {Youngmoon Jung and Yeunju Choi and Hyungjun Lim and Hoirin Kim}, title = {A Unified Deep Learning Framework for Short-Duration Speaker Verification in Adverse Environments}, journal = {{IEEE} Access}, volume = {8}, pages = {175448--175466}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3025941}, doi = {10.1109/ACCESS.2020.3025941}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCH20b, author = {Jung Hoon Kim and Yong Woo Choi and Tomomichi Hagiwara}, title = {Computing the L{\(\infty\)}-Induced Norm of {LTI} Systems: Generalization of Piecewise Quadratic and Cubic Approximations}, journal = {{IEEE} Access}, volume = {8}, pages = {139868--139880}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012253}, doi = {10.1109/ACCESS.2020.3012253}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimCH20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKSCLJKLLLP20, author = {Youngil Kim and Jinwoo Jeong and Wang Kexin and Yong Ho Song and Seungdo Choi and Daeyong Lee and Joonyong Jeong and Jaewook Kwak and Jungkeol Lee and Gyeongyong Lee and Sangjin Lee and Kibin Park}, title = {Low-Overhead Compressibility Prediction for High-Performance Lossless Data Compression}, journal = {{IEEE} Access}, volume = {8}, pages = {37105--37123}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2975929}, doi = {10.1109/ACCESS.2020.2975929}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKSCLJKLLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRRCJ20, author = {Jinuk Kim and Sang{-}Wook Ryu and Muhammad Saad Rafaq and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Torque Ripple Minimization Technique With Enhanced Efficiency for Surface- Mounted {PMSM} Drives}, journal = {{IEEE} Access}, volume = {8}, pages = {115017--115027}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004042}, doi = {10.1109/ACCESS.2020.3004042}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimRRCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwakLLJLCS20, author = {Jaewook Kwak and Jungkeol Lee and Daeyong Lee and Joonyong Jeong and Gyeongyong Lee and Jungwook Choi and Yong Ho Song}, title = {{GALRU:} {A} Group-Aware Buffer Management Scheme for Flash Storage Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {185360--185372}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030089}, doi = {10.1109/ACCESS.2020.3030089}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwakLLJLCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCJC20, author = {Hoonhee Lee and Han{-}Lim Choi and Dawoon Jung and Sujin Choi}, title = {Deep Neural Network-Based Landmark Selection Method for Optical Navigation on Lunar Highlands}, journal = {{IEEE} Access}, volume = {8}, pages = {99010--99023}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2996403}, doi = {10.1109/ACCESS.2020.2996403}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeCJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKCSPK20, author = {Ji{-}Hoon Lee and Jaehyun Ko and Kwangmin Kim and Minsoo Choi and Jae{-}Yoon Sim and Hong{-}June Park and Byungsub Kim}, title = {A Body Channel Communication Technique Utilizing Decision Feedback Equalization}, journal = {{IEEE} Access}, volume = {8}, pages = {198468--198481}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3034999}, doi = {10.1109/ACCESS.2020.3034999}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKKCSPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLJJKCS20, author = {Daeyong Lee and Jaewook Kwak and Gyeongyong Lee and Moonseok Jang and Joonyong Jeong and Wang Kexin and Jungwook Choi and Yong Ho Song}, title = {Improving Write Performance Through Reliable Asynchronous Operation in Physically-Addressable {SSD}}, journal = {{IEEE} Access}, volume = {8}, pages = {195528--195540}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033886}, doi = {10.1109/ACCESS.2020.3033886}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKLJJKCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenBCJ20, author = {Anh Tuan Nguyen and Bilal Abdul Basit and Han Ho Choi and Jin{-}Woo Jung}, title = {Disturbance Attenuation for Surface-Mounted {PMSM} Drives Using Nonlinear Disturbance Observer-Based Sliding Mode Control}, journal = {{IEEE} Access}, volume = {8}, pages = {86345--86356}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2992635}, doi = {10.1109/ACCESS.2020.2992635}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NguyenBCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NohCHP20, author = {Kyoung Jun Noh and Jiho Choi and Jin Seong Hong and Kang Ryoung Park}, title = {Finger-Vein Recognition Based on Densely Connected Convolutional Network Using Score-Level Fusion With Shape and Texture Images}, journal = {{IEEE} Access}, volume = {8}, pages = {96748--96766}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2996646}, doi = {10.1109/ACCESS.2020.2996646}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NohCHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RafaqMCJ20, author = {Muhammad Saad Rafaq and Sadeq Ali Qasem Mohammed and Han Ho Choi and Jin{-}Woo Jung}, title = {An Improved Sliding Mode Control Technique to Mitigate Mismatched Parameter Uncertainties of Three-Phase Voltage Source Inverters}, journal = {{IEEE} Access}, volume = {8}, pages = {81932--81942}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2990763}, doi = {10.1109/ACCESS.2020.2990763}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RafaqMCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RatheeGKCH20, author = {Geetanjali Rathee and Sahil Garg and Georges Kaddoum and Bong Jun Choi and M. Shamim Hossain}, title = {Trusted Orchestration for Smart Decision-Making in Internet of Vehicles}, journal = {{IEEE} Access}, volume = {8}, pages = {157427--157436}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3019795}, doi = {10.1109/ACCESS.2020.3019795}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RatheeGKCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RatheeGKCH20a, author = {Geetanjali Rathee and Sahil Garg and Georges Kaddoum and Bong Jun Choi and M. Shamim Hossain}, title = {Trusted Computation Using {ABM} and {PBM} Decision Models for {ITS}}, journal = {{IEEE} Access}, volume = {8}, pages = {195788--195798}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033883}, doi = {10.1109/ACCESS.2020.3033883}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RatheeGKCH20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VecchiettiKCHH20, author = {Luiz Felipe Vecchietti and Taeyoung Kim and Kyujin Choi and Junhee Hong and Dongsoo Har}, title = {Batch Prioritization in Multigoal Reinforcement Learning}, journal = {{IEEE} Access}, volume = {8}, pages = {137449--137461}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012204}, doi = {10.1109/ACCESS.2020.3012204}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VecchiettiKCHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/JungHJCBCC20, author = {Kwang Su Jung and Kyung{-}Won Hong and Hyun Youn Jo and Jongpill Choi and Hyo{-}Jeong Ban and Seong Beom Cho and Myungguen Chung}, title = {{KRGDB:} the large-scale variant database of 1722 Koreans based on whole genome sequencing}, journal = {Database J. Biol. Databases Curation}, volume = {2020}, pages = {baz146}, year = {2020}, url = {https://doi.org/10.1093/database/baz146}, doi = {10.1093/DATABASE/BAZ146}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/JungHJCBCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/JungHJCBCC20a, author = {Kwang Su Jung and Kyung{-}Won Hong and Hyun Youn Jo and Jongpill Choi and Hyo{-}Jeong Ban and Seong Beom Cho and Myungguen Chung}, title = {{KRGDB:} the large-scale variant database of 1722 Koreans based on whole genome sequencing}, journal = {Database J. Biol. Databases Curation}, volume = {2020}, year = {2020}, url = {https://doi.org/10.1093/database/baaa030}, doi = {10.1093/DATABASE/BAAA030}, timestamp = {Fri, 04 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/JungHJCBCC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/YuHKPLC20, author = {Sung Hyun Yu and Jung Han Hwang and Jeong Ho Kim and Suyoung Park and Ki Hyun Lee and Sang Tae Choi}, title = {Duplication of superficial femoral artery: imaging findings and literature review}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {99}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00500-4}, doi = {10.1186/S12880-020-00500-4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/YuHKPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/EomCCJHN20, author = {Haegwang Eom and Byungkuk Choi and Kyungmin Cho and Sunjin Jung and Seokpyo Hong and Junyong Noh}, title = {Synthesizing Character Animation with Smoothly Decomposed Motion Layers}, journal = {Comput. Graph. Forum}, volume = {39}, number = {1}, pages = {595--606}, year = {2020}, url = {https://doi.org/10.1111/cgf.13893}, doi = {10.1111/CGF.13893}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cgf/EomCCJHN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/HongCPKYJP20, author = {Wonbin Hong and Jaehyun Choi and Dongpil Park and Myun{-}soo Kim and Chisang You and Doochan Jung and Junho Park}, title = {mmWave 5G {NR} Cellular Handset Prototype Featuring Optically Invisible Beamforming Antenna-on-Display}, journal = {{IEEE} Commun. Mag.}, volume = {58}, number = {8}, pages = {54--60}, year = {2020}, url = {https://doi.org/10.1109/MCOM.001.2000115}, doi = {10.1109/MCOM.001.2000115}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/HongCPKYJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YooRKLKKC20, author = {Tae Keun Yoo and Ik Hee Ryu and Jin Kuk Kim and In Sik Lee and Jung Sub Kim and Hong Kyu Kim and Joon Yul Choi}, title = {Deep learning can generate traditional retinal fundus photographs using ultra-widefield images via generative adversarial networks}, journal = {Comput. Methods Programs Biomed.}, volume = {197}, pages = {105761}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105761}, doi = {10.1016/J.CMPB.2020.105761}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/YooRKLKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/AliAYRHCJHAHAKL20, author = {Taqdir Ali and Muhammad Afzal and Hyeong Won Yu and Ubaid Ur Rehman and Ho{-}Seong Han and June Young Choi and Arif Jamshed and Jamil Hussain and Muhammad Bilal Amin and Musarrat Hussain and Usman Akhtar and Wajahat Ali Khan and Sungyoung Lee and Byeong Ho Kang and Maqbool Hussain}, title = {The Intelligent Medical Platform: {A} Novel Dialogue-Based Platform for Health-Care Services}, journal = {Computer}, volume = {53}, number = {2}, pages = {35--45}, year = {2020}, url = {https://doi.org/10.1109/MC.2019.2924393}, doi = {10.1109/MC.2019.2924393}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/AliAYRHCJHAHAKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/JeonKWC20, author = {Jong{-}June Jeon and Yongdai Kim and Sungho Won and Hosik Choi}, title = {Primal path algorithm for compositional data analysis}, journal = {Comput. Stat. Data Anal.}, volume = {148}, pages = {106958}, year = {2020}, url = {https://doi.org/10.1016/j.csda.2020.106958}, doi = {10.1016/J.CSDA.2020.106958}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/JeonKWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cybersec/ChoiLSWWZZZ20, author = {Yoon{-}Ho Choi and Peng Liu and Zitong Shang and Haizhou Wang and Zhilong Wang and Lan Zhang and Junwei Zhou and Qingtian Zou}, title = {Using deep learning to solve computer security challenges: a survey}, journal = {Cybersecur.}, volume = {3}, number = {1}, pages = {15}, year = {2020}, url = {https://doi.org/10.1186/s42400-020-00055-5}, doi = {10.1186/S42400-020-00055-5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cybersec/ChoiLSWWZZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimMCJ20, author = {Taesik Kim and Hong Min and Eunsoo Choi and Jinman Jung}, title = {Optimal job partitioning and allocation for vehicular cloud computing}, journal = {Future Gener. Comput. Syst.}, volume = {108}, pages = {82--96}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.02.007}, doi = {10.1016/J.FUTURE.2020.02.007}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KimMCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/ChoiJ20, author = {Seoung{-}Ho Choi and Sung Hoon Jung}, title = {Stable Acquisition of Fine-Grained Segments Using Batch Normalization and Focal Loss with {L1} Regularization in U-Net Structure}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {20}, number = {1}, pages = {59--68}, year = {2020}, url = {https://doi.org/10.5391/IJFIS.2020.20.1.59}, doi = {10.5391/IJFIS.2020.20.1.59}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/ChoiJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChoiKCL20, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Manri Cheon and Jong{-}Seok Lee}, title = {Deep learning-based image super-resolution considering quantitative and perceptual quality}, journal = {Neurocomputing}, volume = {398}, pages = {347--359}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2019.06.103}, doi = {10.1016/J.NEUCOM.2019.06.103}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ChoiKCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimCCL20, author = {Jun{-}Hyuk Kim and Jun{-}Ho Choi and Manri Cheon and Jong{-}Seok Lee}, title = {MAMNet: Multi-path adaptive modulation network for image super-resolution}, journal = {Neurocomputing}, volume = {402}, pages = {38--49}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2020.03.069}, doi = {10.1016/J.NEUCOM.2020.03.069}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/CaoCJD20, author = {Kerang Cao and Kwang{-}Nam Choi and Hoekyung Jung and Lini Duan}, title = {Deep Learning for Facial Beauty Prediction}, journal = {Inf.}, volume = {11}, number = {8}, pages = {391}, year = {2020}, url = {https://doi.org/10.3390/info11080391}, doi = {10.3390/INFO11080391}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/CaoCJD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/RonLLCL20, author = {Dara Ron and Chanjae Lee and Kisong Lee and Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Performance Analysis and Optimization of Downlink Transmission in LoRaWAN Class {B} Mode}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {8}, pages = {7836--7847}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2994958}, doi = {10.1109/JIOT.2020.2994958}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/RonLLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LeeTCJS20, author = {Minhyeok Lee and Donghyun Tae and Jae Hun Choi and Ho{-}Youl Jung and Junhee Seok}, title = {Improved recurrent generative adversarial networks with regularization techniques and a controllable framework}, journal = {Inf. Sci.}, volume = {538}, pages = {428--443}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.05.116}, doi = {10.1016/J.INS.2020.05.116}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/LeeTCJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ism/LeeCNHKBH20, author = {Habin Lee and Youngseok Choi and Truong Van Nguyen and Yang Hai and Junchul Kim and Mohammed Bahja and Mehmet Hakan Hocaoglu}, title = {{COVID19} Led Virtualization: Green Data Center for Information Systems Research}, journal = {Inf. Syst. Manag.}, volume = {37}, number = {4}, pages = {272--276}, year = {2020}, url = {https://doi.org/10.1080/10580530.2020.1818901}, doi = {10.1080/10580530.2020.1818901}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ism/LeeCNHKBH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/HwangKC20, author = {Junsun Hwang and Jin{-}young Kim and Hongsoo Choi}, title = {A review of magnetic actuation systems and magnetically actuated guidewire- and catheter-based microrobots for vascular interventions}, journal = {Intell. Serv. Robotics}, volume = {13}, number = {1}, pages = {1--14}, year = {2020}, url = {https://doi.org/10.1007/s11370-020-00311-0}, doi = {10.1007/S11370-020-00311-0}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/HwangKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeHKC20, author = {Sungjin Lee and Min Hong and Sungyeup Kim and Seong Jun Choi}, title = {Effect Analysis of Virtual-reality Vestibular Rehabilitation based on Eye-tracking}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {2}, pages = {826--840}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.02.020}, doi = {10.3837/TIIS.2020.02.020}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeHKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jkm/ChoiNKJL20, author = {Goya Choi and Changi Nam and Seongcheol Kim and Hyun Ju Jung and Chul Ho Lee}, title = {Where does knowledge-sharing motivation come from? The case of third-party developer in mobile platforms}, journal = {J. Knowl. Manag.}, volume = {24}, number = {7}, pages = {1681--1704}, year = {2020}, url = {https://doi.org/10.1108/JKM-08-2019-0449}, doi = {10.1108/JKM-08-2019-0449}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jkm/ChoiNKJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HwangLCL20, author = {Jun{-}Ho Hwang and Kyung{-}Bae Lee and Ji{-}An Choi and Tae{-}Soo Lee}, title = {Quantitative Analysis Methods Using Histogram and Entropy for Detector Performance Evaluation According to the Sensitivity Change of the Automatic Exposure Control in Digital Radiography}, journal = {J. Medical Syst.}, volume = {44}, number = {10}, pages = {183}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01652-0}, doi = {10.1007/S10916-020-01652-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HwangLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelJKKKBCSKACJ20, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Seoung{-}Jae Yoo and Chang{-}Rok Moon and Hyunsurk Ryu and Joonseok Kim}, title = {A {VGA} Indirect Time-of-Flight {CMOS} Image Sensor With 4-Tap 7- {\textdollar}{\textbackslash}mu{\textdollar} m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {889--897}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959502}, doi = {10.1109/JSSC.2019.2959502}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KeelJKKKBCSKACJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiLS20, author = {Jun Ho Choi and Kang Il Lee and Byung Cheol Song}, title = {Eye pupil localization algorithm using convolutional neural networks}, journal = {Multim. Tools Appl.}, volume = {79}, number = {43-44}, pages = {32563--32574}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-09711-x}, doi = {10.1007/S11042-020-09711-X}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ChoiLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JungYJCKNKL20, author = {Woojin Jung and Jaeyeon Yoon and Sooyeon Ji and Joon Yul Choi and Jae Myung Kim and Yoonho Nam and Eung{-}Yeop Kim and Jongho Lee}, title = {Exploring linearity of deep neural network trained {QSM:} QSMnet\({}^{\mbox{+}}\)}, journal = {NeuroImage}, volume = {211}, pages = {116619}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.116619}, doi = {10.1016/J.NEUROIMAGE.2020.116619}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JungYJCKNKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/VenkataramaniSW20, author = {Swagath Venkataramani and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Jungwook Choi and Mingu Kang and Ankur Agarwal and Jinwook Oh and Shubham Jain and Tina Babinsky and Nianzheng Cao and Thomas W. Fox and Bruce M. Fleischer and George Gristede and Michael Guillorn and Howard Haynie and Hiroshi Inoue and Kazuaki Ishizaki and Michael J. Klaiber and Shih{-}Hsien Lo and Gary W. Maier and Silvia M. Mueller and Michael Scheuermann and Eri Ogawa and Marcel Schaal and Mauricio J. Serrano and Joel Silberman and Christos Vezyrtzis and Wei Wang and Fanchieh Yee and Jintao Zhang and Matthew M. Ziegler and Ching Zhou and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Vijayalakshmi Srinivasan and Leland Chang and Kailash Gopalakrishnan}, title = {Efficient {AI} System Design With Cross-Layer Approximate Computing}, journal = {Proc. {IEEE}}, volume = {108}, number = {12}, pages = {2232--2250}, year = {2020}, url = {https://doi.org/10.1109/JPROC.2020.3029453}, doi = {10.1109/JPROC.2020.3029453}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/VenkataramaniSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkCKSK20, author = {Junghoon Park and Junhwan Choi and Sangjoon J. Kim and Kap{-}Ho Seo and Jung Kim}, title = {Design of an Inflatable Wrinkle Actuator With Fast Inflation/Deflation Responses for Wearable Suits}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {3}, pages = {3804--3810}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.2976299}, doi = {10.1109/LRA.2020.2976299}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ParkCKSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChoiYLRKPK20, author = {Wonei Choi and Jiwon Yang and Hanlim Lee and Michel Van Roozendael and Ja{-}Ho Koo and Junsung Park and Daewon Kim}, title = {Investigation of Aerosol Peak Height Effect on {PBL} and Volcanic Air Mass Factors for {SO2} Column Retrieval from Space-Borne Hyperspectral {UV} Sensors}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1459}, year = {2020}, url = {https://doi.org/10.3390/rs12091459}, doi = {10.3390/RS12091459}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ChoiYLRKPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekLKKCJCCMK20, author = {Jeong{-}Ho Baek and Eungyeong Lee and Nyunhee Kim and Song Lim Kim and Inchan Choi and Hyeonso Ji and Yong Suk Chung and Man{-}Soo Choi and Jung{-}Kyung Moon and Kyung{-}Hwan Kim}, title = {High Throughput Phenotyping for Various Traits on Soybean Seeds Using Image Analysis}, journal = {Sensors}, volume = {20}, number = {1}, pages = {248}, year = {2020}, url = {https://doi.org/10.3390/s20010248}, doi = {10.3390/S20010248}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekLKKCJCCMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiCHM20, author = {Ju{-}Ho Choi and Jung{-}Hwan Cha and Youn{-}Hee Han and Sung{-}Gi Min}, title = {A Dual-Connectivity Mobility Link Service for Producer Mobility in the Named Data Networking}, journal = {Sensors}, volume = {20}, number = {17}, pages = {4859}, year = {2020}, url = {https://doi.org/10.3390/s20174859}, doi = {10.3390/S20174859}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiCHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongCNCCK20, author = {Yu{-}Jin Hong and Sung Eun Choi and Gi Pyo Nam and Heeseung Choi and Junghyun Cho and Ig{-}Jae Kim}, title = {Adaptive 3D Model-Based Facial Expression Synthesis and Pose Frontalization}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2578}, year = {2020}, url = {https://doi.org/10.3390/s20092578}, doi = {10.3390/S20092578}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongCNCCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungPWCLP20, author = {Jaedong Jung and Honghwi Park and Heungsup Won and Muhan Choi and Chang{-}Ju Lee and Hongsik Park}, title = {Effect of Graphene Doping Level near the Metal Contact Region on Electrical and Photoresponse Characteristics of Graphene Photodetector}, journal = {Sensors}, volume = {20}, number = {17}, pages = {4661}, year = {2020}, url = {https://doi.org/10.3390/s20174661}, doi = {10.3390/S20174661}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungPWCLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHSCKLSSSCJK20, author = {Minsu Kim and Insol Hwang and Minho Seong and Jaemook Choi and Myunggun Kim and Hee{-}Du Lee and Kyung{-}Jae Shin and Hungsun Son and Hoon Sohn and Junho Choi and Hoon Eui Jeong and Moon Kyu Kwak}, title = {Multifunctional Smart Ball Sensor for Wireless Structural Health Monitoring in a Fire Situation}, journal = {Sensors}, volume = {20}, number = {15}, pages = {4328}, year = {2020}, url = {https://doi.org/10.3390/s20154328}, doi = {10.3390/S20154328}, timestamp = {Sat, 18 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimHSCKLSSSCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCC20, author = {Jungsuk Kim and Kyeongjin Kim and Sun{-}Ho Choe and Hojong Choi}, title = {Development of an Accurate Resonant Frequency Controlled Wire Ultrasound Surgical Instrument}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3059}, year = {2020}, url = {https://doi.org/10.3390/s20113059}, doi = {10.3390/S20113059}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYC20, author = {Jungsuk Kim and Kiheum You and Hojong Choi}, title = {Post-Voltage-Boost Circuit-Supported Single-Ended Class-B Amplifier for Piezoelectric Transducer Applications}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5412}, year = {2020}, url = {https://doi.org/10.3390/s20185412}, doi = {10.3390/S20185412}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYCC20, author = {Jungsuk Kim and Kiheum You and Sun{-}Ho Choe and Hojong Choi}, title = {Wireless Ultrasound Surgical System with Enhanced Power and Amplitude Performances}, journal = {Sensors}, volume = {20}, number = {15}, pages = {4165}, year = {2020}, url = {https://doi.org/10.3390/s20154165}, doi = {10.3390/S20154165}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimYCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SalunkheLLCPK20, author = {Tejaswi Tanaji Salunkhe and Dong Jun Lee and Ho Kyung Lee and Hyung Wook Choi and Sang Joon Park and Il Tae Kim}, title = {Enhancing Temperature Sensitivity of the Fabry-Perot Interferometer Sensor with Optimization of the Coating Thickness of Polystyrene}, journal = {Sensors}, volume = {20}, number = {3}, pages = {794}, year = {2020}, url = {https://doi.org/10.3390/s20030794}, doi = {10.3390/S20030794}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SalunkheLLCPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YeoJSJC20, author = {Hong Goo Yeo and Joontaek Jung and Minkyung Sim and Jae Eun Jang and Hongsoo Choi}, title = {Integrated Piezoelectric AlN Thin Film with {SU-8/PDMS} Supporting Layer for Flexible Sensor Array}, journal = {Sensors}, volume = {20}, number = {1}, pages = {315}, year = {2020}, url = {https://doi.org/10.3390/s20010315}, doi = {10.3390/S20010315}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YeoJSJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LeeHC20, author = {Kisong Lee and Jun{-}Pyo Hong and Hyun{-}Ho Choi}, title = {Adaptive Jamming Power Control for Untrusted Relay Networks With Imperfect Channel Reciprocity}, journal = {{IEEE} Syst. J.}, volume = {14}, number = {3}, pages = {4217--4220}, year = {2020}, url = {https://doi.org/10.1109/JSYST.2019.2937963}, doi = {10.1109/JSYST.2019.2937963}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LeeHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/KimCL20, author = {Won{-}Hee Kim and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Objectivity and Subjectivity in Aesthetic Quality Assessment of Digital Photographs}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {11}, number = {3}, pages = {493--506}, year = {2020}, url = {https://doi.org/10.1109/TAFFC.2018.2809752}, doi = {10.1109/TAFFC.2018.2809752}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/KimCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ParkLLHCC20, author = {Jinhyoung Park and Chang{-}Yang Lee and Junsu Lee and Jung{-}Ik Ha and Hongsoo Choi and Jin Ho Chang}, title = {Magnetically Actuated Forward-Looking Interventional Ultrasound Imaging: Feasibility Studies}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {6}, pages = {1797--1805}, year = {2020}, url = {https://doi.org/10.1109/TBME.2019.2948391}, doi = {10.1109/TBME.2019.2948391}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ParkLLHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimCLJLCPWLA20, author = {Byeongho Kim and Jongwook Chung and Eojin Lee and Wonkyung Jung and Sunjung Lee and Jaewan Choi and Jaehyun Park and Minbok Wi and Sukhan Lee and Jung Ho Ahn}, title = {MViD: Sparse Matrix-Vector Multiplication in Mobile {DRAM} for Accelerating Recurrent Neural Networks}, journal = {{IEEE} Trans. Computers}, volume = {69}, number = {7}, pages = {955--967}, year = {2020}, url = {https://doi.org/10.1109/TC.2020.2984496}, doi = {10.1109/TC.2020.2984496}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/KimCLJLCPWLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KimBCAC20, author = {Jiwon Kim and Sungwoo Baek and Yonghun Choi and Junick Ahn and Hojung Cha}, title = {Hydrone: Reconfigurable Energy Storage for {UAV} Applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {3686--3697}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3013052}, doi = {10.1109/TCAD.2020.3013052}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KimBCAC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ImHCKY20, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3471--3483}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991189}, doi = {10.1109/TCSI.2020.2991189}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ImHCKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeLCY20, author = {Kyuho Jason Lee and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {The Development of Silicon for {AI:} Different Design Approaches}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {12}, pages = {4719--4732}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2996625}, doi = {10.1109/TCSI.2020.2996625}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LeeLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LeeHSC20, author = {Kisong Lee and Jun{-}Pyo Hong and Hyowoon Seo and Wan Choi}, title = {Learning-Based Resource Management in Device-to-Device Communications With Energy Harvesting Requirements}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {1}, pages = {402--413}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2019.2947514}, doi = {10.1109/TCOMM.2019.2947514}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/LeeHSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LimKSC20, author = {Sun Hong Lim and Sunwoo Kim and Byonghyo Shim and Jun Won Choi}, title = {Efficient Beam Training and Sparse Channel Estimation for Millimeter Wave Communications Under Mobility}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {10}, pages = {6583--6596}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.3010024}, doi = {10.1109/TCOMM.2020.3010024}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/LimKSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MohammedNCJ20, author = {Sadeq Ali Qasem Mohammed and Anh Tuan Nguyen and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Iterative Learning Control Strategy for Surface-Mounted Permanent Magnet Synchronous Motor Drives}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {12}, pages = {10134--10144}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2962454}, doi = {10.1109/TIE.2019.2962454}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/MohammedNCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/MohammedRCJ20, author = {Sadeq Ali Qasem Mohammed and Muhammad Saad Rafaq and Han Ho Choi and Jin{-}Woo Jung}, title = {A Robust Adaptive {PI} Voltage Controller to Eliminate Impact of Disturbances and Distorted Model Parameters for 3-Phase {CVCF} Inverters}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {4}, pages = {2168--2176}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2891243}, doi = {10.1109/TII.2019.2891243}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/MohammedRCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tissec/ChoHKCPLBK20, author = {Geumhwan Cho and Jun Ho Huh and Soolin Kim and Junsung Cho and Heesung Park and Yenah Lee and Konstantin Beznosov and Hyoungshick Kim}, title = {On the Security and Usability Implications of Providing Multiple Authentication Choices on Smartphones: The More, the Better?}, journal = {{ACM} Trans. Priv. Secur.}, volume = {23}, number = {4}, pages = {22:1--22:32}, year = {2020}, url = {https://doi.org/10.1145/3410155}, doi = {10.1145/3410155}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tissec/ChoHKCPLBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/DoCCK20, author = {Cong Thuan Do and Hong Jun Choi and Sung Woo Chung and Cheol Hong Kim}, title = {A novel warp scheduling scheme considering long-latency operations for high-performance GPUs}, journal = {J. Supercomput.}, volume = {76}, number = {4}, pages = {3043--3062}, year = {2020}, url = {https://doi.org/10.1007/s11227-019-03091-2}, doi = {10.1007/S11227-019-03091-2}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/DoCCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/YooLSC20, author = {Jin Hyeok Yoo and Sun Hong Lim and Byonghyo Shim and Jun Won Choi}, title = {Estimation of Dynamically Varying Support of Sparse Signals via Sequential Monte-Carlo Method}, journal = {{IEEE} Trans. Signal Process.}, volume = {68}, pages = {4135--4147}, year = {2020}, url = {https://doi.org/10.1109/TSP.2020.3007962}, doi = {10.1109/TSP.2020.3007962}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/YooLSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HwangKYKCB20, author = {Sunwook Hwang and Seongwon Kim and Hoyoung Yoon and Byungjun Kim and Sunghyun Choi and Saewoong Bahk}, title = {Beyond Vision: Hidden Car Detector With On-Demand Relaying in Vehicular Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {12}, pages = {15177--15187}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.3036741}, doi = {10.1109/TVT.2020.3036741}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HwangKYKCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JungCKKL20, author = {Sanghun Jung and Seibum B. Choi and Jinsung Kim and Youngho Ko and Hoyoung Lee}, title = {Adaptive Feed-Forward Control of the Clutch Filling Phase for Wet Dual Clutch Transmission}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {9}, pages = {9577--9588}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.3003061}, doi = {10.1109/TVT.2020.3003061}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/JungCKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeLC20, author = {Kisong Lee and Jung{-}Ryun Lee and Hyun{-}Ho Choi}, title = {Learning-Based Joint Optimization of Transmit Power and Harvesting Time in Wireless-Powered Networks With Co-Channel Interference}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {3}, pages = {3500--3504}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2972596}, doi = {10.1109/TVT.2020.2972596}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/Choi0KHL20, author = {Jun{-}Ho Choi and Huan Zhang and Jun{-}Hyuk Kim and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, editor = {Hiroshi Ishikawa and Cheng{-}Lin Liu and Tom{\'{a}}s Pajdla and Jianbo Shi}, title = {Adversarially Robust Deep Image Super-Resolution Using Entropy Regularization}, booktitle = {Computer Vision - {ACCV} 2020 - 15th Asian Conference on Computer Vision, Kyoto, Japan, November 30 - December 4, 2020, Revised Selected Papers, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12625}, pages = {301--317}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-69538-5\_19}, doi = {10.1007/978-3-030-69538-5\_19}, timestamp = {Tue, 02 Mar 2021 08:39:09 +0100}, biburl = {https://dblp.org/rec/conf/accv/Choi0KHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChoiL20, author = {Ho{-}Jin Choi and Young{-}Jun Lee}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Deep Learning Based Response Generation using Emotion Feature Extraction}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {255--262}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.00-65}, doi = {10.1109/BIGCOMP48618.2020.00-65}, timestamp = {Thu, 25 Mar 2021 15:26:20 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/ChoiL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeC20, author = {Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Forecasting Building Electricity Power Consumption Using Deep Learning Approach}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {542--544}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.000-8}, doi = {10.1109/BIGCOMP48618.2020.000-8}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeC20a, author = {Young{-}Jun Lee and Ho{-}Jin Choi}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Emotional Response Generation using Conditional Variational Autoencoder}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {553--554}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.000-4}, doi = {10.1109/BIGCOMP48618.2020.000-4}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/UmCKL20, author = {Jung{-}Ho Um and Myeongseok Choi and Hyunwoo Kim and Sanghwan Lee}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Making Reproducible Research Data by Utilizing Persistent {ID} Graph Structure}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {597--600}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.00018}, doi = {10.1109/BIGCOMP48618.2020.00018}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/UmCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/JeonCKL20, author = {Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {LarvaNet: Hierarchical Super-Resolution via Multi-exit Architecture}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {73--86}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_4}, doi = {10.1007/978-3-030-67070-2\_4}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/JeonCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WeiLTLZPLXFZLHD20, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {392--422}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_24}, doi = {10.1007/978-3-030-67070-2\_24}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WeiLTLZPLXFZLHD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhangDLTLTWZHXL20, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Xiaotong Luo and Liang Chen and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. V. Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {5--40}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_1}, doi = {10.1007/978-3-030-67070-2\_1}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhangDLTLTWZHXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edm/LeeKSKBLC20, author = {Youngnam Lee and Byungsoo Kim and Dongmin Shin and JungHoon Kim and Jineon Baek and Jinhwan Lee and Youngduck Choi}, editor = {Anna N. Rafferty and Jacob Whitehill and Crist{\'{o}}bal Romero and Violetta Cavalli{-}Sforza}, title = {Prescribing Deep Attentive Score Prediction Attracts Improved Student Engagement}, booktitle = {Proceedings of the 13th International Conference on Educational Data Mining, {EDM} 2020, Fully virtual conference, July 10-13, 2020}, publisher = {International Educational Data Mining Society}, year = {2020}, url = {https://educationaldatamining.org/files/conferences/EDM2020/papers/paper\_84.pdf}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edm/LeeKSKBLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungKSKLCKCKJLR20, author = {Taesub Jung and Yonghun Kwon and Sungyoung Seo and Min{-}Sun Keel and Changkeun Lee and Sung{-}Ho Choi and Sae{-}Young Kim and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Moosup Lim and Hyunsurk Ryu and Yitae Kim and Joonseok Kim and Chang{-}Rok Moon}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {A 4-tap global shutter pixel with enhanced {IR} sensitivity for {VGA} time-of-flight {CMOS} image sensors}, booktitle = {Imaging Sensors and Systems 2020, Burlingame, CA, USA, January 26-30, 2020}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2020}, url = {https://doi.org/10.2352/ISSN.2470-1173.2020.7.ISS-103}, doi = {10.2352/ISSN.2470-1173.2020.7.ISS-103}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungKSKLCKCKJLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoiL20, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Geometric Analysis-Based Cluster Head Selection for Sectorized Wireless Powered Sensor Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9348158}, doi = {10.1109/GLOBECOM42002.2020.9348158}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChoiL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeSKYKKSPLCKGG20, author = {Changmin Lee and Wonjae Shin and Dae Jeong Kim and Yongjun Yu and Sung{-}Joon Kim and Taekyeong Ko and Deokho Seo and Jongmin Park and Kwanghee Lee and Seongho Choi and Namhyung Kim and Vishak G and Arun George and Vishwas V and Donghun Lee and Kang{-}Woo Choi and Changbin Song and Dohan Kim and Insu Choi and Ilgyu Jung and Yong Ho Song and Jinman Han}, title = {{NVDIMM-C:} {A} Byte-Addressable Non-Volatile Memory Module for Compatibility with Standard {DDR} Memory Interfaces}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {502--514}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00048}, doi = {10.1109/HPCA47549.2020.00048}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LeeSKYKKSPLCKGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiKL20, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, title = {Srzoo: An Integrated Repository For Super-Resolution Using Deep Learning}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {2508--2512}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054533}, doi = {10.1109/ICASSP40776.2020.9054533}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChoiKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimCCL20, author = {Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jaehyuk Chang and Jong{-}Seok Lee}, title = {Efficient Deep Learning-Based Lossy Image Compression Via Asymmetric Autoencoder and Pruning}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {2063--2067}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053102}, doi = {10.1109/ICASSP40776.2020.9053102}, timestamp = {Thu, 23 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icast/TerfaATJCLK20, author = {Akalu Terfa and G. Anuradha and Hailemicahel Tesso and Young Sik Jung and Sang Un Choi and Sang Ho Lee and J. Sreekantha Kumar}, editor = {Mulugeta Admasu Delele and Mekuanint Agegnehu Bitew and Abebech Abera Beyene and Solomon Workneh Fanta and Addisu Negash Ali}, title = {Antiviral Activity of Aloe pirottae A. Berger Root Extracts Against Influenza {A} and {B} Viruses, Picornaviruses and Dengue Virus: An Endemic Plant Species of Ethiopia}, booktitle = {Advances of Science and Technology - 8th {EAI} International Conference, {ICAST} 2020, Bahir Dar, Ethiopia, October 2-4, 2020, Proceedings, Part {I}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {384}, pages = {27--35}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-80621-7\_2}, doi = {10.1007/978-3-030-80621-7\_2}, timestamp = {Wed, 30 Mar 2022 13:19:31 +0200}, biburl = {https://dblp.org/rec/conf/icast/TerfaATJCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChoiRML20, author = {Hyun{-}Ho Choi and Dara Ron and Sengly Muy and Jung{-}Ryun Lee}, title = {Energy-Neutral Wireless Sensor Network Based on {SWIPT} in Wireless Powered Communication Networks}, booktitle = {2020 {IEEE} International Conference on Communications, {ICC} 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICC40277.2020.9148889}, doi = {10.1109/ICC40277.2020.9148889}, timestamp = {Tue, 04 Aug 2020 10:37:23 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChoiRML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiK0J20, author = {Yeongyu Choi and Cuong Nguyen Khac and Ju H. Park and Ho{-}Youl Jung}, title = {An Efficient Combination Between Epipolar Geometry and Perspective Transform for Moving Object Detection}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043175}, doi = {10.1109/ICCE46568.2020.9043175}, timestamp = {Mon, 30 Mar 2020 16:23:11 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiK0J20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciit/ParkYCKC20, author = {Youjeong Park and Sung{-}Ho Yoon and Jun Hyeok Choi and Moon Ki Kim and Jae Boong Choi}, title = {Seismic Data Analysis Regression Model on Reactor Pressure Vessel using Fast Fourier Transform and Machine Learning}, booktitle = {{ICIIT} 2020: 5th International Conference on Intelligent Information Technology, Hanoi, Vietnam, February 19-22, 2020}, pages = {16--20}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3385209.3385211}, doi = {10.1145/3385209.3385211}, timestamp = {Sun, 14 Jun 2020 15:00:02 +0200}, biburl = {https://dblp.org/rec/conf/iciit/ParkYCKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiLLKR20, author = {Dae Hwi Choi and Hong Joo Lee and Sangmin Lee and Jung Uk Kim and Yong Man Ro}, title = {Fake Video Detection With Certainty-Based Attention Network}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {823--827}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9190655}, doi = {10.1109/ICIP40778.2020.9190655}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChoiLLKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/WeintropCMTFWWS20, author = {David Weintrop and Gi Woong Choi and Adam Maltese and Mike Tissenbaum and Janet Shufor Bih epse Fofang and Margaret Walton and Janet Walkoe and Jill Scott and Yong Ju Jung and Heather Toomey Zimmerman and David DeLiema and Maggie Dahn and Soo Hyeon Kim and Andrea J. Copeland and Jing Yang and Amber Simpson and Peter Knox and Jungsun Kim and Monica M. Chan and Nathan R. Holbert and Leslie Flynn and Kyungbin Kwon and Anne T. Ottenbreit{-}Leftwich and Thomas A. Brush and Paulo Blikstein}, title = {What Does Computer Science and Maker Education Look Like in 2030?}, booktitle = {Interdisciplinarity in the Learning Sciences: Proceedings of the 14th International Conference of the Learning Sciences, {ICLS} 2020, [Nashville, Tennessee, USA], Online Conference, June 19-23, 2020}, publisher = {International Society of the Learning Sciences}, year = {2020}, url = {https://repository.isls.org/handle/1/6358}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icls/WeintropCMTFWWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiKLCMLO20, author = {Yunho Choi and Hogun Kee and Kyungjae Lee and Jaegoo Choy and Junhong Min and Sohee Lee and Songhwai Oh}, title = {Hierarchical 6-DoF Grasping with Approaching Direction Selection}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {1553--1559}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196678}, doi = {10.1109/ICRA40945.2020.9196678}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/ChoiKLCMLO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiSJP20, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack}, title = {On Performance of Distributed Mobility Management in Tactical Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {373--375}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289211}, doi = {10.1109/ICTC49870.2020.9289211}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiSJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJJC20, author = {Daewon Kim and Hongil Ju and Boheung Jung and Byung{-}Chul Choi}, title = {Study for Recovering Crypto Key Mismatch of In-Vehicle Network {DTLS}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {992--994}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289510}, doi = {10.1109/ICTC49870.2020.9289510}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimJJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPLCH20, author = {Jangkyum Kim and Hyunseo Park and Gyeong Ho Lee and Jun Kyun Choi and Youngjoo Heo}, title = {Seal-bid renewable energy certification trading in power system using blockchain technology}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1752--1756}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289395}, doi = {10.1109/ICTC49870.2020.9289395}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimPLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChoiJK20, author = {Yeunju Choi and Youngmoon Jung and Hoirin Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Deep {MOS} Predictor for Synthetic Speech Using Cluster-Based Modeling}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {1743--1747}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-2111}, doi = {10.21437/INTERSPEECH.2020-2111}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChoiJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungKCJK20, author = {Youngmoon Jung and Seong Min Kye and Yeunju Choi and Myunghun Jung and Hoirin Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Improving Multi-Scale Aggregation Using Feature Pyramid Module for Robust Speaker Verification of Variable-Duration Utterances}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {1501--1505}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1025}, doi = {10.21437/INTERSPEECH.2020-1025}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/JungKCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/ChoiLHKWK20, author = {Yoon Ho Choi and Yeong Chan Lee and Sanghoon Hong and Junyoung Kim and Hong{-}Hee Won and Taejun Kim}, editor = {Sharib Ali and Christian Daul and Jens Rittscher and Danail Stoyanov and Enrico Grisan}, title = {Centernet-based Detection Model And U-net-based Multi-class Segmentation Model For Gastrointestinal Diseases}, booktitle = {Proceedings of the 2nd International Workshop and Challenge on Computer Vision in Endoscopy, EndoCV@ISBI 2020, Iowa City, Iowa, USA, 3rd April 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2595}, pages = {73--75}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2595/endoCV2020\_paper\_id\_32.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:33 +0100}, biburl = {https://dblp.org/rec/conf/isbi/ChoiLHKWK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuhCIKLSJYNBYSK20, author = {Yunjae Suh and Seungnam Choi and Masamichi Ito and Jeongseok Kim and Youngho Lee and Jongseok Seo and Heejae Jung and Dong{-}Hee Yeo and Seol Namgung and Jongwoo Bong and Sehoon Yoo and Seung{-}Hun Shin and Doowon Kwon and Pilkyu Kang and Seokho Kim and Hoonjoo Na and Kihyun Hwang and Chang{-}Woo Shin and Jun{-}Seok Kim and Paul K. J. Park and Joonseok Kim and Hyunsurk Ryu and Yongin Park}, title = {A 1280{\texttimes}960 Dynamic Vision Sensor with a 4.95-{\(\mu\)}m Pixel Pitch and Motion Artifact Minimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180436}, doi = {10.1109/ISCAS45731.2020.9180436}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuhCIKLSJYNBYSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCBKKRPKCSLSHC20, author = {Chi{-}Sung Oh and Ki Chul Chun and Young{-}Yong Byun and Yong{-}Ki Kim and So{-}Young Kim and Yesin Ryu and Jaewon Park and Sinho Kim and Sang{-}uhn Cha and Dong{-}Hak Shin and Jungyu Lee and Jong{-}Pil Son and Byung{-}Kyu Ho and Seong{-}Jin Cho and Beomyong Kil and Sungoh Ahn and Baekmin Lim and Yong{-}Sik Park and Kijun Lee and Myung{-}Kyu Lee and Seungduk Baek and Junyong Noh and Jae{-}Wook Lee and Seungseob Lee and Sooyoung Kim and Bo{-}Tak Lim and Seouk{-}Kyu Choi and Jin{-}Guk Kim and Hye{-}In Choi and Hyuk{-}Jun Kwon and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.1 {A} 1.1V 16GB 640GB/s {HBM2E} {DRAM} with a Data-Bus Window-Extension Technique and a Synergetic On-Die {ECC} Scheme}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {330--332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063110}, doi = {10.1109/ISSCC19947.2020.9063110}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCBKKRPKCSLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooLPLBKCCYYCKJ20, author = {Byoung{-}Joo Yoo and Dong{-}Hyuk Lim and Hyonguk Pang and June{-}Hee Lee and Seung{-}Yeob Baek and Naxin Kim and Dong{-}Ho Choi and Young{-}Ho Choi and Hyeyeon Yang and Taehun Yoon and Sang{-}Hyeok Chu and Kangjik Kim and Woochul Jung and Bong{-}Kyu Kim and Jaechol Lee and Gunil Kang and Sang{-}Hune Park and Michael Choi and Jongshin Shin}, title = {6.4 {A} 56Gb/s 7.7mW/Gb/s {PAM-4} Wireline Transceiver in 10nm FinFET Using MM-CDR-Based {ADC} Timing Skew Control and Low-Power {DSP} with Approximate Multiplier}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {122--124}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062964}, doi = {10.1109/ISSCC19947.2020.9062964}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooLPLBKCCYYCKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ChoiKPYLKSL20, author = {Jun{-}Ho Choi and Kyungmin Kim and Taejin Park and Junho Yun and Jong{-}Hwan Lee and Songkuk Kim and Hyunjung Shim and Jong{-}Seok Lee}, title = {Real-time Integrated Human Activity Recognition System based on Multimodal User Understanding}, booktitle = {{IUI} '20: 25th International Conference on Intelligent User Interfaces, Cagliari, Italy, March 17-20, 2020, Companion}, pages = {89--90}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379336.3381482}, doi = {10.1145/3379336.3381482}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iui/ChoiKPYLKSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/LeeLC20, author = {Young{-}Jun Lee and Chae{-}Gyun Lim and Ho{-}Jin Choi}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Korean-Specific Emotion Annotation Procedure Using N-Gram-Based Distant Supervision and Korean-Specific-Feature-Based Distant Supervision}, booktitle = {Proceedings of The 12th Language Resources and Evaluation Conference, {LREC} 2020, Marseille, France, May 11-16, 2020}, pages = {1603--1610}, publisher = {European Language Resources Association}, year = {2020}, url = {https://aclanthology.org/2020.lrec-1.199/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/LeeLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/QinCCAQ20, author = {Chao Ying Qin and Jun{-}Ho Choi and Marios Constantinides and Luca Maria Aiello and Daniele Quercia}, editor = {Susanne Boll and Simon T. Perrault}, title = {Having a Heart Time? {A} Wearable-based Biofeedback System}, booktitle = {MobileHCI '20: 22nd International Conference on Human-Computer Interaction with Mobile Devices and Services: Expanding the Horizon of Mobile Interaction, Extented Abstracts, Oldenburg, Germany, October 5-9, 2020}, pages = {29:1--29:4}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406324.3410539}, doi = {10.1145/3406324.3410539}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mhci/QinCCAQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/KimJCL20, author = {Jun{-}Hyuk Kim and Soobeom Jang and Jun{-}Ho Choi and Jong{-}Seok Lee}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Instability of Successive Deep Image Compression}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {247--255}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3413680}, doi = {10.1145/3394171.3413680}, timestamp = {Thu, 15 Oct 2020 12:15:03 +0200}, biburl = {https://dblp.org/rec/conf/mm/KimJCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JeonMD20, author = {Hong Jun Jeon and Smitha Milli and Anca D. Dragan}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Reward-rational (implicit) choice: {A} unifying formalism for reward learning}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/2f10c1578a0706e06b6d7db6f0b4a6af-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/JeonMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MinBACC0GHLPRRK20, author = {Sewon Min and Jordan L. Boyd{-}Graber and Chris Alberti and Danqi Chen and Eunsol Choi and Michael Collins and Kelvin Guu and Hannaneh Hajishirzi and Kenton Lee and Jennimaria Palomaki and Colin Raffel and Adam Roberts and Tom Kwiatkowski and Patrick S. H. Lewis and Yuxiang Wu and Heinrich K{\"{u}}ttler and Linqing Liu and Pasquale Minervini and Pontus Stenetorp and Sebastian Riedel and Sohee Yang and Minjoon Seo and Gautier Izacard and Fabio Petroni and Lucas Hosseini and Nicola De Cao and Edouard Grave and Ikuya Yamada and Sonse Shimaoka and Masatoshi Suzuki and Shumpei Miyawaki and Shun Sato and Ryo Takahashi and Jun Suzuki and Martin Fajcik and Martin Docekal and Karel Ondrej and Pavel Smrz and Hao Cheng and Yelong Shen and Xiaodong Liu and Pengcheng He and Weizhu Chen and Jianfeng Gao and Barlas Oguz and Xilun Chen and Vladimir Karpukhin and Stan Peshterliev and Dmytro Okhonko and Michael Sejr Schlichtkrull and Sonal Gupta and Yashar Mehdad and Wen{-}tau Yih}, editor = {Hugo Jair Escalante and Katja Hofmann}, title = {NeurIPS 2020 EfficientQA Competition: Systems, Analyses and Lessons Learned}, booktitle = {NeurIPS 2020 Competition and Demonstration Track, 6-12 December 2020, Virtual Event / Vancouver, BC, Canada}, series = {Proceedings of Machine Learning Research}, volume = {133}, pages = {86--111}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v133/min21a.html}, timestamp = {Fri, 30 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/MinBACC0GHLPRRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/KooKHHC20, author = {Youngeun Koo and Jiyoun Kim and Jungpyo Hong and Munpyo Hong and Sung{-}Kwon Choi}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {Towards a Linguistically Motivated Segmentation for a Simultaneous Interpretation System}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {129--137}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.16/}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/paclic/KooKHHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psb/BaeJCY20, author = {Ho Bae and Dahuin Jung and Hyun{-}Soo Choi and Sungroh Yoon}, title = {AnomiGAN: Generative Adversarial Networks for Anonymizing Private Medical Data}, booktitle = {Pacific Symposium on Biocomputing 2020, Fairmont Orchid, Hawaii, USA, January 3-7, 2020}, pages = {563--574}, year = {2020}, url = {https://psb.stanford.edu/psb-online/proceedings/psb20/Bae.pdf}, timestamp = {Fri, 13 Aug 2021 16:31:26 +0200}, biburl = {https://dblp.org/rec/conf/psb/BaeJCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChoiKCKHK20, author = {Jusop Choi and Soolin Kim and Junsung Cho and Kuyju Kim and Seok Hong and Hyoungshick Kim}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {ACIDroid: a practical app cache integrity protection system on Android Runtime}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {376--383}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3374037}, doi = {10.1145/3341105.3374037}, timestamp = {Thu, 02 Apr 2020 17:08:04 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChoiKCKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ChoiHKH20, author = {Jungsik Choi and Jaewan Hong and Youngjin Kwon and Hwansoo Han}, editor = {Ada Gavrilovska and Erez Zadok}, title = {Libnvmmio: Reconstructing Software {IO} Path with Failure-Atomic Memory-Mapped Interface}, booktitle = {Proceedings of the 2020 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2020, July 15-17, 2020}, pages = {1--16}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/atc20/presentation/choi}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ChoiHKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ImKHCY20, author = {Dongseok Im and Sanghoon Kang and Donghyeon Han and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162895}, doi = {10.1109/VLSICIRCUITS18222.2020.9162895}, timestamp = {Mon, 24 Aug 2020 16:22:01 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ImKHCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimKCY20, author = {Kwantae Kim and Changhyeon Kim and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 0.5V, 6.2{\(\mu\)}W, 0.059mm\({}^{\mbox{2}}\) Sinusoidal Current Generator {IC} with 0.088{\%} {THD} for Bio-Impedance Sensing}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162983}, doi = {10.1109/VLSICIRCUITS18222.2020.9162983}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimKCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/OhLKZSAVFGCWMBB20, author = {Jinwook Oh and Sae Kyu Lee and Mingu Kang and Matthew M. Ziegler and Joel Silberman and Ankur Agrawal and Swagath Venkataramani and Bruce M. Fleischer and Michael Guillorn and Jungwook Choi and Wei Wang and Silvia M. Mueller and Shimon Ben{-}Yehuda and James Bonanno and Nianzheng Cao and Robert Casatuta and Chia{-}Yu Chen and Matt Cohen and Ophir Erez and Thomas W. Fox and George Gristede and Howard Haynie and Vicktoria Ivanov and Siyu Koswatta and Shih{-}Hsien Lo and Martin Lutz and Gary W. Maier and Alex Mesh and Yevgeny Nustov and Scot Rider and Marcel Schaal and Michael Scheuermann and Xiao Sun and Naigang Wang and Fanchieh Yee and Ching Zhou and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 3.0 {TFLOPS} 0.62V Scalable Processor Core for High Compute Utilization {AI} Training and Inference}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162917}, doi = {10.1109/VLSICIRCUITS18222.2020.9162917}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/OhLKZSAVFGCWMBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/HamPSCF20, author = {Andy Ham and Myoung{-}Ju Park and Ho{-}Jun Shin and Si{-}Young Choi and John W. Fowler}, title = {Integrated Scheduling of Jobs, Reticles, Machines, {AMHS} and {ARHS} in a Semiconductor Manufacturing}, booktitle = {Winter Simulation Conference, {WSC} 2020, Orlando, FL, USA, December 14-18, 2020}, pages = {1966--1973}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WSC48552.2020.9384027}, doi = {10.1109/WSC48552.2020.9384027}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/HamPSCF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-01578, author = {Giang Nguyen and Shuan Chen and Thao Do and Tae Joon Jun and Ho{-}Jin Choi and Daeyoung Kim}, title = {Dissecting Catastrophic Forgetting in Continual Learning by Deep Visualization}, journal = {CoRR}, volume = {abs/2001.01578}, year = {2020}, url = {http://arxiv.org/abs/2001.01578}, eprinttype = {arXiv}, eprint = {2001.01578}, timestamp = {Sat, 30 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-01578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-04833, author = {Hong Jun Jeon and Smitha Milli and Anca D. Dragan}, title = {Reward-rational (implicit) choice: {A} unifying formalism for reward learning}, journal = {CoRR}, volume = {abs/2002.04833}, year = {2020}, url = {https://arxiv.org/abs/2002.04833}, eprinttype = {arXiv}, eprint = {2002.04833}, timestamp = {Fri, 14 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-04833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-13255, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Jun Kyun Choi}, title = {Joint Orthogonal Band and Power Allocation for Energy Fairness in {WPT} System with Nonlinear Logarithmic Energy Harvesting Model}, journal = {CoRR}, volume = {abs/2003.13255}, year = {2020}, url = {https://arxiv.org/abs/2003.13255}, eprinttype = {arXiv}, eprint = {2003.13255}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-13255.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-03194, author = {Youngmoon Jung and Seong Min Kye and Yeunju Choi and Myunghun Jung and Hoirin Kim}, title = {Multi-Scale Aggregation Using Feature Pyramid Module for Text-Independent Speaker Verification}, journal = {CoRR}, volume = {abs/2004.03194}, year = {2020}, url = {https://arxiv.org/abs/2004.03194}, eprinttype = {arXiv}, eprint = {2004.03194}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-03194.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-13918, author = {Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {EmbraceNet for Activity: {A} Deep Multimodal Fusion Architecture for Activity Recognition}, journal = {CoRR}, volume = {abs/2004.13918}, year = {2020}, url = {https://arxiv.org/abs/2004.13918}, eprinttype = {arXiv}, eprint = {2004.13918}, timestamp = {Sun, 03 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-13918.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03356, author = {Seongho Choi and Kyoung{-}Woon On and Yu{-}Jung Heo and Ahjeong Seo and Youwon Jang and Seungchan Lee and Min Su Lee and Byoung{-}Tak Zhang}, title = {DramaQA: Character-Centered Video Story Understanding with Hierarchical {QA}}, journal = {CoRR}, volume = {abs/2005.03356}, year = {2020}, url = {https://arxiv.org/abs/2005.03356}, eprinttype = {arXiv}, eprint = {2005.03356}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03356.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-05021, author = {Youngnam Lee and Byungsoo Kim and Dongmin Shin and JungHoon Kim and Jineon Baek and Jinhwan Lee and Youngduck Choi}, title = {Prescribing Deep Attentive Score Prediction Attracts Improved Student Engagement}, journal = {CoRR}, volume = {abs/2005.05021}, year = {2020}, url = {https://arxiv.org/abs/2005.05021}, eprinttype = {arXiv}, eprint = {2005.05021}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-05021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-01339, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, title = {SRZoo: An integrated repository for super-resolution using deep learning}, journal = {CoRR}, volume = {abs/2006.01339}, year = {2020}, url = {https://arxiv.org/abs/2006.01339}, eprinttype = {arXiv}, eprint = {2006.01339}, timestamp = {Tue, 09 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-01339.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05213, author = {Sanghyun Yoo and Young{-}Seok Kim and Kang Hyun Lee and Kuhwan Jeong and Junhwi Choi and Hoshik Lee and Young Sang Choi}, title = {Graph-Aware Transformer: Is Attention All Graphs Need?}, journal = {CoRR}, volume = {abs/2006.05213}, year = {2020}, url = {https://arxiv.org/abs/2006.05213}, eprinttype = {arXiv}, eprint = {2006.05213}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05213.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08267, author = {Yeunju Choi and Youngmoon Jung and Hoirin Kim}, title = {Neural {MOS} Prediction for Synthesized Speech Using Multi-Task Learning With Spoofing Detection and Spoofing Type Classification}, journal = {CoRR}, volume = {abs/2007.08267}, year = {2020}, url = {https://arxiv.org/abs/2007.08267}, eprinttype = {arXiv}, eprint = {2007.08267}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03710, author = {Yeunju Choi and Youngmoon Jung and Hoirin Kim}, title = {Deep {MOS} Predictor for Synthetic Speech Using Cluster-Based Modeling}, journal = {CoRR}, volume = {abs/2008.03710}, year = {2020}, url = {https://arxiv.org/abs/2008.03710}, eprinttype = {arXiv}, eprint = {2008.03710}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-06208, author = {Taewoo Lee and Min{-}Joong Lee and Tae Gyoon Kang and Seokyeong Jung and Minseok Kwon and Yeona Hong and Jungin Lee and Kyoung{-}Gu Woo and Ho{-}Gyeong Kim and Jiseung Jeong and Jihyun Lee and Hosik Lee and Young Sang Choi}, title = {Adaptable Multi-Domain Language Model for Transformer {ASR}}, journal = {CoRR}, volume = {abs/2008.06208}, year = {2020}, url = {https://arxiv.org/abs/2008.06208}, eprinttype = {arXiv}, eprint = {2008.06208}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-06208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06943, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Liang Chen and Xiaotong Luo and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. Victor Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.06943}, year = {2020}, url = {https://arxiv.org/abs/2009.06943}, eprinttype = {arXiv}, eprint = {2009.06943}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12072, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.12072}, year = {2020}, url = {https://arxiv.org/abs/2009.12072}, eprinttype = {arXiv}, eprint = {2009.12072}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-02477, author = {Youngmoon Jung and Yeunju Choi and Hyungjun Lim and Hoirin Kim}, title = {A Unified Deep Learning Framework for Short-Duration Speaker Verification in Adverse Environments}, journal = {CoRR}, volume = {abs/2010.02477}, year = {2020}, url = {https://arxiv.org/abs/2010.02477}, eprinttype = {arXiv}, eprint = {2010.02477}, timestamp = {Tue, 13 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-02477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-01174, author = {Yeunju Choi and Youngmoon Jung and Youngjoo Suh and Hoirin Kim}, title = {Perceptually Guided End-to-End Text-to-Speech}, journal = {CoRR}, volume = {abs/2011.01174}, year = {2020}, url = {https://arxiv.org/abs/2011.01174}, eprinttype = {arXiv}, eprint = {2011.01174}, timestamp = {Mon, 09 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-01174.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-02666, author = {SeulGi Hong and Heonjin Ha and Junmo Kim and Min{-}Kook Choi}, title = {Deep Active Learning with Augmentation-based Consistency Estimation}, journal = {CoRR}, volume = {abs/2011.02666}, year = {2020}, url = {https://arxiv.org/abs/2011.02666}, eprinttype = {arXiv}, eprint = {2011.02666}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-02666.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14582, author = {Sung Hyuck Hong and Sucheol Kim and Junil Choi and Wan Choi}, title = {Polar-Cap Codebook Design for {MISO} Rician Fading Channels with Limited Feedback}, journal = {CoRR}, volume = {abs/2011.14582}, year = {2020}, url = {https://arxiv.org/abs/2011.14582}, eprinttype = {arXiv}, eprint = {2011.14582}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14585, author = {Jaehui Hwang and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Just One Moment: Inconspicuous One Frame Attack on Deep Action Recognition}, journal = {CoRR}, volume = {abs/2011.14585}, year = {2020}, url = {https://arxiv.org/abs/2011.14585}, eprinttype = {arXiv}, eprint = {2011.14585}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhmedCLKKLJ19, author = {Samsuddin Ahmed and Kyu Yeong Choi and Jang Jae Lee and Byeong C. Kim and Goo{-}Rak Kwon and Kun Ho Lee and Ho Yub Jung}, title = {Ensembles of Patch-Based Classifiers for Diagnosis of Alzheimer Diseases}, journal = {{IEEE} Access}, volume = {7}, pages = {73373--73383}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2920011}, doi = {10.1109/ACCESS.2019.2920011}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AhmedCLKKLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnCOJ19, author = {Bummo Ahn and Woonjae Choi and Mark P. Ottensmeyer and Hoeryong Jung}, title = {Incision Sensor Using Conductive Tape for Cricothyrotomy Training Simulation With Quantitative Feedback}, journal = {{IEEE} Access}, volume = {7}, pages = {12947--12958}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891958}, doi = {10.1109/ACCESS.2019.2891958}, timestamp = {Wed, 27 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhnCOJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BasherCLLKLJ19, author = {Abol Basher and Kyu Yeong Choi and Jang Jae Lee and Bumshik Lee and Byeong C. Kim and Kun Ho Lee and Ho Yub Jung}, title = {Hippocampus Localization Using a Two-Stage Ensemble Hough Convolutional Neural Network}, journal = {{IEEE} Access}, volume = {7}, pages = {73436--73447}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2920005}, doi = {10.1109/ACCESS.2019.2920005}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BasherCLLKLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKBJ19, author = {Hongjin Choi and Yeonhwa Kim and Jae{-}Woo Baek and Kyung{-}Young Jung}, title = {Accurate and Efficient Finite-Difference Time-Domain Simulation Compared With {CCPR} Model for Complex Dispersive Media}, journal = {{IEEE} Access}, volume = {7}, pages = {160498--160505}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2951173}, doi = {10.1109/ACCESS.2019.2951173}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKBJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiL19, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Local Flooding-Based on-Demand Routing Protocol for Mobile Ad Hoc Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {85937--85948}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2923837}, doi = {10.1109/ACCESS.2019.2923837}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSCJ19, author = {Mi Jin Choi and Jae Kyu Suhr and Kyoungtaek Choi and Ho Gi Jung}, title = {Low-Cost Precise Vehicle Localization Using Lane Endpoints and Road Signs for Highway Situations}, journal = {{IEEE} Access}, volume = {7}, pages = {149846--149856}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2947287}, doi = {10.1109/ACCESS.2019.2947287}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiSCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSJ19, author = {Kyoungtaek Choi and Jae Kyu Suhr and Ho Gi Jung}, title = {Map-Matching-Based Cascade Landmark Detection and Vehicle Localization}, journal = {{IEEE} Access}, volume = {7}, pages = {127874--127894}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939763}, doi = {10.1109/ACCESS.2019.2939763}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiSJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKJCH19, author = {Insik Jung and Hyunsoo Kim and Jinkyo Jeong and Sooyong Choi and Daesik Hong}, title = {An Enhanced Tabu Search Based Receiver for Full-Spreading {NOMA} Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {159899--159917}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950901}, doi = {10.1109/ACCESS.2019.2950901}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungKJCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKNCJ19, author = {Eun{-}Kyung Kim and Jinuk Kim and Hoach The Nguyen and Han Ho Choi and Jin{-}Woo Jung}, title = {Compensation of Parameter Uncertainty Using an Adaptive Sliding Mode Control Strategy for an Interior Permanent Magnet Synchronous Motor Drive}, journal = {{IEEE} Access}, volume = {7}, pages = {11913--11923}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2892749}, doi = {10.1109/ACCESS.2019.2892749}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKNCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKYHPJC19, author = {Byungjun Kim and Seongwon Kim and Hoyoung Yoon and Sunwook Hwang and M. Xavier Punithan and Byeong Rim Jo and Sunghyun Choi}, title = {Nearest-First: Efficient Relaying Scheme in Heterogeneous {V2V} Communication Environments}, journal = {{IEEE} Access}, volume = {7}, pages = {23615--23627}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899111}, doi = {10.1109/ACCESS.2019.2899111}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKYHPJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KoOOMLCK19, author = {Hoon Ko and Marek R. Ogiela and Lidia Ogiela and Libor Mesicek and Myoungwon Lee and Junho Choi and Pankoo Kim}, title = {ECG-Based Advanced Personal Identification Study With Adjusted (Q\({}_{\mbox{i}}\) * S\({}_{\mbox{i}}\))}, journal = {{IEEE} Access}, volume = {7}, pages = {40078--40084}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2903575}, doi = {10.1109/ACCESS.2019.2903575}, timestamp = {Tue, 16 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KoOOMLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJCYH19, author = {Sang Eon Lee and Jae{-}Wook Jung and Youngjun Choi and Yoonjin Yoon and Jung{-}Wuk Hong}, title = {Unmanned Aerial Vehicle Impacts on Heat-Strengthened Glass}, journal = {{IEEE} Access}, volume = {7}, pages = {104269--104278}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2930277}, doi = {10.1109/ACCESS.2019.2930277}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeJCYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RafaqNCJ19, author = {Muhammad Saad Rafaq and Anh Tuan Nguyen and Han Ho Choi and Jin{-}Woo Jung}, title = {Disturbance Rejection of {IPMSM} Drives by Simplified Taylor Series-Based Near Optimal Control Scheme in Wide Speed Range}, journal = {{IEEE} Access}, volume = {7}, pages = {20553--20566}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2897693}, doi = {10.1109/ACCESS.2019.2897693}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RafaqNCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RafaqNCJ19a, author = {Muhammad Saad Rafaq and Anh Tuan Nguyen and Han Ho Choi and Jin{-}Woo Jung}, title = {A Robust High-Order Disturbance Observer Design for SDRE-Based Suboptimal Speed Controller of Interior {PMSM} Drives}, journal = {{IEEE} Access}, volume = {7}, pages = {165671--165683}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2953073}, doi = {10.1109/ACCESS.2019.2953073}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RafaqNCJ19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuCL19, author = {Ui{-}Seong Yu and Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Kuramoto-Desync: Distributed and Fair Resource Allocation in a Wireless Network}, journal = {{IEEE} Access}, volume = {7}, pages = {104769--104776}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2932425}, doi = {10.1109/ACCESS.2019.2932425}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JooCLPJJW19, author = {Taewoon Joo and Ji{-}Hye Choi and Ji{-}Hye Lee and So Eun Park and Youngsic Jeon and Sae Hoon Jung and Hyun Goo Woo}, title = {SEQprocess: a modularized and customizable pipeline framework for {NGS} processing in {R} package}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {90:1--90:7}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2676-x}, doi = {10.1186/S12859-019-2676-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JooCLPJJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/MoonHCJCS19, author = {Taewon Moon and Seojung Hong and Ha Young Choi and Dae Ho Jung and Se Hong Chang and Jung{-}Eek Son}, title = {Interpolation of greenhouse environment data using multilayer perceptron}, journal = {Comput. Electron. Agric.}, volume = {166}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.105023}, doi = {10.1016/J.COMPAG.2019.105023}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/MoonHCJCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/ChoiJL19, author = {Junho Choi and Chang{-}Yeol Jung and Hoyeon Lee}, title = {On boundary layers for the Burgers equations in a bounded domain}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {67}, pages = {637--657}, year = {2019}, url = {https://doi.org/10.1016/j.cnsns.2018.07.014}, doi = {10.1016/J.CNSNS.2018.07.014}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/ChoiJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/ChoiJWKH19, author = {Hoyul Choi and Jongmin Jeong and Simon S. Woo and Kyungtae Kang and Junbeom Hur}, title = {Password typographical error resilience in honey encryption}, journal = {Comput. Secur.}, volume = {87}, year = {2019}, url = {https://doi.org/10.1016/j.cose.2018.07.020}, doi = {10.1016/J.COSE.2018.07.020}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/ChoiJWKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChoiBHY19, author = {Sungpill Choi and Kyeongryeol Bong and Donghyeon Han and Hoi{-}Jun Yoo}, title = {CNNP-v2: {A} Memory-Centric Architecture for Low-Power {CNN} Processor on Domain-Specific Mobile Devices}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {4}, pages = {598--611}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2952457}, doi = {10.1109/JETCAS.2019.2952457}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/ChoiBHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChoiJ19, author = {Kyoungtaek Choi and Ho Gi Jung}, title = {Cut-in vehicle warning system exploiting multiple rotational images of {SVM} cameras}, journal = {Expert Syst. Appl.}, volume = {125}, pages = {81--99}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.01.081}, doi = {10.1016/J.ESWA.2019.01.081}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChoiJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/ChoiCS19, author = {Jun Ho Choi and Dong{-}Yoon Choi and Byung Cheol Song}, title = {Demosaicking algorithm for white-RGB {CFA} images}, journal = {{IET} Image Process.}, volume = {13}, number = {5}, pages = {811--816}, year = {2019}, url = {https://doi.org/10.1049/iet-ipr.2018.5820}, doi = {10.1049/IET-IPR.2018.5820}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/ChoiCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/ChoiJ19, author = {Seoung{-}Ho Choi and Sung Hoon Jung}, title = {Similarity Analysis of Actual Fake Fingerprints and Generated Fake Fingerprints by {DCGAN}}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {19}, number = {1}, pages = {40--47}, year = {2019}, url = {https://doi.org/10.5391/IJFIS.2019.19.1.40}, doi = {10.5391/IJFIS.2019.19.1.40}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/ChoiJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/ChoiJK19, author = {Seung Hoe Choi and Hye{-}Young Jung and Hyoshin Kim}, title = {Ridge Fuzzy Regression Model}, journal = {Int. J. Fuzzy Syst.}, volume = {21}, number = {7}, pages = {2077--2090}, year = {2019}, url = {https://doi.org/10.1007/s40815-019-00692-0}, doi = {10.1007/S40815-019-00692-0}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/ChoiJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/ChoiL19, author = {Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {EmbraceNet: {A} robust deep learning architecture for multimodal classification}, journal = {Inf. Fusion}, volume = {51}, pages = {259--270}, year = {2019}, url = {https://doi.org/10.1016/j.inffus.2019.02.010}, doi = {10.1016/J.INFFUS.2019.02.010}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/ChoiL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SeoHC19, author = {Hyowoon Seo and Jun{-}Pyo Hong and Wan Choi}, title = {Low Latency Random Access for Sporadic {MTC} Devices in Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {3}, pages = {5108--5118}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2896620}, doi = {10.1109/JIOT.2019.2896620}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SeoHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/YuHAACHL19, author = {Hyeong Won Yu and Maqbool Hussain and Muhammad Afzal and Taqdir Ali and June Young Choi and Ho{-}Seong Han and Sungyoung Lee}, title = {Use of mind maps and iterative decision trees to develop a guideline-based clinical decision support system for routine surgical practice: case study in thyroid nodules}, journal = {J. Am. Medical Informatics Assoc.}, volume = {26}, number = {6}, pages = {524--536}, year = {2019}, url = {https://doi.org/10.1093/jamia/ocz001}, doi = {10.1093/JAMIA/OCZ001}, timestamp = {Wed, 26 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/YuHAACHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jits/LeeCJPS19, author = {Haengju Lee and Saerona Choi and Hojin Jung and Byungkyu Brian Park and Sang H. Son}, title = {A route guidance system considering travel time unreliability}, journal = {J. Intell. Transp. Syst.}, volume = {23}, number = {3}, pages = {282--299}, year = {2019}, url = {https://doi.org/10.1080/15472450.2018.1542303}, doi = {10.1080/15472450.2018.1542303}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jits/LeeCJPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/HaKHCKKPCLKY19, author = {Hojin Ha and Heejun Kang and Hyungkyu Huh and Woorak Choi and Hyun Jung Koo and Jaeyoung Kwon and Kyoung Jin Park and Young Chul Cho and Sang Joon Lee and Namkug Kim and Dong Hyun Yang}, title = {Accuracy evaluation of blood flow distribution in the Fontan circulation: effects of resolution and velocity noise}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {245--257}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-0536-9}, doi = {10.1007/S12650-018-0536-9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/HaKHCKKPCLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/KimLHKPHC19, author = {Jun Hee Kim and Haeyun Lee and Seonghwan J. Hong and Sewoong Kim and Juhum Park and Jae Youn Hwang and Jihwan P. Choi}, title = {Objects Segmentation From High-Resolution Aerial Images Using U-Net With Pyramid Pooling Layers}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {16}, number = {1}, pages = {115--119}, year = {2019}, url = {https://doi.org/10.1109/LGRS.2018.2868880}, doi = {10.1109/LGRS.2018.2868880}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/KimLHKPHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimSKCH19, author = {Minsang Kim and Nak{-}Jun Sung and Sang{-}Joon Kim and Yoo{-}Joo Choi and Min Hong}, title = {Parallel cloth simulation with effective collision detection for interactive {AR} application}, journal = {Multim. Tools Appl.}, volume = {78}, number = {4}, pages = {4851--4868}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6063-9}, doi = {10.1007/S11042-018-6063-9}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimSKCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/NguyenNKLYC19, author = {Hai Duong Nguyen and In Seop Na and Soo{-}Hyung Kim and Gueesang Lee and Hyung Jeong Yang and Jun Ho Choi}, title = {Multiple human tracking in drone image}, journal = {Multim. Tools Appl.}, volume = {78}, number = {4}, pages = {4563--4577}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6141-z}, doi = {10.1007/S11042-018-6141-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/NguyenNKLYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/VinyalsBCMDCCPE19, author = {Oriol Vinyals and Igor Babuschkin and Wojciech M. Czarnecki and Micha{\"{e}}l Mathieu and Andrew Dudzik and Junyoung Chung and David H. Choi and Richard Powell and Timo Ewalds and Petko Georgiev and Junhyuk Oh and Dan Horgan and Manuel Kroiss and Ivo Danihelka and Aja Huang and Laurent Sifre and Trevor Cai and John P. Agapiou and Max Jaderberg and Alexander Sasha Vezhnevets and R{\'{e}}mi Leblond and Tobias Pohlen and Valentin Dalibard and David Budden and Yury Sulsky and James Molloy and Tom Le Paine and {\c{C}}aglar G{\"{u}}l{\c{c}}ehre and Ziyu Wang and Tobias Pfaff and Yuhuai Wu and Roman Ring and Dani Yogatama and Dario W{\"{u}}nsch and Katrina McKinney and Oliver Smith and Tom Schaul and Timothy P. Lillicrap and Koray Kavukcuoglu and Demis Hassabis and Chris Apps and David Silver}, title = {Grandmaster level in StarCraft {II} using multi-agent reinforcement learning}, journal = {Nat.}, volume = {575}, number = {7782}, pages = {350--354}, year = {2019}, url = {https://doi.org/10.1038/s41586-019-1724-z}, doi = {10.1038/S41586-019-1724-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/VinyalsBCMDCCPE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShinOFNLJJJCL19, author = {Hyeong{-}Geol Shin and Se{-}Hong Oh and Masaki Fukunaga and Yoonho Nam and Doo{-}Hee Lee and Woojin Jung and Minju Jo and Sooyeon Ji and Joon Yul Choi and Jongho Lee}, title = {Advances in gradient echo myelin water imaging at 3T and 7T}, journal = {NeuroImage}, volume = {188}, pages = {835--844}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2018.11.040}, doi = {10.1016/J.NEUROIMAGE.2018.11.040}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ShinOFNLJJJCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JeonKHLKKPJKMNK19, author = {Sungwoong Jeon and Sangwon Kim and Shinwon Ha and Seungmin Lee and Eunhee Kim and So Yeun Kim and Sun Hwa Park and Jung Ho Jeon and Sung Won Kim and Cheil Moon and Bradley J. Nelson and Jin{-}young Kim and Seong{-}Woon Yu and Hongsoo Choi}, title = {Magnetically actuated microrobots as a platform for stem cell transplantation}, journal = {Sci. Robotics}, volume = {4}, number = {30}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aav4317}, doi = {10.1126/SCIROBOTICS.AAV4317}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JeonKHLKKPJKMNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKSC19, author = {June{-}Heang Choi and Hyungtak Kim and Hyuk{-}Kee Sung and Ho{-}Young Cha}, title = {Investigation of Stability and Power Consumption of an AlGaN/GaN Heterostructure Hydrogen Gas Sensor Using Different Bias Conditions}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5549}, year = {2019}, url = {https://doi.org/10.3390/s19245549}, doi = {10.3390/S19245549}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCJRC19, author = {Kihyun Kim and Namhyun Choi and Jun Ho Jeon and Gi{-}eun Rhie and Jaebum Choo}, title = {SERS-Based Immunoassays for the Detection of Botulinum Toxins {A} and {B} Using Magnetic Beads}, journal = {Sensors}, volume = {19}, number = {19}, pages = {4081}, year = {2019}, url = {https://doi.org/10.3390/s19194081}, doi = {10.3390/S19194081}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCJRC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCKLLCPLS19, author = {Jimin Lee and Byoung Soo Choi and Sang{-}Hwan Kim and Jewon Lee and Junwoo Lee and Seunghyuk Chang and Jong{-}Ho Park and Sang{-}Jin Lee and Jang{-}Kyoo Shin}, title = {Effects of Offset Pixel Aperture Width on the Performances of Monochrome {CMOS} Image Sensors for Depth Extraction}, journal = {Sensors}, volume = {19}, number = {8}, pages = {1823}, year = {2019}, url = {https://doi.org/10.3390/s19081823}, doi = {10.3390/S19081823}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCKLLCPLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeSKPCLH19, author = {Suji Lee and Dan{-}Bi Sung and Seungyoon Kang and Saravanan Parameswaran and Jun{-}Ho Choi and Jong Seok Lee and Min Su Han}, title = {Development of Human Serum Albumin Selective Fluorescent Probe Using Thieno[3, 2-b]pyridine-5(4H)-one Fluorophore Derivatives}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5298}, year = {2019}, url = {https://doi.org/10.3390/s19235298}, doi = {10.3390/S19235298}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeSKPCLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NguyenTBNHCPPKO19, author = {Thanh Phuoc Nguyen and Nguyen Thanh Phong Truong and Nhat Quang Bui and Van Tu Nguyen and Giang Hoang and Jaeyeop Choi and Thi Tuong Vy Phan and Van Hiep Pham and Byung{-}Gak Kim and Junghwan Oh}, title = {Design, Fabrication, and Evaluation of Multifocal Point Transducer for High-Frequency Ultrasound Applications}, journal = {Sensors}, volume = {19}, number = {3}, pages = {609}, year = {2019}, url = {https://doi.org/10.3390/s19030609}, doi = {10.3390/S19030609}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NguyenTBNHCPPKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PeddigariKPMKAC19, author = {Mahesh Peddigari and Ga{-}Yeon Kim and Chan Hee Park and Yuho Min and Jong{-}Woo Kim and Cheol{-}Woo Ahn and Jong{-}Jin Choi and Byung{-}Dong Hahn and Joon{-}Hwan Choi and Dong{-}Soo Park and Jae{-}Keun Hong and Jong{-}Taek Yeom and Kwi{-}Il Park and Dae{-}Yong Jeong and Woon{-}Ha Yoon and Jungho Ryu and Geon{-}Tae Hwang}, title = {A Comparison Study of Fatigue Behavior of Hard and Soft Piezoelectric Single Crystal Macro-Fiber Composites for Vibration Energy Harvesting}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2196}, year = {2019}, url = {https://doi.org/10.3390/s19092196}, doi = {10.3390/S19092196}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PeddigariKPMKAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShimHCHKB19, author = {Myounghoon Shim and Jong In Han and Ho Seon Choi and Seongmin Ha and Jung Hoon Kim and Yoon Su Baek}, title = {Terrain Feature Estimation Method for a Lower Limb Exoskeleton Using Kinematic Analysis and Center of Pressure}, journal = {Sensors}, volume = {19}, number = {20}, pages = {4418}, year = {2019}, url = {https://doi.org/10.3390/s19204418}, doi = {10.3390/S19204418}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShimHCHKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YeoCJPRC19, author = {Hong Goo Yeo and Junhee Choi and Changzhu Jin and Seonghun Pyo and Yongrae Roh and Hongsoo Choi}, title = {The Design and Optimization of a Compressive-Type Vector Sensor Utilizing a {PMN-28PT} Piezoelectric Single-Crystal}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5155}, year = {2019}, url = {https://doi.org/10.3390/s19235155}, doi = {10.3390/S19235155}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YeoCJPRC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/LeeHCQ19, author = {Kisong Lee and Jun{-}Pyo Hong and Hyun{-}Ho Choi and Tony Q. S. Quek}, title = {Wireless-Powered Two-Way Relaying Protocols for Optimizing Physical Layer Security}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {14}, number = {1}, pages = {162--174}, year = {2019}, url = {https://doi.org/10.1109/TIFS.2018.2847452}, doi = {10.1109/TIFS.2018.2847452}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/LeeHCQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KimKCJ19, author = {Eun{-}Kyung Kim and Jinuk Kim and Han Ho Choi and Jin{-}Woo Jung}, title = {Variable Structure Speed Controller Guaranteeing Robust Transient Performance of an {IPMSM} Drive}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {6}, pages = {3300--3310}, year = {2019}, url = {https://doi.org/10.1109/TII.2018.2873424}, doi = {10.1109/TII.2018.2873424}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/KimKCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChoiKLSLLCPLS19, author = {Byoung Soo Choi and Sang{-}Hwan Kim and Jimin Lee and Donghyun Seong and Jewon Lee and Junwoo Lee and Seunghyuk Chang and Jong{-}Ho Park and Sang{-}Jin Lee and Jang{-}Kyoo Shin}, title = {Effects of Aperture Diameter on Image Blur of {CMOS} Image Sensor With Pixel Apertures}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {68}, number = {5}, pages = {1382--1388}, year = {2019}, url = {https://doi.org/10.1109/TIM.2019.2905708}, doi = {10.1109/TIM.2019.2905708}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ChoiKLSLLCPLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChoiYH19, author = {Junchul Choi and Hoeseok Yang and Soonhoi Ha}, title = {Optimization of Fault-Tolerant Mixed-Criticality Multi-Core Systems with Enhanced {WCRT} Analysis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {1}, pages = {6:1--6:26}, year = {2019}, url = {https://doi.org/10.1145/3275154}, doi = {10.1145/3275154}, timestamp = {Fri, 10 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/ChoiYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChoiASKKJ19, author = {Sara Choi and Hong Keun Ahn and Byungkyu Song and Jung Pill Kim and Seung{-}Hyuk Kang and Seong{-}Ook Jung}, title = {A Decoder for Short {BCH} Codes With High Decoding Efficiency and Low Power for Emerging Memories}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {2}, pages = {387--397}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2877147}, doi = {10.1109/TVLSI.2018.2877147}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChoiASKKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ParkCL19, author = {Jaesung Park and Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Flocking-Inspired Transmission Power Control for Fair Resource Allocation in Vehicle-Mounted Mobile Relay Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {1}, pages = {754--764}, year = {2019}, url = {https://doi.org/10.1109/TVT.2018.2869270}, doi = {10.1109/TVT.2018.2869270}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ParkCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/SonLC19, author = {Kyungrak Son and Jung Hoon Lee and Wan Choi}, title = {User-Cache Aided Transmission With Index Coding in K-User Downlink Channels}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {18}, number = {12}, pages = {6043--6058}, year = {2019}, url = {https://doi.org/10.1109/TWC.2019.2942032}, doi = {10.1109/TWC.2019.2942032}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/SonLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/IrtazaLC19, author = {Syed Ali Irtaza and Sun Hong Lim and Jun Won Choi}, title = {Greedy Data-Aided Active User Detection for Massive Machine Type Communications}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {4}, pages = {1224--1227}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2912372}, doi = {10.1109/LWC.2019.2912372}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/IrtazaLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ChoiBHY19, author = {Sungpill Choi and Kyeongryeol Bong and Donghyeon Han and Hoi{-}Jun Yoo}, title = {CNNP-v2: An Energy Efficient Memory-Centric Convolutional Neural Network Processor Architecture}, booktitle = {{IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2019, Hsinchu, Taiwan, March 18-20, 2019}, pages = {38--41}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AICAS.2019.8771617}, doi = {10.1109/AICAS.2019.8771617}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ChoiBHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/JungKLKC19, author = {Sanghun Jung and Jinsung Kim and Hoyoung Lee and Youngho Ko and Seibum B. Choi}, title = {Hydraulic Clutch Fill Control using Control-oriented Model in Wet Dual Clutch Transmission}, booktitle = {2019 American Control Conference, {ACC} 2019, Philadelphia, PA, USA, July 10-12, 2019}, pages = {5538--5543}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ACC.2019.8815273}, doi = {10.23919/ACC.2019.8815273}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/JungKLKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/JungCK19, author = {Youngmoon Jung and Yeunju Choi and Hoirin Kim}, title = {Self-Adaptive Soft Voice Activity Detection Using Deep Neural Networks for Robust Speaker Verification}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {365--372}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9003935}, doi = {10.1109/ASRU46091.2019.9003935}, timestamp = {Mon, 24 Feb 2020 17:51:31 +0100}, biburl = {https://dblp.org/rec/conf/asru/JungCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeePC19, author = {Young{-}Jun Lee and Chan Yong Park and Ho{-}Jin Choi}, title = {Word-Level Emotion Embedding Based on Semi-Supervised Learning for Emotional Classification in Dialogue}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2019, Kyoto, Japan, February 27 - March 2, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIGCOMP.2019.8679196}, doi = {10.1109/BIGCOMP.2019.8679196}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeePC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/NipaneSJCBYHT19, author = {Ankur Nipane and Punnu Jose Sebastian and Younghun Jung and Min Sup Choi and Abhinandan Borah and Won Jong Yoo and James C. Hone and James T. Teherani}, title = {Atomic Layer Etching {(ALE)} of WSe2 Yielding High Mobility p-FETs}, booktitle = {Device Research Conference, {DRC} 2019, Ann Arbor, MI, USA, June 23-26, 2019}, pages = {231--232}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DRC46940.2019.9046402}, doi = {10.1109/DRC46940.2019.9046402}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drc/NipaneSJCBYHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChoiL19, author = {Jun{-}Ho Choi and Jong{-}Seok Lee}, editor = {Robert Harle and Katayoun Farrahi and Nicholas D. Lane}, title = {EmbraceNet for activity: a deep multimodal fusion architecture for activity recognition}, booktitle = {Proceedings of the 2019 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2019 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2019 Adjunct, London, UK, September 9-13, 2019}, pages = {693--698}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341162.3344871}, doi = {10.1145/3341162.3344871}, timestamp = {Thu, 09 Jul 2020 09:13:39 +0200}, biburl = {https://dblp.org/rec/conf/huc/ChoiL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/KwonLC19, author = {Hyung Jun Kwon and Jung Hoon Lee and Wan Choi}, title = {Machine Learning-Based Beamforming in Two-User {MISO} Interference Channels}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {496--499}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8669027}, doi = {10.1109/ICAIIC.2019.8669027}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/KwonLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/WooSC19, author = {Ju{-}ho Woo and Joo{-}Yeop Song and Young{-}June Choi}, title = {Performance Enhancement of Deep Neural Network Using Feature Selection and Preprocessing for Intrusion Detection}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {415--417}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8668995}, doi = {10.1109/ICAIIC.2019.8668995}, timestamp = {Mon, 08 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/WooSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ChoiZKHL19, author = {Jun{-}Ho Choi and Huan Zhang and Jun{-}Hyuk Kim and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Evaluating Robustness of Deep Image Super-Resolution Against Adversarial Attacks}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {303--311}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00039}, doi = {10.1109/ICCV.2019.00039}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/ChoiZKHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/DuZWWSLBSZKLZAS19, author = {Dawei Du and Yue Zhang and Zexin Wang and Zhikang Wang and Zichen Song and Ziming Liu and Liefeng Bo and Hailin Shi and Rui Zhu and Aashish Kumar and Aijin Li and Almaz Zinollayev and Anuar Askergaliyev and Arne Schumann and Binjie Mao and Pengfei Zhu and Byeongwon Lee and Chang Liu and Changrui Chen and Chunhong Pan and Chunlei Huo and Da Yu and Dechun Cong and Dening Zeng and Dheeraj Reddy Pailla and Di Li and Longyin Wen and Dong Wang and Donghyeon Cho and Dongyu Zhang and Furui Bai and George Jose and Guangyu Gao and Guizhong Liu and Haitao Xiong and Hao Qi and Haoran Wang and Xiao Bian and Heqian Qiu and Hongliang Li and Huchuan Lu and Ildoo Kim and Jaekyum Kim and Jane Shen and Jihoon Lee and Jing Ge and Jingjing Xu and Jingkai Zhou and Haibin Ling and Jonas Meier and Jun Won Choi and Junhao Hu and Junyi Zhang and Junying Huang and Kaiqi Huang and Keyang Wang and Lars Sommer and Lei Jin and Lei Zhang and Qinghua Hu and Lianghua Huang and Lin Sun and Lucas Steinmann and Meixia Jia and Nuo Xu and Pengyi Zhang and Qiang Chen and Qingxuan Lv and Qiong Liu and Qishang Cheng and Tao Peng and Sai Saketh Chennamsetty and Shuhao Chen and Shuo Wei and Srinivas S. S. Kruthiventi and Sungeun Hong and Sungil Kang and Tong Wu and Tuo Feng and Varghese Alex Kollerathu and Wanqi Li and Jiayu Zheng and Wei Dai and Weida Qin and Weiyang Wang and Xiaorui Wang and Xiaoyu Chen and Xin Chen and Xin Sun and Xin Zhang and Xin Zhao and Xindi Zhang and Xinyao Wang and Xinyu Zhang and Xuankun Chen and Xudong Wei and Xuzhang Zhang and Yanchao Li and Yifu Chen and Yu Heng Toh and Yu Zhang and Yu Zhu and Yunxin Zhong}, title = {VisDrone-DET2019: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {213--226}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00030}, doi = {10.1109/ICCVW.2019.00030}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/DuZWWSLBSZKLZAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/IgnatovKSRXHDYL19, author = {Andrey Ignatov and Praveen Kandula and Maitreya Suin and A. N. Rajagopalan and Zhiwei Xiong and Jie Huang and Guanting Dong and Mingde Yao and Dong Liu and Wenjin Yang and Ming Hong and Wenying Lin and Jagruti Patel and Yanyun Qu and Jae{-}Seok Choi and Woonsung Park and Munchurl Kim and Rui Liu and Xiangyu Mao and Chengxi Yang and Qiong Yan and Wenxiu Sun and Junkai Fang and Radu Timofte and Meimei Shang and Fei Gao and Sujoy Ghosh and Prasen Kumar Sharma and Arijit Sur and Bolun Zheng and Xin Ye and Li Huang and Xiang Tian and Saikat Dutta and Kuldeep Purohit}, title = {{AIM} 2019 Challenge on Bokeh Effect Synthesis: Methods and Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3591--3598}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00444}, doi = {10.1109/ICCVW.2019.00444}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/IgnatovKSRXHDYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiLKS19, author = {Jun Ho Choi and Kang Il Lee and Young Chan Kim and Byung Cheol Song}, title = {Accurate Eye Pupil Localization Using Heterogeneous {CNN} Models}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {2179--2183}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803121}, doi = {10.1109/ICIP.2019.8803121}, timestamp = {Wed, 11 Dec 2019 16:30:23 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChoiLKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiGMOWNL19, author = {Jongwook Choi and Yijie Guo and Marcin Moczulski and Junhyuk Oh and Neal Wu and Mohammad Norouzi and Honglak Lee}, title = {Contingency-Aware Exploration in Reinforcement Learning}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=HyxGB2AcY7}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiGMOWNL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkHCPL19, author = {Junho Park and Geun Young Hong and Youngjin Choi and Dongliang Peng and Qiang Lu}, title = {Passivity based Control of Antagonistic Tendon-Driven Mechanism}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {3095--3100}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8794151}, doi = {10.1109/ICRA.2019.8794151}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkHCPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJC19, author = {Won Shik Kim and Ho{-}Youl Jung and Jae Hun Choi}, title = {Automatic {CAC} Voxel Classification with Multi-scale {CNN} Architecture}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1351--1353}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939821}, doi = {10.1109/ICTC46691.2019.8939821}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungKLCK19, author = {Youngmoon Jung and Younggwan Kim and Hyungjun Lim and Yeunju Choi and Hoirin Kim}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Spatial Pyramid Encoding with Convex Length Normalization for Text-Independent Speaker Verification}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {4030--4034}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2177}, doi = {10.21437/INTERSPEECH.2019-2177}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungKLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImHCKY19, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} Dilated and Transposed Convolution Neural Network Accelerator for Real-Time Image Segmentation on Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702243}, doi = {10.1109/ISCAS.2019.8702243}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ImHCKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKSCKY19, author = {Changhyeon Kim and Sanghoon Kang and Dongjoo Shin and Sungpill Choi and Youngwoo Kim and Hoi{-}Jun Yoo}, title = {A 2.1TFLOPS/W Mobile Deep {RL} Accelerator with Transposable {PE} Array and Experience Compression}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {136--138}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662447}, doi = {10.1109/ISSCC.2019.8662447}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimKSCKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBGPSCKKKJMH19, author = {Inhak Lee and Hanwool Jeong and Sangyeop Baeck and Siddharth Gupta and Changnam Park and Dongwook Seo and Jaeseung Choi and Jaeyoung Kim and Hoon Kim and Jungmyung Kang and Sunyung Jang and Daeyoung Moon and Sangshin Han and Taehyung Kim and Jaehyun Lim and Younghwan Park and Hyejin Hwang and Jeonseung Kang and Taejoong Song}, title = {A Voltage and Temperature Tracking {SRAM} Assist Supporting 740mV Dual-Rail Offset for Low-Power and High-Performance Applications in 7nm {EUV} FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {392--394}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662439}, doi = {10.1109/ISSCC.2019.8662439}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBGPSCKKKJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/LeeC19, author = {Junho Lee and Jun Won Choi}, title = {May {I} Cut Into Your Lane?: {A} Policy Network to Learn Interactive Lane Change Behavior for Autonomous Driving}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {4342--4347}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8917434}, doi = {10.1109/ITSC.2019.8917434}, timestamp = {Mon, 09 Dec 2019 12:57:57 +0100}, biburl = {https://dblp.org/rec/conf/itsc/LeeC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/HwangPSLCHKKY19, author = {Eun Jung Hwang and Hyeoun{-}Ae Park and Seung Kook Sohn and Hong Bock Lee and Hee Kyoung Choi and Sangmi Ha and Hak Jun Kim and Tae Wan Kim and Wook Youm}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Mapping Korean {EDI} Medical Procedure Code to {SNOMED} {CT}}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {178--182}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190207}, doi = {10.3233/SHTI190207}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/HwangPSLCHKKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeeYKHKSJBCLC19, author = {Sun Jung Lee and Sung Hye Yu and Yejin Kim and Jun Hyuk Hong and Choung{-}Soo Kim and Seong Il Seo and Chang Wook Jeong and Seok{-}Soo Byun and Byung Ha Chung and Ji Youl Lee and In Young Choi}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Development of Integrated Data and Prediction System Platform for the Localized Prostate Cancer}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1506--1507}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190507}, doi = {10.3233/SHTI190507}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LeeYKHKSJBCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/ChoiBAJCPY19, author = {Hoyong Choi and Jihwan Bang and Namjo Ahn and Jinhwan Jung and Jungwook Choi and Soobum Park and Yung Yi}, title = {{CH-MAC:} {A} Cluster-based, Hybrid {TDMA} {MAC} Protocol over Wireless Ad-hoc Networks}, booktitle = {2019 {IEEE} Military Communications Conference, {MILCOM} 2019, Norfolk, VA, USA, November 12-14, 2019}, pages = {743--748}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MILCOM47813.2019.9020769}, doi = {10.1109/MILCOM47813.2019.9020769}, timestamp = {Thu, 19 Mar 2020 08:47:16 +0100}, biburl = {https://dblp.org/rec/conf/milcom/ChoiBAJCPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChoHCH19, author = {Sanghun Cho and Jaewan Hong and Jungsik Choi and Hwansoo Han}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Multithreaded double queuing for balanced {CPU-GPU} memory copying}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {1444--1450}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297426}, doi = {10.1145/3297280.3297426}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChoHCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KeelJKKKBCSKACJ19, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Chang{-}Rok Moon and Hyunsurk Ryu}, title = {A 640{\texttimes}480 Indirect Time-of-Flight {CMOS} Image Sensor with 4-tap 7-{\(\mu\)}m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation Scheme}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {258}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778090}, doi = {10.23919/VLSIC.2019.8778090}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KeelJKKKBCSKACJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/KangLKKCCH19, author = {HyeongYeop Kang and Geonsun Lee and Dae Seok Kang and Ohung Kwon and Jun Yeup Cho and Ho{-}Jung Choi and JunaHvun Han}, title = {Jumping Further: Forward Jumps in a Gravity-reduced Immersive Virtual Environment}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2019, Osaka, Japan, March 23-27, 2019}, pages = {699--707}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VR.2019.8798251}, doi = {10.1109/VR.2019.8798251}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/KangLKKCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wims/ChoiCKK19, author = {Junho Choi and Chang Choi and Sunghwan Kim and Hoon Ko}, editor = {Rajendra Akerkar and Jason J. Jung}, title = {Medical Information Protection Frameworks for Smart Healthcare based on IoT}, booktitle = {Proceedings of the 9th International Conference on Web Intelligence, Mining and Semantics, {WIMS} 2019, Seoul, Republic of Korea, June 26-28, 2019}, pages = {29:1--29:5}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3326467.3326496}, doi = {10.1145/3326467.3326496}, timestamp = {Fri, 29 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wims/ChoiCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-10905, author = {Dahuin Jung and Ho Bae and Hyun{-}Soo Choi and Sungroh Yoon}, title = {PixelSteganalysis: Pixel-wise Hidden Information Removal with Low Visual Degradation}, journal = {CoRR}, volume = {abs/1902.10905}, year = {2019}, url = {http://arxiv.org/abs/1902.10905}, eprinttype = {arXiv}, eprint = {1902.10905}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-10905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-00623, author = {Yu{-}Jung Heo and Kyoung{-}Woon On and Seongho Choi and Jaeseo Lim and Jinah Kim and Jeh{-}Kwang Ryu and Byung{-}Chull Bae and Byoung{-}Tak Zhang}, title = {Constructing Hierarchical Q{\&}A Datasets for Video Story Understanding}, journal = {CoRR}, volume = {abs/1904.00623}, year = {2019}, url = {http://arxiv.org/abs/1904.00623}, eprinttype = {arXiv}, eprint = {1904.00623}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-00623.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-06097, author = {Jun{-}Ho Choi and Huan Zhang and Jun{-}Hyuk Kim and Cho{-}Jui Hsieh and Jong{-}Seok Lee}, title = {Evaluating Robustness of Deep Image Super-Resolution against Adversarial Attacks}, journal = {CoRR}, volume = {abs/1904.06097}, year = {2019}, url = {http://arxiv.org/abs/1904.06097}, eprinttype = {arXiv}, eprint = {1904.06097}, timestamp = {Sat, 31 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-06097.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-09078, author = {Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {EmbraceNet: {A} robust deep learning architecture for multimodal classification}, journal = {CoRR}, volume = {abs/1904.09078}, year = {2019}, url = {http://arxiv.org/abs/1904.09078}, eprinttype = {arXiv}, eprint = {1904.09078}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-09078.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-08333, author = {Youngmoon Jung and Younggwan Kim and Hyungjun Lim and Yeunju Choi and Hoirin Kim}, title = {Spatial Pyramid Encoding with Convex Length Normalization for Text-Independent Speaker Verification}, journal = {CoRR}, volume = {abs/1906.08333}, year = {2019}, url = {http://arxiv.org/abs/1906.08333}, eprinttype = {arXiv}, eprint = {1906.08333}, timestamp = {Mon, 24 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-08333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-11886, author = {Youngmoon Jung and Yeunju Choi and Hoirin Kim}, title = {Self-Adaptive Soft Voice Activity Detection using Deep Neural Networks for Robust Speaker Verification}, journal = {CoRR}, volume = {abs/1909.11886}, year = {2019}, url = {http://arxiv.org/abs/1909.11886}, eprinttype = {arXiv}, eprint = {1909.11886}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-11886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-05721, author = {Yoon{-}Ho Choi and Peng Liu and Zitong Shang and Haizhou Wang and Zhilong Wang and Lan Zhang and Junwei Zhou and Qingtian Zou}, title = {Using Deep Learning to Solve Computer Security Challenges: {A} Survey}, journal = {CoRR}, volume = {abs/1912.05721}, year = {2019}, url = {http://arxiv.org/abs/1912.05721}, eprinttype = {arXiv}, eprint = {1912.05721}, timestamp = {Mon, 06 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-05721.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLKPCHLLWJK18, author = {Kanghae Kim and Pilun Kim and Jaeyul Lee and Suwon Kim and Sungjo Park and Soo Ho Choi and Junho Hwang and Jong Hoon Lee and Ho Lee and Ruchire Eranga Wijesinghe and Mansik Jeon and Jeehyun Kim}, title = {Non-Destructive Identification of Weld-Boundary and Porosity Formation During Laser Transmission Welding by Using Optical Coherence Tomography}, journal = {{IEEE} Access}, volume = {6}, pages = {76768--76775}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2882527}, doi = {10.1109/ACCESS.2018.2882527}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKLKPCHLLWJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/JeonC18, author = {Jong{-}June Jeon and Hosik Choi}, title = {The sparse Luce model}, journal = {Appl. Intell.}, volume = {48}, number = {8}, pages = {1953--1964}, year = {2018}, url = {https://doi.org/10.1007/s10489-016-0861-4}, doi = {10.1007/S10489-016-0861-4}, timestamp = {Thu, 19 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/JeonC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JungCL18, author = {Ji{-}Young Jung and Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Survey of Bio-Inspired Resource Allocation Algorithms and {MAC} Protocol Design Based on a Bio-Inspired Algorithm for Mobile Ad Hoc Networks}, journal = {{IEEE} Commun. Mag.}, volume = {56}, number = {1}, pages = {119--127}, year = {2018}, url = {https://doi.org/10.1109/MCOM.2017.1500740}, doi = {10.1109/MCOM.2017.1500740}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/JungCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/JungCLJH18, author = {Taeil Jung and Jung Hun Choi and Seung Jun Lee and Seung Ho Jang and Seung Jun Han}, title = {Performance optimization of polarized-light-emitting source based on aluminum metal grid for display application}, journal = {Displays}, volume = {53}, pages = {40--46}, year = {2018}, url = {https://doi.org/10.1016/j.displa.2018.07.002}, doi = {10.1016/J.DISPLA.2018.07.002}, timestamp = {Mon, 27 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/JungCLJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiCHM18, author = {Ju{-}Ho Choi and Jung{-}Hwan Cha and Youn{-}Hee Han and Sung{-}Gi Min}, title = {A Network-Based Identifier Locator Separation Scheme for VANETs}, journal = {{IEICE} Trans. Commun.}, volume = {101-B}, number = {3}, pages = {785--794}, year = {2018}, url = {https://doi.org/10.1587/transcom.2017EBP3146}, doi = {10.1587/TRANSCOM.2017EBP3146}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiCHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/HongPLLCSO18, author = {Seongil Hong and Gyuhyun Park and Youngwoo Lee and Wonsuk Lee and Byunghun Choi and Okkee Sim and Jun{-}Ho Oh}, title = {Development of a Tele-Operated Rescue Robot for a Disaster Response}, journal = {Int. J. Humanoid Robotics}, volume = {15}, number = {4}, pages = {1850008:1--1850008:31}, year = {2018}, url = {https://doi.org/10.1142/S0219843618500081}, doi = {10.1142/S0219843618500081}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/HongPLLCSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeHCL18, author = {Kisong Lee and Jun{-}Pyo Hong and Hyun{-}Ho Choi and Marco Levorato}, title = {Adaptive Wireless-Powered Relaying Schemes With Cooperative Jamming for Two-Hop Secure Communication}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {4}, pages = {2793--2803}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2018.2830880}, doi = {10.1109/JIOT.2018.2830880}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeHCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeCRS18, author = {Jaehwan Lee and June Choi and Hongchan Roh and Ji Sun Shin}, title = {An Empirical Performance Analysis on Hadoop via Optimizing the Network Heartbeat Period}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {11}, pages = {5252--5268}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.11.005}, doi = {10.3837/TIIS.2018.11.005}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeCRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SungTKCCH18, author = {Nak{-}Jun Sung and Shane Transue and Minsang Kim and Yoo{-}Joo Choi and Min{-}Hyung Choi and Min Hong}, title = {Optimization of Material Properties for Coherent Behavior across Multi-resolution Cloth Models}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {8}, pages = {4072--4089}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.08.029}, doi = {10.3837/TIIS.2018.08.029}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SungTKCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/LeeKPC18, author = {Seung Ho Lee and E. S. Kim and J. Y. Park and Jun Choi}, title = {Numerical analysis of thermal deformation and residual stress in automotive muffler by {MIG} welding}, journal = {J. Comput. Des. Eng.}, volume = {5}, number = {4}, pages = {382--390}, year = {2018}, url = {https://doi.org/10.1016/j.jcde.2018.05.001}, doi = {10.1016/J.JCDE.2018.05.001}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/LeeKPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/ParkKYC18, author = {Seungil Park and Byungjun Kim and Hoyoung Yoon and Sunghyun Choi}, title = {RA-eV2V: relaying systems for {LTE-V2V} communications}, journal = {J. Commun. Networks}, volume = {20}, number = {4}, pages = {396--405}, year = {2018}, url = {https://doi.org/10.1109/JCN.2018.000055}, doi = {10.1109/JCN.2018.000055}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcn/ParkKYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/ChoiH18, author = {Woo{-}Hyeok Choi and Jun{-}Ho Huh}, title = {A Design of Application through Physical Therapy Big Data Analytics}, journal = {J. Multim. Inf. Syst.}, volume = {5}, number = {3}, pages = {171--178}, year = {2018}, url = {https://doi.org/10.9717/JMIS.2018.5.3.171}, doi = {10.9717/JMIS.2018.5.3.171}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/ChoiH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/ChoiHW18, author = {Jeong{-}Hoon Choi and Jun{-}Ho Huh and Sunghyun Weon}, title = {A Design of Diabetes Mellitus Scheduling Program for Diabetic Patients: {A} Software Engineering Approach}, journal = {J. Multim. Inf. Syst.}, volume = {5}, number = {1}, pages = {53--58}, year = {2018}, url = {https://doi.org/10.9717/JMIS.2018.5.1.53}, doi = {10.9717/JMIS.2018.5.1.53}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/ChoiHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BongCKHY18, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A Low-Power Convolutional Neural Network Face Recognition Processor and a {CIS} Integrated With Always-on Face Detector}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {115--123}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2767705}, doi = {10.1109/JSSC.2017.2767705}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BongCKHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiKSKPS18, author = {Seungnam Choi and Hwan{-}Seok Ku and Hyunwoo Son and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {An 84.6-dB-SNDR and 98.2-dB-SFDR Residue-Integrated {SAR} {ADC} for Low-Power Sensor Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {2}, pages = {404--417}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2774287}, doi = {10.1109/JSSC.2017.2774287}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiKSKPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiLLLSPK18, author = {Minsoo Choi and Sooeun Lee and Myungguk Lee and Ji{-}Hoon Lee and Jae{-}Yoon Sim and Hong{-}June Park and Byungsub Kim}, title = {An {FFE} Transmitter Which Automatically and Adaptively Relaxes Impedance Matching}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {6}, pages = {1780--1792}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2808603}, doi = {10.1109/JSSC.2018.2808603}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiLLLSPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLKRCY18, author = {Unsoo Ha and Jaehyuk Lee and Minseo Kim and Taehwan Roh and Sangsik Choi and Hoi{-}Jun Yoo}, title = {An {EEG-NIRS} Multimodal SoC for Accurate Anesthesia Depth Monitoring}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {6}, pages = {1830--1843}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2810213}, doi = {10.1109/JSSC.2018.2810213}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLKRCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YiCHBCJKSP18, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {144--154}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2746698}, doi = {10.1109/JSSC.2017.2746698}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YiCHBCJKSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/KoHMCCK18, author = {Hoon Ko and Seogchan Hwang and Libor Mesicek and Jongsun Choi and Junho Choi and Pankoo Kim}, title = {A Study on Context-Relationship with Context-Attributes for a Smart Service Generation in Smart City}, journal = {J. Univers. Comput. Sci.}, volume = {24}, number = {3}, pages = {249--260}, year = {2018}, url = {http://www.jucs.org/jucs\_24\_3/a\_study\_on\_context}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jucs/KoHMCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ChoiRHL18, author = {Hyun{-}Ho Choi and Bongsoo Roh and Myoung{-}hun Han and Jung{-}Ryun Lee}, title = {Regional Route Maintenance Protocol Based on Local Pheromone Diffusion for Mobile Ad Hoc Networks}, journal = {Mob. Networks Appl.}, volume = {23}, number = {3}, pages = {580--596}, year = {2018}, url = {https://doi.org/10.1007/s11036-017-0907-9}, doi = {10.1007/S11036-017-0907-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ChoiRHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiYAKBK18, author = {Kyeonggon Choi and Dong{-}Youl Yu and Sungdo Ahn and Kyoung{-}Ho Kim and Jung{-}Hwan Bang and Yong{-}Ho Ko}, title = {Joint reliability of various Pb-free solders under harsh vibration conditions for automotive electronics}, journal = {Microelectron. Reliab.}, volume = {86}, pages = {66--71}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.05.006}, doi = {10.1016/J.MICROREL.2018.05.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiYAKBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/YoonHCPKY18, author = {JunWeon Yoon and Taeyoung Hong and JangWon Choi and ChanYeol Park and Ki{-}Bong Kim and HeonChang Yu}, title = {Evaluation of {P2P} and cloud computing as platform for exhaustive key search on block ciphers}, journal = {Peer-to-Peer Netw. Appl.}, volume = {11}, number = {6}, pages = {1206--1216}, year = {2018}, url = {https://doi.org/10.1007/s12083-018-0641-5}, doi = {10.1007/S12083-018-0641-5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppna/YoonHCPKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkLKHKHCYK18, author = {Jun{-}Sung Park and Hanlim Lee and Jhoon Kim and Jay Herman and Woogyung Kim and Hyunkee Hong and Wonei Choi and Jiwon Yang and Daewon Kim}, title = {Retrieval Accuracy of {HCHO} Vertical Column Density from Ground-Based Direct-Sun Measurement and First {HCHO} Column Measurement Using Pandora}, journal = {Remote. Sens.}, volume = {10}, number = {2}, pages = {173}, year = {2018}, url = {https://doi.org/10.3390/rs10020173}, doi = {10.3390/RS10020173}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkLKHKHCYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoYLCLAH18, author = {Dong Guk Cho and Ha{-}Neul Yoon and Haein Lee and Yeol Kyo Choi and Minju Lee and Dong June Ahn and Seunghun Hong}, title = {High-Speed Lateral Flow Strategy for a Fast Biosensing with an Improved Selectivity and Binding Affinity}, journal = {Sensors}, volume = {18}, number = {5}, pages = {1507}, year = {2018}, url = {https://doi.org/10.3390/s18051507}, doi = {10.3390/S18051507}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoYLCLAH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiJS18, author = {Kyoungtaek Choi and Ho Gi Jung and Jae Kyu Suhr}, title = {Automatic Calibration of an Around View Monitor System Exploiting Lane Markings}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2956}, year = {2018}, url = {https://doi.org/10.3390/s18092956}, doi = {10.3390/S18092956}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiJYCCP18, author = {Jin Choi and Jung Hyun Jo and Hong{-}Suh Yim and Eun{-}Jung Choi and Sungki Cho and Jang{-}Hyun Park}, title = {Optical Tracking Data Validation and Orbit Estimation for Sparse Observations of Satellites by the OWL-Net}, journal = {Sensors}, volume = {18}, number = {6}, pages = {1868}, year = {2018}, url = {https://doi.org/10.3390/s18061868}, doi = {10.3390/S18061868}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiJYCCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiSJ18, author = {Kyoungtaek Choi and Jae Kyu Suhr and Ho Gi Jung}, title = {{FAST} Pre-Filtering-Based Real Time Road Sign Detection for Low-Cost Vehicle Localization}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3590}, year = {2018}, url = {https://doi.org/10.3390/s18103590}, doi = {10.3390/S18103590}, timestamp = {Sat, 01 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiYR18, author = {Hojong Choi and Jung{-}Yeol Yeom and Jaemyung Ryu}, title = {Development of a Multiwavelength Visible-Range-Supported Opto-Ultrasound Instrument Using a Light-Emitting Diode and Ultrasound Transducer}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3324}, year = {2018}, url = {https://doi.org/10.3390/s18103324}, doi = {10.3390/S18103324}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiYR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JadalihaJXCK18, author = {Mahdi Jadaliha and Jinho Jeong and Yunfei Xu and Jongeun Choi and Jung Hoon Kim}, title = {Fully Bayesian Prediction Algorithms for Mobile Robotic Sensors under Uncertain Localization Using Gaussian Markov Random Fields}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2866}, year = {2018}, url = {https://doi.org/10.3390/s18092866}, doi = {10.3390/S18092866}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JadalihaJXCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongKCLYKKLLCY18, author = {Dahye Jeong and Jinsik Kim and Myung{-}Sic Chae and Wonseok Lee and Seung{-}Hoon Yang and YoungSoo Kim and Seung Min Kim and Jin San Lee and Jeong Hoon Lee and Jungkyu Choi and Dae Sung Yoon and Kyo Seon Hwang}, title = {Multifunctionalized Reduced Graphene Oxide Biosensors for Simultaneous Monitoring of Structural Changes in Amyloid-{\(\beta\)} 40}, journal = {Sensors}, volume = {18}, number = {6}, pages = {1738}, year = {2018}, url = {https://doi.org/10.3390/s18061738}, doi = {10.3390/S18061738}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongKCLYKKLLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSJLCC18, author = {Sang{-}Hoon Kim and Hoon Shin and Youngkyun Jeong and June{-}Hee Lee and Jaehyuk Choi and Jung{-}Hoon Chun}, title = {A 12-Gb/s Stacked Dual-Channel Interface for {CMOS} Image Sensor Systems}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2709}, year = {2018}, url = {https://doi.org/10.3390/s18082709}, doi = {10.3390/S18082709}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimSJLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkHKNCC18, author = {Soyoung Park and Homin Han and ByeongSu Kim and Jun{-}Ho Noh and Jeonghee Chi and Mi{-}Jung Choi}, title = {Real-Time Traffic Risk Detection Model Using Smart Mobile Device}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3686}, year = {2018}, url = {https://doi.org/10.3390/s18113686}, doi = {10.3390/S18113686}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkHKNCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SeolSJCLK18, author = {Hoseok Seol and Wongyu Shin and Jaemin Jang and Jungwhan Choi and Hakseung Lee and Lee{-}Sup Kim}, title = {Elaborate Refresh: {A} Fine Granularity Retention Management for Deep Submicron DRAMs}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {10}, pages = {1403--1415}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2820052}, doi = {10.1109/TC.2018.2820052}, timestamp = {Fri, 14 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SeolSJCLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/NguyenRCJ18, author = {Anh Tuan Nguyen and Muhammad Saad Rafaq and Han Ho Choi and Jin{-}Woo Jung}, title = {A Model Reference Adaptive Control Based Speed Controller for a Surface-Mounted Permanent Magnet Synchronous Motor Drive}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {12}, pages = {9399--9409}, year = {2018}, url = {https://doi.org/10.1109/TIE.2018.2826480}, doi = {10.1109/TIE.2018.2826480}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/NguyenRCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JunCKYKH18, author = {Jaeyung Jun and Kyu Hyun Choi and Hokwon Kim and Sang Ho Yu and Seon Wook Kim and Youngsun Han}, title = {Recovering from Biased Distribution of Faulty Cells in Memory by Reorganizing Replacement Regions through Universal Hashing}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {2}, pages = {16:1--16:21}, year = {2018}, url = {https://doi.org/10.1145/3131241}, doi = {10.1145/3131241}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/JunCKYKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ChoiCAW18, author = {Jin{-}Young Choi and In{-}Sun Choi and Geon{-}Ho Ahn and Dong{-}Jun Won}, title = {Advanced Power Sharing Method to Improve the Energy Efficiency of Multiple Battery Energy Storages System}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {2}, pages = {1292--1300}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2582842}, doi = {10.1109/TSG.2016.2582842}, timestamp = {Wed, 11 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ChoiCAW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JungC18, author = {Hojin Jung and Seibum B. Choi}, title = {Real-Time Individual Tire Force Estimation for an All-Wheel Drive Vehicle}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {4}, pages = {2934--2944}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2779155}, doi = {10.1109/TVT.2017.2779155}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/JungC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ParkYKC18, author = {Seungil Park and Hoyoung Yoon and Byungjun Kim and Sunghyun Choi}, title = {{FAGA:} Feedback-Aided Greedy Algorithm for Periodic Messages in {LTE} {V2V} Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {11}, pages = {11062--11068}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2868515}, doi = {10.1109/TVT.2018.2868515}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ParkYKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/KimJPCCH18, author = {Hyejin Kim and Insik Jung and Yosub Park and Wonsuk Chung and Sooyong Choi and Daesik Hong}, title = {Time Spread-Windowed {OFDM} for Spectral Efficiency Improvement}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {7}, number = {5}, pages = {696--699}, year = {2018}, url = {https://doi.org/10.1109/LWC.2018.2812150}, doi = {10.1109/LWC.2018.2812150}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/KimJPCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ChaCKHM18, author = {Jung{-}Hwan Cha and Ju{-}Ho Choi and Ji{-}Yong Kim and Youn{-}Hee Han and Sung{-}Gi Min}, title = {A Mobility Link Service for {NDN} Consumer Mobility}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {5149724:1--5149724:8}, year = {2018}, url = {https://doi.org/10.1155/2018/5149724}, doi = {10.1155/2018/5149724}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ChaCKHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeKCSPK18, author = {Ji{-}Hoon Lee and Kwangmin Kim and Minsoo Choi and Jae{-}Yoon Sim and Hong{-}June Park and Byungsub Kim}, editor = {Youngsoo Shin}, title = {A 16.6-pJ/b 150-Mb/s body-channel communication transceiver with decision feedback equalization improving {\textgreater}200x area efficiency}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {311--312}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297335}, doi = {10.1109/ASPDAC.2018.8297335}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeKCSPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JeonBJLJKCJHJ18, author = {Hyuntak Jeon and Jun{-}Suk Bang and Yoontae Jung and Taeju Lee and Yeseul Jeon and Seok{-}Tae Koh and Jaesuk Choi and Doojin Jang and Soonyoung Hong and Minkyu Je}, title = {A 3.9{\(\mu\)}W, 81.3dB SNDR, DC-coupled, Time-based Neural Recording {IC} with Degeneration {R-DAC} for Bidirectional Neural Interface in 180nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {91--92}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579284}, doi = {10.1109/ASSCC.2018.8579284}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/JeonBJLJKCJHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Mon, 07 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/ChoiKOYPYY18, author = {Hyun{-}Soo Choi and Siwon Kim and Jung Eun Oh and Jee Eun Yoon and Jung Ah Park and Chang{-}Ho Yun and Sungroh Yoon}, editor = {Amarda Shehu and Cathy H. Wu and Christina Boucher and Jing Li and Hongfang Liu and Mihai Pop}, title = {XGBoost-Based Instantaneous Drowsiness Detection Framework Using Multitaper Spectral Information of Electroencephalography}, booktitle = {Proceedings of the 2018 {ACM} International Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} 2018, Washington, DC, USA, August 29 - September 01, 2018}, pages = {111--121}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3233547.3233567}, doi = {10.1145/3233547.3233567}, timestamp = {Mon, 10 Jun 2024 20:41:10 +0200}, biburl = {https://dblp.org/rec/conf/bcb/ChoiKOYPYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/ChoiLH18, author = {Junemuk Choi and Jian Li and James Won{-}Ki Hong}, title = {Design and Implementation of Fault-Tolerant {LISP} Mapping System}, booktitle = {Proceedings of the 13th International Conference on Future Internet Technologies, {CFI} 2018, Seoul, Republic of Korea, June 20-22, 2018}, pages = {3:1--3:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3226052.3226061}, doi = {10.1145/3226052.3226061}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/ChoiLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/JungYYPC18, author = {Woo{-}Sung Jung and Tae Hyun Yoon and Daeseung Yoo and Jeong{-}Ho Park and Hyun{-}Kyun Choi}, title = {Limitation of LoRaWAN in the Smart {HSE} System for Shipbuilding and Onshore Plant}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610494}, doi = {10.1109/DYSPAN.2018.8610494}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/JungYYPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/CheonKCL18, author = {Manri Cheon and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {Generative Adversarial Network-Based Image Super-Resolution Using Perceptual Content Losses}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {51--62}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_4}, doi = {10.1007/978-3-030-11021-5\_4}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/CheonKCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimOKHCLZ18, author = {Eun{-}Sol Kim and Kyoung{-}Woon On and Jongseok Kim and Yu{-}Jung Heo and Seong{-}Ho Choi and Hyun{-}Dong Lee and Byoung{-}Tak Zhang}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {Temporal Attention Mechanism with Conditional Inference for Large-Scale Multi-label Video Classification}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {11132}, pages = {306--316}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11018-5\_28}, doi = {10.1007/978-3-030-11018-5\_28}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KimOKHCLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SonMKCKC18, author = {Choonghyun Son and Hyunsik Moon and Daeeun Kim and Min Ho Chun and Seung{-}Jong Kim and Junho Choi}, title = {Effect of Pelvic Movement on Healthy Subjects During Gait Training Using a Gait Rehabilitation System}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {2475--2478}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512766}, doi = {10.1109/EMBC.2018.8512766}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SonMKCKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/BaeLYCS18, author = {Jisu Bae and Sun Hong Lim and Jin Hyeok Yoo and Jun Won Choi and Byonghyo Shim}, title = {Dedicated Beam-based Channel Training Technique for Millimeter Wave Communications with high Mobility}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {1830--1834}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553578}, doi = {10.23919/EUSIPCO.2018.8553578}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/BaeLYCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LimYKC18, author = {Sun Hong Lim and Jin Hyeok Yoo and Sunwoo Kim and Jun Won Choi}, title = {Greedy Recovery of Sparse Signals with Dynamically Varying Support}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {578--582}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553450}, doi = {10.23919/EUSIPCO.2018.8553450}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LimYKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HanPLKSC18, author = {Jaeseob Han and Sangdon Park and Gyeong Ho Lee and Minkyung Kim and Hyeonseok Seo and Jun Kyun Choi}, title = {Energy Trading in Wireless Power Transmission System Considering Nonlinear Rectifier}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {145--146}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574855}, doi = {10.1109/GCCE.2018.8574855}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HanPLKSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/KimHLLOC18, author = {Jangkyum Kim and Youngjoo Heo and Gyeong Ho Lee and Joohyung Lee and Hyeontaek Oh and Jun Kyun Choi}, title = {Two stage market model in microgrid using cooperative game theory}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {138--140}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574874}, doi = {10.1109/GCCE.2018.8574874}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/KimHLLOC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChoiL18, author = {Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Confidence-based Deep Multimodal Fusion for Activity Recognition}, booktitle = {Proceedings of the 2018 {ACM} International Joint Conference and 2018 International Symposium on Pervasive and Ubiquitous Computing and Wearable Computers, UbiComp/ISWC 2018 Adjunct, Singapore, October 08-12, 2018}, pages = {1548--1556}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3267305.3267522}, doi = {10.1145/3267305.3267522}, timestamp = {Mon, 28 Aug 2023 21:17:48 +0200}, biburl = {https://dblp.org/rec/conf/huc/ChoiL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeCAC18a, author = {Hyeong Ho Lee and Yun Chul Choi and Byung Jun Ahn and Jin Seek Choi}, title = {Protocols and use cases for media independent management in SDN-based wireless access networks}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {333--339}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323746}, doi = {10.23919/ICACT.2018.8323746}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/LeeCAC18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongCKC18, author = {Heon{-}Jin Hong and Sung{-}Woong Choi and Chung Sup Kim and Young Jun Chong}, title = {Interference Measurement Between 3.5 GHz 5G System And Radar}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1539--1541}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539422}, doi = {10.1109/ICTC.2018.8539422}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongCKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/YunKKJC18, author = {Kwang{-}Wook Yun and Hyeong{-}Geon Kim and Joonho Kwon and Han{-}You Jeong and Yoon{-}Ho Choi}, title = {Vehicular Acceleration Advisory Algorithm Using {V2V} Communication in Highway Junction Point}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {85--88}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436985}, doi = {10.1109/ICUFN.2018.8436985}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/YunKKJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/NamgungJKCK18, author = {Ho Namgung and Jung Sik Jeong and Joo{-}Sung Kim and Jo{-}Cheon Choi and Geun{-}Ung Kim}, title = {Information Exchange System for Efficient Operations of Autonomous Ship}, booktitle = {International Conference on Fuzzy Theory and Its Applications, iFUZZY 2018, Daegu, South Korea, November 14-17, 2018}, pages = {104--109}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/iFUZZY.2018.8751693}, doi = {10.1109/IFUZZY.2018.8751693}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ifuzzy/NamgungJKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCKLLMCYKKLPC18, author = {Jhoon Kim and Myungje Choi and Mijin Kim and Hyungwang Lim and Seovouna Lee and Kyung Jung Moon and Won Joon Choi and Jong Min Yoon and Sang{-}Kyoon Kim and Dai Hn Ko and Seung Hoon Lee and Youngje Park and Chu{-}Yong Chung}, title = {Monitoring Atmospheric Composition by Geo-Kompsat-2: Goci-2, Ami and Gems}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7750--7752}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518713}, doi = {10.1109/IGARSS.2018.8518713}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCKLLMCYKKLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungKCK18, author = {Youngmoon Jung and Younggwan Kim and Yeunju Choi and Hoirin Kim}, editor = {B. Yegnanarayana}, title = {Joint Learning Using Denoising Variational Autoencoders for Voice Activity Detection}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {1210--1214}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1151}, doi = {10.21437/INTERSPEECH.2018-1151}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkLJKKLCLMCS18, author = {Daegil Park and Yeongjun Lee and Kwangyik Jung and Hyeong{-}Joo Kang and Hyeonseung Ki and Jeong{-}Woo Lee and Young{-}Ho Choi and Ji{-}Hong Li and Hyun Myung and Hyun{-}Taek Choi and Jin{-}Ho Suh}, title = {Autonomous Underwater Vehicle Navigation in Structured Environment}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5039}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594429}, doi = {10.1109/IROS.2018.8594429}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkLJKKLCLMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YangCKKPJKMKRSN18, author = {Sang Yul Yang and Kyeong Ho Cho and Youngeun Kim and Kihyeon Kim and Jae Hyeong Park and Hosang Jung and Jeong U. Ko and Hyungpil Moon and Ja Choon Koo and Hugo Rodrigue and Ji Won Suk and Jaedo Nam and Hyouk Ryeol Choi}, title = {Soft Fabric Actuator for Robotic Applications}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5451--5456}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594275}, doi = {10.1109/IROS.2018.8594275}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YangCKKPJKMKRSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiKKLNJSKHCSPCD18, author = {Younggeun Ji and Jeonghoon Kim and Jungin Kim and Miji Lee and Jaeheon Noh and Taeyoung Jeong and Juhyeon Shin and Junho Kim and Young Heo and Ung Cho and Hyun{-}Chul Sagong and Junekyun Park and Yeonsik Choo and Gilhwan Do and Hoyoung Kang and Eunkyeong Choi and Dongyoon Sun and Changki Kang and Sangchul Shin and Sangwoo Pae}, title = {Reliability characterization of advanced {CMOS} image sensor {(CIS)} with 3D stack and in-pixel {DTI}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353570}, doi = {10.1109/IRPS.2018.8353570}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiKKLNJSKHCSPCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanLLCY18, author = {Donghyeon Han and Jinsu Lee and Jinmook Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 141.4 mW Low-Power Online Deep Neural Network Training Processor for Real-time Object Tracking in Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351398}, doi = {10.1109/ISCAS.2018.8351398}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanLLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKCSKY18, author = {Juhyoung Lee and Changhyeon Kim and Sungpill Choi and Dongjoo Shin and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {A 46.1 fps Global Matching Optical Flow Estimation Processor for Action Recognition in Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351177}, doi = {10.1109/ISCAS.2018.8351177}, timestamp = {Wed, 24 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKCSKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SrinivasanFSZSO18, author = {Vijayalakshmi Srinivasan and Bruce M. Fleischer and Sunil Shukla and Matthew M. Ziegler and Joel Silberman and Jinwook Oh and Jungwook Choi and Silvia M. Mueller and Ankur Agrawal and Tina Babinsky and Nianzheng Cao and Chia{-}Yu Chen and Pierce Chuang and Thomas W. Fox and George Gristede and Michael Guillorn and Howard Haynie and Michael J. Klaiber and Dongsoo Lee and Shih{-}Hsien Lo and Gary W. Maier and Michael Scheuermann and Swagath Venkataramani and Christos Vezyrtzis and Naigang Wang and Fanchieh Yee and Ching Zhou and Pong{-}Fei Lu and Brian W. Curran and Leland Chang and Kailash Gopalakrishnan}, title = {Across the Stack Opportunities for Deep Learning Acceleration}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {35:1--35:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3241339}, doi = {10.1145/3218603.3241339}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SrinivasanFSZSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLLY18, author = {Sungpill Choi and Jinsu Lee and Kyuho Jason Lee and Hoi{-}Jun Yoo}, title = {A 9.02mW CNN-stereo-based real-time 3D hand-gesture recognition processor for smart mobile devices}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {220--222}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310263}, doi = {10.1109/ISSCC.2018.8310263}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCPJKOOPKLJKY18, author = {Yitae Kim and Wonchul Choi and Donghyuk Park and Heegeun Jeoung and Bumsuk Kim and Youngsun Oh and Sunghoon Oh and Byungjun Park and Euiyeol Kim and Yunki Lee and Taesub Jung and Yongwoon Kim and Sukki Yoon and Seokyong Hong and Jesuk Lee and Sangil Jung and Changrok Moon and Yongin Park and Duckhyung Lee and Duckhyun Chang}, title = {A 1/2.8-inch 24Mpixel {CMOS} image sensor with 0.9{\(\mu\)}m unit pixels separated by full-depth deep-trench isolation}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {84--86}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310195}, doi = {10.1109/ISSCC.2018.8310195}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCPJKOOPKLJKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKCKCCKPS18, author = {Minseob Lee and Shinwoong Kim and Hwasuk Cho and Jahyun Koo and Kwang{-}Hee Choi and Jin{-}Hyeok Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A 0.3-to-1.2V frequency-scalable fractional-N {ADPLL} with a speculative dual-referenced interpolating {TDC}}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {122--124}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310214}, doi = {10.1109/ISSCC.2018.8310214}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKCKCCKPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeNLCKBLLRCKPP18, author = {Kyung{-}Hoon Lee and Sang{-}Pil Nam and Jung{-}Ho Lee and Michael Choi and Hyung{-}Jong Ko and San{-}Ho Byun and Jin{-}chul Lee and Yong{-}Hoon Lee and Yeong{-}Cheol Rhee and Yoon{-}Kyung Choi and Byunghoon Kang and Changbyung Park and Sungsoo Park and Taesung Kim}, title = {A noise-immune stylus analog front-end using adjustable frequency modulation and linear-interpolating data reconstruction for both electrically coupled resonance and active styluses}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {184--186}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310245}, doi = {10.1109/ISSCC.2018.8310245}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeNLCKBLLRCKPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MaejimaKFTOSSSK18, author = {Hiroshi Maejima and Kazushige Kanda and Susumu Fujimura and Teruo Takagiwa and Susumu Ozawa and Jumpei Sato and Yoshihiko Shindo and Manabu Sato and Naoaki Kanagawa and Junji Musha and Satoshi Inoue and Katsuaki Sakurai and Naohito Morozumi and Ryo Fukuda and Yuui Shimizu and Toshifumi Hashimoto and Xu Li and Yuki Shimizu and Kenichi Abe and Tadashi Yasufuku and Takatoshi Minamoto and Hiroshi Yoshihara and Takahiro Yamashita and Kazuhiko Satou and Takahiro Sugimoto and Fumihiro Kono and Mitsuhiro Abe and Tomoharu Hashiguchi and Masatsugu Kojima and Yasuhiro Suematsu and Takahiro Shimizu and Akihiro Imamoto and Naoki Kobayashi and Makoto Miakashi and Kouichirou Yamaguchi and Sanad Bushnaq and Hicham Haibi and Masatsugu Ogawa and Yusuke Ochi and Kenro Kubota and Taichi Wakui and Dong He and Weihan Wang and Hiroe Minagawa and Tomoko Nishiuchi and Hao Nguyen and Kwang{-}Ho Kim and Ken Cheah and Yee Lih Koh and Feng Lu and Venky Ramachandra and Srinivas Rajendra and Steve Choi and Keyur Payak and Namas Raghunathan and Spiros Georgakis and Hiroshi Sugawara and Seungpil Lee and Takuya Futatsuyama and Koji Hosono and Noboru Shibata and Toshiki Hisada and Tetsuya Kaneko and Hiroshi Nakamura}, title = {A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {336--338}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310321}, doi = {10.1109/ISSCC.2018.8310321}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/MaejimaKFTOSSSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongJRKKPDPCJKC18, author = {Taejoong Song and Jonghoon Jung and Woojin Rim and Hoonki Kim and Yongho Kim and Changnam Park and Jeongho Do and Sunghyun Park and Sungwee Cho and Hyuntaek Jung and Bongjae Kwon and Hyun{-}Su Choi and Jaeseung Choi and Jong Shik Yoon}, title = {A 7nm FinFET {SRAM} using {EUV} lithography with dual write-driver-assist circuitry for low-voltage applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {198--200}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310252}, doi = {10.1109/ISSCC.2018.8310252}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongJRKKPDPCJKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/KwonPJJCTLWYKK18, author = {Soon Kwon and Jaehyeong Park and Heechul Jung and Jihun Jung and Min{-}Kook Choi and Iman Rahmansyah Tayibnapis and Jin{-}Hee Lee and Woong{-}Jae Won and Sung{-}Hoon Youn and Kwang{-}Hoe Kim and Tae Hun Kim}, editor = {Wei{-}Bin Zhang and Alexandre M. Bayen and Javier J. S{\'{a}}nchez Medina and Matthew J. Barth}, title = {Framework for Evaluating Vision-based Autonomous Steering Control Model}, booktitle = {21st International Conference on Intelligent Transportation Systems, {ITSC} 2018, Maui, HI, USA, November 4-7, 2018}, pages = {1310--1316}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ITSC.2018.8569717}, doi = {10.1109/ITSC.2018.8569717}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/KwonPJJCTLWYKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/KangLC18, author = {Jinho Kang and Jung Hoon Lee and Wan Choi}, title = {Dimension-optimized Two-Stage Precoder Design for Massive Mimo Systems with Limited Feedback}, booktitle = {2018 {IEEE} Military Communications Conference, {MILCOM} 2018, Los Angeles, CA, USA, October 29-31, 2018}, pages = {530--535}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MILCOM.2018.8599834}, doi = {10.1109/MILCOM.2018.8599834}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/milcom/KangLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/ChoiSK18, author = {Hong Jun Choi and Dong Oh Son and Cheol Hong Kim}, editor = {Jong Hyuk Park and Hong Shen and Yunsick Sung and Hui Tian}, title = {Memory Contention Aware Power Management for High Performance GPUs}, booktitle = {Parallel and Distributed Computing, Applications and Technologies, 19th International Conference, {PDCAT} 2018, Jeju Island, South Korea, August 20-22, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {931}, pages = {220--229}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-5907-1\_23}, doi = {10.1007/978-981-13-5907-1\_23}, timestamp = {Thu, 28 Nov 2019 09:18:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/ChoiSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimCSCL18, author = {Jun{-}Hyuk Kim and Jun{-}Ho Choi and Choong{-}Hyun Seo and Jaehyuk Chang and Jong{-}Seok Lee}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Deep learning-based super-resolution for digital comics}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {19:1--19:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283337}, doi = {10.1145/3283289.3283337}, timestamp = {Sun, 02 Dec 2018 12:01:29 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimCSCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/JungSJKYSCHLCC18, author = {Eunju Jung and Kwang Ho Song and Dongsik Jang and Jayoung Kim and Ilgeon Yoo and Bokyung Son and Jihyun Choi and Seo Jeong Hwang and So Yeon Lee and Youjin Chong and Eunmi Cho}, title = {The Beneficial Effects of an Autonomously Operated Engineering Education Outreach Program: {A} Case Study of the Servant Leadership Program}, booktitle = {{IEEE} International Conference on Teaching, Assessment, and Learning for Engineering, {TALE} 2018, Wollongong, Australia, December 4-7, 2018}, pages = {944--949}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TALE.2018.8615426}, doi = {10.1109/TALE.2018.8615426}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/tale/JungSJKYSCHLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/JoKHHCJ18, author = {Young Chang Jo and Hae Na Kim and Won Hee Hwang and Hyuck Ki Hong and Yeon Shik Choi and Suk Won Jung}, title = {Wearable Patch Device for Uterine {EMG} and Preterm Birth Monitoring Applications}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {1127--1130}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650268}, doi = {10.1109/TENCON.2018.8650268}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tencon/JoKHHCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/LimCS18, author = {Sun Hong Lim and Jun Won Choi and Byonghyo Shim}, title = {Greedy Sparse Channel Estimation for Millimeter Wave Communications}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {1628--1632}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650065}, doi = {10.1109/TENCON.2018.8650065}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tencon/LimCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ShinBJCK18, author = {Hocheol Shin and Yeonggeol Bae and Seungho Jung and You{-}rak Choi and Chang{-}Hoi Kim}, title = {Development of a Remote Steel Pipe Cutting Robot System}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {103--107}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441772}, doi = {10.1109/URAI.2018.8441772}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ShinBJCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoiHPYBSJYYAKH18, author = {Sung{-}Won Choi and Yeunhee Huh and Sang{-}Hui Park and Kye{-}Seok Yoon and Jun{-}Suk Bang and Se{-}Un Shin and Yong{-}Min Ju and Yu{-}Jin Yang and Junghyuk Yoon and Changyong Ahn and Taekseung Kim and Sung{-}Wan Hong and Gyu{-}Hyeong Cho}, title = {A Quasi-Digital Ultra-Fast Capacitor-Less Low-Dropout Regulator Based on Comparator Control for x8 Current Spike of {PCRAM} Systems}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {107--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502348}, doi = {10.1109/VLSIC.2018.8502348}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoiHPYBSJYYAKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/FleischerSZSOSC18, author = {Bruce M. Fleischer and Sunil Shukla and Matthew M. Ziegler and Joel Silberman and Jinwook Oh and Vijayalakshmi Srinivasan and Jungwook Choi and Silvia M. Mueller and Ankur Agrawal and Tina Babinsky and Nianzheng Cao and Chia{-}Yu Chen and Pierce Chuang and Thomas W. Fox and George Gristede and Michael Guillorn and Howard Haynie and Michael J. Klaiber and Dongsoo Lee and Shih{-}Hsien Lo and Gary W. Maier and Michael Scheuermann and Swagath Venkataramani and Christos Vezyrtzis and Naigang Wang and Fanchieh Yee and Ching Zhou and Pong{-}Fei Lu and Brian W. Curran and Leland Chang and Kailash Gopalakrishnan}, title = {A Scalable Multi- TeraOPS Deep Learning Processor Core for {AI} Trainina and Inference}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {35--36}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502276}, doi = {10.1109/VLSIC.2018.8502276}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/FleischerSZSOSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimYCHP18, author = {Junbeom Kim and Daesung Yu and In{-}Kyeong Choi and Seung{-}Eun Hong and Seok{-}Hwan Park}, title = {Distributed Uplink Reception for {D2D} Underlaid {C-RAN} Systems with Fronthaul Constraints}, booktitle = {88th {IEEE} Vehicular Technology Conference, {VTC} Fall 2018, Chicago, IL, USA, August 27-30, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCFall.2018.8690761}, doi = {10.1109/VTCFALL.2018.8690761}, timestamp = {Mon, 20 Dec 2021 11:29:23 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KimYCHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SonLC18, author = {Kyungrak Son and Jung Hoon Lee and Wan Choi}, title = {Optimization of index code and transmission time for minimum outage in broadcast channels}, booktitle = {2018 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2018, Barcelona, Spain, April 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCNC.2018.8377367}, doi = {10.1109/WCNC.2018.8377367}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SonLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-03178, author = {Suho Shin and Jungseul Ok and Hoyong Choi and Yung Yi}, title = {On the Posted Pricing in Crowdsourcing: Power of Bonus}, journal = {CoRR}, volume = {abs/1804.03178}, year = {2018}, url = {http://arxiv.org/abs/1804.03178}, eprinttype = {arXiv}, eprint = {1804.03178}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-03178.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-07973, author = {Sun Hong Lim and Jisu Bae and Sunwoo Kim and Byonghyo Shim and Jun Won Choi}, title = {Efficient Beam Training and Channel Estimation for Millimeter Wave Communications Under Mobility}, journal = {CoRR}, volume = {abs/1804.07973}, year = {2018}, url = {http://arxiv.org/abs/1804.07973}, eprinttype = {arXiv}, eprint = {1804.07973}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-07973.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-04783, author = {Manri Cheon and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee}, title = {Generative adversarial network-based image super-resolution using perceptual content losses}, journal = {CoRR}, volume = {abs/1809.04783}, year = {2018}, url = {http://arxiv.org/abs/1809.04783}, eprinttype = {arXiv}, eprint = {1809.04783}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-04783.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-04789, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Manri Cheon and Jong{-}Seok Lee}, title = {Deep Learning-based Image Super-Resolution Considering Quantitative and Perceptual Quality}, journal = {CoRR}, volume = {abs/1809.04789}, year = {2018}, url = {http://arxiv.org/abs/1809.04789}, eprinttype = {arXiv}, eprint = {1809.04789}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-04789.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-03468, author = {Mostafa Zaman Chowdhury and Yeong Min Jang and Choong Sub Ji and Sunwoong Choi and Hongseok Jeon and Junghoon Jee and Changmin Park}, title = {Interface Selection for Power Management in {UMTS/WLAN} Overlaying Network}, journal = {CoRR}, volume = {abs/1810.03468}, year = {2018}, url = {http://arxiv.org/abs/1810.03468}, eprinttype = {arXiv}, eprint = {1810.03468}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-03468.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-01483, author = {Jongwook Choi and Yijie Guo and Marcin Moczulski and Junhyuk Oh and Neal Wu and Mohammad Norouzi and Honglak Lee}, title = {Contingency-Aware Exploration in Reinforcement Learning}, journal = {CoRR}, volume = {abs/1811.01483}, year = {2018}, url = {http://arxiv.org/abs/1811.01483}, eprinttype = {arXiv}, eprint = {1811.01483}, timestamp = {Mon, 17 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-01483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-12043, author = {Jun{-}Hyuk Kim and Jun{-}Ho Choi and Manri Cheon and Jong{-}Seok Lee}, title = {{RAM:} Residual Attention Module for Single Image Super-Resolution}, journal = {CoRR}, volume = {abs/1811.12043}, year = {2018}, url = {http://arxiv.org/abs/1811.12043}, eprinttype = {arXiv}, eprint = {1811.12043}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-12043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-12546, author = {Jun{-}Ho Choi and Jun{-}Hyuk Kim and Manri Cheon and Jong{-}Seok Lee}, title = {Lightweight and Efficient Image Super-Resolution with Block State-based Recursive Network}, journal = {CoRR}, volume = {abs/1811.12546}, year = {2018}, url = {http://arxiv.org/abs/1811.12546}, eprinttype = {arXiv}, eprint = {1811.12546}, timestamp = {Mon, 03 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-12546.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-08954, author = {Jong{-}June Jeon and Yongdai Kim and Sungho Won and Hosik Choi}, title = {Primal path algorithm for compositional data analysis}, journal = {CoRR}, volume = {abs/1812.08954}, year = {2018}, url = {http://arxiv.org/abs/1812.08954}, eprinttype = {arXiv}, eprint = {1812.08954}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-08954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/ChoiCK17, author = {Sun{-}Ho Choi and Jaywan Chung and Yong{-}Jung Kim}, title = {Inviscid traveling waves of monostable nonlinearity}, journal = {Appl. Math. Lett.}, volume = {71}, pages = {51--58}, year = {2017}, url = {https://doi.org/10.1016/j.aml.2017.03.019}, doi = {10.1016/J.AML.2017.03.019}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/ChoiCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/SonDCNK17, author = {Dong Oh Son and Cong Thuan Do and Hong Jun Choi and Jiseung Nam and Cheol Hong Kim}, title = {A dynamic {CTA} scheduling scheme for massive parallel computing}, journal = {Clust. Comput.}, volume = {20}, number = {1}, pages = {781--787}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0768-9}, doi = {10.1007/S10586-017-0768-9}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/SonDCNK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/OktianLLL17, author = {Yustus Eko Oktian and Sanggon Lee and Hoonjae Lee and Jun Huy Lam}, title = {Distributed {SDN} controller system: {A} survey on design choice}, journal = {Comput. Networks}, volume = {121}, pages = {100--111}, year = {2017}, url = {https://doi.org/10.1016/j.comnet.2017.04.038}, doi = {10.1016/J.COMNET.2017.04.038}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/OktianLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/JeonKC17, author = {Jong{-}June Jeon and Sunghoon Kwon and Hosik Choi}, title = {Homogeneity detection for the high-dimensional generalized linear model}, journal = {Comput. Stat. Data Anal.}, volume = {114}, pages = {61--74}, year = {2017}, url = {https://doi.org/10.1016/j.csda.2017.04.001}, doi = {10.1016/J.CSDA.2017.04.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/JeonKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/YangCKBSX17, author = {Seung Jei Yang and Jung Ho Choi and Ki Bom Kim and Rohit Bhatia and Brendan Saltaformaggio and Dongyan Xu}, title = {Live acquisition of main memory data from Android smartphones and smartwatches}, journal = {Digit. Investig.}, volume = {23}, pages = {50--62}, year = {2017}, url = {https://doi.org/10.1016/j.diin.2017.09.003}, doi = {10.1016/J.DIIN.2017.09.003}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/YangCKBSX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkCC17, author = {Min Ho Park and JungYul Choi and Jun Kyun Choi}, title = {A Network-Aware Encoding Rate Control Algorithm for Real-Time Up-Streaming Video Services}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {7}, pages = {1653--1656}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2691674}, doi = {10.1109/LCOMM.2017.2691674}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ParkCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiJKKH17, author = {Kyu Hyun Choi and Jaeyung Jun and Hokwon Kim and Seon Wook Kim and Youngsun Han}, title = {A decoupled bit shifting technique using data encoding/decoding for {DRAM} redundancy repair}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170385}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170385}, doi = {10.1587/ELEX.14.20170385}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiJKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TumugantiKC17, author = {Nagakarthik Tumuganti and Joon Ho Kong and Jun Rim Choi}, title = {Novel TCAM-based {PUF} with improved reliability for hardware-entangled security}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {17}, pages = {20170716}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170716}, doi = {10.1587/ELEX.14.20170716}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TumugantiKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkCKPP17, author = {Younsoo Park and Jungwoo Choi and Young{-}Bin Kwon and Jaehwa Park and Ho{-}Hyun Park}, title = {Optimal Spot-Checking Ratio for Probabilistic Attacks in Remote Data Checking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {8}, pages = {1911--1915}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016EDL8120}, doi = {10.1587/TRANSINF.2016EDL8120}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkCKPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/ChoiLKPJ17, author = {Yeongyu Choi and Hyojin Lim and Cuong Nguyen Khac and Ju H. Park and Ho{-}Youl Jung}, title = {Efficient local adaptive thresholding for night-time vehicle candidate detection}, journal = {Int. J. Comput. Vis. Robotics}, volume = {7}, number = {5}, pages = {574--587}, year = {2017}, url = {https://doi.org/10.1504/IJCVR.2017.10005888}, doi = {10.1504/IJCVR.2017.10005888}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/ChoiLKPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/LeeJYC17, author = {Woo{-}Joo Lee and Hye Young Jung and Jin Hee Yoon and Seung{-}Hoe Choi}, title = {Analysis of Variance for Fuzzy Data Based on Permutation Method}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {17}, number = {1}, pages = {43--50}, year = {2017}, url = {https://doi.org/10.5391/IJFIS.2017.17.1.43}, doi = {10.5391/IJFIS.2017.17.1.43}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/LeeJYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/LeeJYC17, author = {Woo{-}Joo Lee and Hye{-}Young Jung and Jin Hee Yoon and Seung{-}Hoe Choi}, title = {A Novel Forecasting Method Based on \emph{F}-Transform and Fuzzy Time Series}, journal = {Int. J. Fuzzy Syst.}, volume = {19}, number = {6}, pages = {1793--1802}, year = {2017}, url = {https://doi.org/10.1007/s40815-017-0354-6}, doi = {10.1007/S40815-017-0354-6}, timestamp = {Fri, 31 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/LeeJYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnm/YoonPSCK17, author = {Sung{-}Ho Yoon and Jun{-}Sang Park and Baraka D. Sija and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {Header signature maintenance for Internet traffic identification}, journal = {Int. J. Netw. Manag.}, volume = {27}, number = {1}, year = {2017}, url = {https://doi.org/10.1002/nem.1959}, doi = {10.1002/NEM.1959}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnm/YoonPSCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/LeeKLNNPPJC17, author = {Choonghan Lee and Uikyum Kim and Dong{-}Hyuk Lee and Canh Toan Nguyen and Dat Tien Nguyen and Hoa Phung and Joonwoo Park and Hosang Jung and Hyouk Ryeol Choi}, title = {Development of a smart handheld surgical tool with tactile feedback}, journal = {Intell. Serv. Robotics}, volume = {10}, number = {2}, pages = {149--158}, year = {2017}, url = {https://doi.org/10.1007/s11370-016-0214-5}, doi = {10.1007/S11370-016-0214-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/LeeKLNNPPJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SungHLC17, author = {Nak{-}Jun Sung and Min Hong and Seung{-}Hyun Lee and Yoo{-}Joo Choi}, title = {Simulation of Deformable Objects using {GLSL} 4.3}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {8}, pages = {4120--4132}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.08.021}, doi = {10.3837/TIIS.2017.08.021}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SungHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/ChoiCPKCLGKHCCL17, author = {Hwanho Choi and Sun Young Cho and Ho Jeong Pak and Youngsoo Kim and Jung{-}Yun Choi and Yoon Jae Lee and Byung Hee Gong and Yeon Seok Kang and Taehoon Han and Geunbae Choi and Yeeun Cho and Soomin Lee and Dekwoo Ryoo and Hwangseo Park}, title = {{NPCARE:} database of natural products and fractional extracts for cancer regulation}, journal = {J. Cheminformatics}, volume = {9}, number = {1}, pages = {2:1--2:9}, year = {2017}, url = {https://doi.org/10.1186/s13321-016-0188-5}, doi = {10.1186/S13321-016-0188-5}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcheminf/ChoiCPKCLGKHCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/HongC17, author = {Jun{-}Pyo Hong and Wan Choi}, title = {Gains and limits of diversity techniques in cognitive radio systems}, journal = {J. Commun. Networks}, volume = {19}, number = {2}, pages = {97--104}, year = {2017}, url = {https://doi.org/10.1109/JCN.2017.000019}, doi = {10.1109/JCN.2017.000019}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/HongC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/KimKLHCPCSJLSKC17, author = {Sanghyun Kim and Mingon Kim and Jimin Lee and Soonwook Hwang and Joonbo Chae and Beomyeong Park and Hyunbum Cho and Jaehoon Sim and Jaesug Jung and Hosang Lee and Seho Shin and Minsung Kim and Wonje Choi and Yisoo Lee and Sumin Park and Jiyong Oh and Yongjin Lee and Sangkuk Lee and Myunggi Lee and Sangyup Yi and Kyong{-}Sok K. C. Chang and Nojun Kwak and Jaeheung Park}, title = {Team SNU's Control Strategies for Enhancing a Robot's Capability: Lessons from the 2015 {DARPA} Robotics Challenge Finals}, journal = {J. Field Robotics}, volume = {34}, number = {2}, pages = {359--380}, year = {2017}, url = {https://doi.org/10.1002/rob.21678}, doi = {10.1002/ROB.21678}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/KimKLHCPCSJLSKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/LimLSJJBSOJSJKL17, author = {Jeongsoo Lim and In{-}Ho Lee and Inwook Shim and Hyobin Jung and Hyun{-}Min Joe and Hyoin Bae and Okkee Sim and Jaesung Oh and Taejin Jung and Seunghak Shin and Kyungdon Joo and Mingeuk Kim and Kang Kyu Lee and Yunsu Bok and Dong{-}Geol Choi and Cho Buyoun and Sungwoo Kim and Jungwoo Heo and Inhyeok Kim and Jungho Lee and In So Kweon and Jun{-}Ho Oh}, title = {Robot System of {DRC-HUBO+} and Control Strategy of Team {KAIST} in {DARPA} Robotics Challenge Finals}, journal = {J. Field Robotics}, volume = {34}, number = {4}, pages = {802--829}, year = {2017}, url = {https://doi.org/10.1002/rob.21673}, doi = {10.1002/ROB.21673}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/LimLSJJBSOJSJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/CaoKCJ17, author = {Kerang Cao and Inshik Kang and Hyungwook Choi and Hoekyung Jung}, title = {Reagent Cabinet Management System Using Danger Priority}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {15}, number = {4}, year = {2017}, url = {https://doi.org/10.6109/jicce.2017.15.4.227}, doi = {10.6109/JICCE.2017.15.4.227}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/CaoKCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/ChoiL17, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {A flocking-inspired algorithm for fair resource allocation in vehicle-mounted mobile relays}, journal = {J. Netw. Comput. Appl.}, volume = {85}, pages = {134--142}, year = {2017}, url = {https://doi.org/10.1016/j.jnca.2016.12.013}, doi = {10.1016/J.JNCA.2016.12.013}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/ChoiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRPKYKBJKCJC17, author = {Taejoong Song and Woojin Rim and Sunghyun Park and Yongho Kim and Giyong Yang and Hoonki Kim and Sanghoon Baek and Jonghoon Jung and Bongjae Kwon and Sungwee Cho and Hyuntaek Jung and Yongjae Choo and Jaeseung Choi}, title = {A 10 nm FinFET 128 Mb {SRAM} With Assist Adjustment System for Power, Performance, and Area Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {240--249}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2609386}, doi = {10.1109/JSSC.2016.2609386}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRPKYKBJKCJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/JungHCECN17, author = {Sunjin Jung and Seokpyo Hong and Kyungmin Cho and Haegwang Eom and Byungkuk Choi and Junyong Noh}, title = {Age-related gait motion transformation based on biomechanical observations}, journal = {Comput. Animat. Virtual Worlds}, volume = {28}, number = {3-4}, year = {2017}, url = {https://doi.org/10.1002/cav.1774}, doi = {10.1002/CAV.1774}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/JungHCECN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BongCKY17, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {Low-Power Convolutional Neural Network Processor for a Face-Recognition System}, journal = {{IEEE} Micro}, volume = {37}, number = {6}, pages = {30--38}, year = {2017}, url = {https://doi.org/10.1109/MM.2017.4241350}, doi = {10.1109/MM.2017.4241350}, timestamp = {Mon, 11 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/BongCKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/ChoiL17, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Principles, Applications, and Challenges of Synchronization in Nature for Future Mobile Communication Systems}, journal = {Mob. Inf. Syst.}, volume = {2017}, pages = {8932631:1--8932631:13}, year = {2017}, url = {https://doi.org/10.1155/2017/8932631}, doi = {10.1155/2017/8932631}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/ChoiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ChoiL17, author = {Hyun{-}Ho Choi and Jung{-}Ryun Lee}, title = {Multi-Phased Carrier Sense Multiple Access with Collision Resolution and its Extension to Dynamic Multi-Phases}, journal = {Mob. Networks Appl.}, volume = {22}, number = {5}, pages = {918--930}, year = {2017}, url = {https://doi.org/10.1007/s11036-017-0855-4}, doi = {10.1007/S11036-017-0855-4}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ChoiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiH17, author = {Jung{-}Ah Choi and Yo{-}Sung Ho}, title = {High throughput entropy decoder design for {H.265/HEVC}}, journal = {Multim. Tools Appl.}, volume = {76}, number = {7}, pages = {9877--9890}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3583-z}, doi = {10.1007/S11042-016-3583-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChoiH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimLHCLP17, author = {Daewon Kim and Hanlim Lee and Hyunkee Hong and Wonei Choi and Yun Gon Lee and Jun{-}Sung Park}, title = {Estimation of Surface NO\({}_{\mbox{2}}\) Volume Mixing Ratio in Four Metropolitan Cities in Korea Using Multiple Regression Models with {OMI} and {AIRS} Data}, journal = {Remote. Sens.}, volume = {9}, number = {6}, pages = {627}, year = {2017}, url = {https://doi.org/10.3390/rs9060627}, doi = {10.3390/RS9060627}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimLHCLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimLYTSHC17, author = {Jung{-}Rack Kim and Shih{-}Yuan Lin and Hye{-}Won Yun and Ya{-}Lun Tsai and Hwa{-}Jung Seo and Sungwook Hong and YunSoo Choi}, title = {Investigation of Potential Volcanic Risk from Mt. Baekdu by DInSAR Time Series Analysis and Atmospheric Correction}, journal = {Remote. Sens.}, volume = {9}, number = {2}, pages = {138}, year = {2017}, url = {https://doi.org/10.3390/rs9020138}, doi = {10.3390/RS9020138}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimLYTSHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiPKJ17, author = {Hojong Choi and Chulwoo Park and Jungsuk Kim and Hayong Jung}, title = {Bias-Voltage Stabilizer for {HVHF} Amplifiers in {VHF} Pulse-Echo Measurement Systems}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2425}, year = {2017}, url = {https://doi.org/10.3390/s17102425}, doi = {10.3390/S17102425}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiPKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiRY17, author = {Hojong Choi and Jaemyung Ryu and Jung{-}Yeol Yeom}, title = {Development of a Double-Gauss Lens Based Setup for Optoacoustic Applications}, journal = {Sensors}, volume = {17}, number = {3}, pages = {496}, year = {2017}, url = {https://doi.org/10.3390/s17030496}, doi = {10.3390/S17030496}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiRY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiWYY17, author = {Hojong Choi and Park Chul Woo and Jung{-}Yeol Yeom and Changhan Yoon}, title = {Power {MOSFET} Linearizer of a High-Voltage Power Amplifier for High-Frequency Pulse-Echo Instrumentation}, journal = {Sensors}, volume = {17}, number = {4}, pages = {764}, year = {2017}, url = {https://doi.org/10.3390/s17040764}, doi = {10.3390/S17040764}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiWYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungC17, author = {Young{-}Ho Jung and Jihoon Choi}, title = {Hybrid {ARQ} Scheme with Autonomous Retransmission for Multicasting in Wireless Sensor Networks}, journal = {Sensors}, volume = {17}, number = {3}, pages = {463}, year = {2017}, url = {https://doi.org/10.3390/s17030463}, doi = {10.3390/S17030463}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangCPCISJLPPR17, author = {Sung{-}Won Kang and Hyeob Choi and Hyung{-}Il Park and Byoung{-}Gun Choi and Hyobin Im and Dongjun Shin and Young{-}Giu Jung and Jun{-}Young Lee and Hong{-}Won Park and Sukyung Park and Jung{-}Sim Roh}, title = {The Development of an {IMU} Integrated Clothes for Postural Monitoring Using Conductive Yarn and Interconnecting Technology}, journal = {Sensors}, volume = {17}, number = {11}, pages = {2560}, year = {2017}, url = {https://doi.org/10.3390/s17112560}, doi = {10.3390/S17112560}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangCPCISJLPPR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KooKCCKKS17, author = {Gunhee Koo and Kiyoung Kim and Jun Yeon Chung and Jaemook Choi and Nam{-}Yeol Kwon and Doo{-}Young Kang and Hoon Sohn}, title = {Development of a High Precision Displacement Measurement System by Fusing a Low Cost {RTK-GPS} Sensor and a Force Feedback Accelerometer for Infrastructure Monitoring}, journal = {Sensors}, volume = {17}, number = {12}, pages = {2745}, year = {2017}, url = {https://doi.org/10.3390/s17122745}, doi = {10.3390/S17122745}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KooKCCKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/HongNCCK17, author = {Yu{-}Jin Hong and Gi Pyo Nam and Heeseung Choi and Junghyun Cho and Ig{-}Jae Kim}, title = {A Novel Framework for Assessing Facial Attractiveness Based on Facial Proportions}, journal = {Symmetry}, volume = {9}, number = {12}, pages = {294}, year = {2017}, url = {https://doi.org/10.3390/sym9120294}, doi = {10.3390/SYM9120294}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/HongNCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiJYPJ17, author = {Tae Hoon Choi and Hanwool Jeong and Younghwi Yang and Juhyun Park and Seong{-}Ook Jung}, title = {{SRAM} Operational Mismatch Corner Model for Efficient Circuit Design and Yield Analysis}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {8}, pages = {2063--2072}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2685634}, doi = {10.1109/TCSI.2017.2685634}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiJYPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiKSP17, author = {Young{-}Ho Choi and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Phase-Interpolator-Based Fractional Counter for All-Digital Fractional-N Phase-Locked Loop}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {3}, pages = {249--253}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2016.2560340}, doi = {10.1109/TCSII.2016.2560340}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiKSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiSLKKPS17, author = {Seungnam Choi and Yunjae Suh and Joohyun Lee and Jinkyu Kim and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A Self-Biased Current-Mode Amplifier With an Application to 10-bit Pipeline {ADC}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {7}, pages = {1706--1717}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2676105}, doi = {10.1109/TCSI.2017.2676105}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiSLKKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HongKCCHSKPS17, author = {Seunghwan Hong and Shinwoong Kim and Seungnam Choi and Hwasuk Cho and Jaehyeong Hong and Young Hun Seo and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A 250-{\(\mathrm{\mu}\)}W 2.4-GHz Fast-Lock Fractional-N Frequency Generation for Ultralow-Power Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {2}, pages = {106--110}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2016.2551598}, doi = {10.1109/TCSII.2016.2551598}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HongKCCHSKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeoCSSPK17, author = {Jaeyoung Seo and Minsoo Choi and Sanquan Song and Jae{-}Yoon Sim and Hong{-}June Park and Byungsub Kim}, title = {An Approximate Transfer Function Model of Two Serially Connected Heterogeneous Transmission Lines}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {9}, pages = {1067--1071}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2017.2655140}, doi = {10.1109/TCSII.2017.2655140}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeoCSSPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ChangPCC17, author = {Seok{-}Ho Chang and Hee{-}Gul Park and Jun Won Choi and Jihwan P. Choi}, title = {Scalable Source Transmission With Unequal Frequency Reuse in {MIMO} Cellular Networks}, journal = {{IEEE} Trans. Commun.}, volume = {65}, number = {10}, pages = {4188--4204}, year = {2017}, url = {https://doi.org/10.1109/TCOMM.2017.2669029}, doi = {10.1109/TCOMM.2017.2669029}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ChangPCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ShinLCLP17, author = {Wonjae Shin and Jong{-}Bu Lim and Hyun{-}Ho Choi and Jungwoo Lee and H. Vincent Poor}, title = {Cyclic Interference Alignment for Full-Duplex Multi-Antenna Cellular Networks}, journal = {{IEEE} Trans. Commun.}, volume = {65}, number = {6}, pages = {2657--2671}, year = {2017}, url = {https://doi.org/10.1109/TCOMM.2017.2677946}, doi = {10.1109/TCOMM.2017.2677946}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ShinLCLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimYC17, author = {Junghoon Kim and Bum{-}Jae You and Youngjin Choi}, title = {D'Arsonval Movement-Based Precise Milligram Force Control for Individual Touch Sense Assessment}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {2}, pages = {1534--1543}, year = {2017}, url = {https://doi.org/10.1109/TIE.2016.2612620}, doi = {10.1109/TIE.2016.2612620}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JunLLLKKSKJKC17, author = {Dong{-}San Jun and Sung{-}Chang Lim and Jinho Lee and Hahyun Lee and Jongho Kim and Jungwon Kang and Jinwook Seok and Younhee Kim and Soon{-}Heung Jung and Hui Yong Kim and Jin Soo Choi}, title = {Development of an ultra-HD {HEVC} encoder using {SIMD} implementation and fast encoding schemes for smart surveillance system}, journal = {J. Supercomput.}, volume = {73}, number = {3}, pages = {940--960}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1807-7}, doi = {10.1007/S11227-016-1807-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JunLLLKKSKJKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeCAC17, author = {Jinho Lee and Jongwook Chung and Jung Ho Ahn and Kiyoung Choi}, title = {Excavating the Hidden Parallelism Inside {DRAM} Architectures With Buffered Compares}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {6}, pages = {1793--1806}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2655722}, doi = {10.1109/TVLSI.2017.2655722}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeCAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SeolSJCSK17, author = {Hoseok Seol and Wongyu Shin and Jaemin Jang and Jungwhan Choi and Jinwoong Suh and Lee{-}Sup Kim}, title = {In-DRAM Data Initialization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {11}, pages = {3251--3254}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2737646}, doi = {10.1109/TVLSI.2017.2737646}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SeolSJCSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/JangCJJ17, author = {Jae Seok Jang and Soo Ho Choi and Gi Sook Jung and Soon Ki Jung}, title = {Focused augmented mirror based on human visual perception}, journal = {Vis. Comput.}, volume = {33}, number = {5}, pages = {625--636}, year = {2017}, url = {https://doi.org/10.1007/s00371-016-1212-5}, doi = {10.1007/S00371-016-1212-5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/JangCJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/GooLCCK17, author = {Young{-}Hoon Goo and Sung{-}Ho Lee and Seongyun Choi and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {A traffic grouping method using the correlation model of network flow}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {386--390}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094154}, doi = {10.1109/APNOMS.2017.8094154}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/GooLCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdiot/ParkCLM17, author = {Junyong Park and Jang{-}Ho Choi and Yong{-}Ju Lee and Ok{-}Gee Min}, title = {A Layered Features Analysis in Smart Farm Environments}, booktitle = {Proceedings of the International Conference on Big Data and Internet of Things, London, United Kingdom, December 20-22, 2017}, pages = {169--173}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3175684.3175720}, doi = {10.1145/3175684.3175720}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bdiot/ParkCLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ChoiHW17, author = {Jeong{-}Hoon Choi and Jun{-}Ho Huh and Sunghyun Weon}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {A Design of Scheduling Program for Diabetic Patients: {A} Software Engineering Approach}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {198--203}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_33}, doi = {10.1007/978-981-10-7605-3\_33}, timestamp = {Thu, 08 Aug 2019 13:42:55 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ChoiHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KwonYJCCLJP17, author = {Hyunbin Kwon and Hee Nam Yoon and Dawoon Jung and Sangho Choi and Jaewon Choi and Yu{-}Jin Lee and Do{-}Un Jeong and Kwang Suk Park}, title = {Heart rate variability in patients with major depressive disorder and healthy controls during non-REM sleep and {REM} sleep}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {2312--2315}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037318}, doi = {10.1109/EMBC.2017.8037318}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/KwonYJCCLJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimBHLCY17, author = {Changhyeon Kim and Kyeongryeol Bong and Injoon Hong and Kyuho Jason Lee and Sungpill Choi and Hoi{-}Jun Yoo}, title = {An ultra-low-power and mixed-mode event-driven face detection SoC for always-on mobile applications}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {255--258}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094574}, doi = {10.1109/ESSCIRC.2017.8094574}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimBHLCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JangSC17, author = {Ho Jun Jang and Jae Min Shin and Lynn Choi}, title = {Geomagnetic Field Based Indoor Localization Using Recurrent Neural Networks}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254556}, doi = {10.1109/GLOCOM.2017.8254556}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/JangSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ChaLPKCC17, author = {Hojun Cha and Hojin Lee and Junsuk Park and Hyung{-}Sik Kim and Soon{-}Cheol Chung and Seungmoon Choi}, title = {Mid-air tactile display using indirect laser radiation for contour-following stimulation and assessment of its spatial acuity}, booktitle = {2017 {IEEE} World Haptics Conference, {WHC} 2017, Munich, Germany, June 6-9, 2017}, pages = {136--141}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WHC.2017.7989890}, doi = {10.1109/WHC.2017.7989890}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ChaLPKCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChaOSHPJCJSCAK17, author = {Sang{-}uhn Cha and Seongil O and Hyunsung Shin and Sangjoon Hwang and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi and Gyo{-}Young Jin and Young Hoon Son and Hyunyoon Cho and Jung Ho Ahn and Nam Sung Kim}, title = {Defect Analysis and Cost-Effective Resilience Architecture for Future {DRAM} Devices}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {61--72}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.30}, doi = {10.1109/HPCA.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ChaOSHPJCJSCAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KoHLC17, author = {Dongyeon Ko and Bi Hong and Jung Hoon Lee and Wan Choi}, title = {Optimal file storing with cache memory in amorphous femto helper aided networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7997309}, doi = {10.1109/ICC.2017.7997309}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KoHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YooBLKCS17, author = {Jin Hyeok Yoo and Jisu Bae and Sun Hong Lim and Sunwoo Kim and Jun Won Choi and Byonghyo Shim}, title = {Sampling-based tracking of time-varying channels for millimeter wave-band communications}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996518}, doi = {10.1109/ICC.2017.7996518}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/YooBLKCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiPLHP17, author = {Myeong{-}in Choi and Lee Won Park and Sanghoon Lee and Junyeon Hwang and Sehyun Park}, title = {Design and implementation of Hyper-connected IoT-VR Platform for customizable and intuitive remote services}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, pages = {396--397}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCE.2017.7889368}, doi = {10.1109/ICCE.2017.7889368}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiPLHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanLMFPZVHL17, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tomas Vojir and Gustav H{\"{a}}ger and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andrej Muhic and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Antoine Manzanera and Antoine Tran and A. Aydin Alatan and Bogdan Mocanu and Boyu Chen and Chang Huang and Changsheng Xu and Chong Sun and Dalong Du and David Zhang and Dawei Du and Deepak Mishra and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Francesco Battistone and Gorthi R. K. Sai Subrahmanyam and Goutam Bhat and Guan Huang and Guilherme Sousa Bastos and Guna Seetharaman and Hongliang Zhang and Houqiang Li and Huchuan Lu and Isabela Drummond and Jack Valmadre and Jae{-}chan Jeong and Jaeil Cho and Jae{-}Yeong Lee and Jana Noskova and Jianke Zhu and Jin Gao and Jingyu Liu and Ji{-}Wan Kim and Jo{\~{a}}o F. Henriques and Jos{\'{e}} M. Mart{\'{\i}}nez and Junfei Zhuang and Junliang Xing and Junyu Gao and Kai Chen and Kannappan Palaniappan and Karel Lebeda and Ke Gao and Kris M. Kitani and Lei Zhang and Lijun Wang and Lingxiao Yang and Longyin Wen and Luca Bertinetto and Mahdieh Poostchi and Martin Danelljan and Matthias Mueller and Mengdan Zhang and Ming{-}Hsuan Yang and Nianhao Xie and Ning Wang and Ondrej Miksik and Payman Moallem and Pallavi M. Venugopal and Pedro Senna and Philip H. S. Torr and Qiang Wang and Qifeng Yu and Qingming Huang and Rafael Martin Nieto and Richard Bowden and Risheng Liu and Ruxandra Tapu and Simon Hadfield and Siwei Lyu and Stuart Golodetz and Sunglok Choi and Tianzhu Zhang and Titus B. Zaharia and Vincenzo Santopietro and Wei Zou and Weiming Hu and Wenbing Tao and Wenbo Li and Wengang Zhou and Xianguo Yu and Xiao Bian and Yang Li and Yifan Xing and Yingruo Fan and Zheng Zhu and Zhipeng Zhang and Zhiqun He}, title = {The Visual Object Tracking {VOT2017} Challenge Results}, booktitle = {2017 {IEEE} International Conference on Computer Vision Workshops, {ICCV} Workshops 2017, Venice, Italy, October 22-29, 2017}, pages = {1949--1972}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCVW.2017.230}, doi = {10.1109/ICCVW.2017.230}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/KristanLMFPZVHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichsa/HongJCK17, author = {Ari Hong and Donghwi Jung and Jiho Choi and Joong Hoon Kim}, editor = {Javier Del Ser}, title = {Sensitivity Analysis on Migration Parameters of Parallel Harmony Search}, booktitle = {Harmony Search Algorithm - Proceedings of the 3rd International Conference on Harmony Search Algorithm, {ICHSA} 2017, Bilbao, Spain, 22-24 Februar 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {514}, pages = {3--7}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-3728-3\_1}, doi = {10.1007/978-981-10-3728-3\_1}, timestamp = {Wed, 27 Jun 2018 13:50:07 +0200}, biburl = {https://dblp.org/rec/conf/ichsa/HongJCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiNH17, author = {Hoyul Choi and Hyunjae Nam and Junbeom Hur}, title = {Password typos resilience in honey encryption}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {593--598}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899565}, doi = {10.1109/ICOIN.2017.7899565}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiNH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChouKKYCLMCBSMM17, author = {Namsun Chou and YooNa Kang and Ho{-}Seong Kang and Jeong{-}Dae Yun and Wonju Chun and Kyeong Jae Lee and HyunMin Moon and Ik{-}Kyu Choi and Donghak Byun and Inho Song and Dong{-}Jun Moon and Jin{-}Hee Moon and Byeong Han Lee and Jungsuk Kim and Sung{-}Keun You and Sohee Kim}, title = {Development of an intrafascicular neural interface for peripheral nerve implantation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {847--850}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009354}, doi = {10.1109/ICORR.2017.8009354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChouKKYCLMCBSMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/KimKSC17, author = {Yujin Kim and Junghoon Kim and Hyeryon Son and Youngjin Choi}, title = {Dynamic elasticity measurement for prosthetic socket design}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {1281--1286}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009425}, doi = {10.1109/ICORR.2017.8009425}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icorr/KimKSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoSJYRMKC17, author = {Kyeong Ho Cho and Min{-}Geun Song and Hosang Jung and Sang Yul Yang and Hugo Rodrigue and Hyungpil Moon and Jachoon Koo and Hyouk Ryeol Choi}, title = {Biomimetic robotic joint mechanism driven by soft linear actuators}, booktitle = {2017 {IEEE} International Conference on Robotics and Automation, {ICRA} 2017, Singapore, Singapore, May 29 - June 3, 2017}, pages = {1850--1855}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRA.2017.7989216}, doi = {10.1109/ICRA.2017.7989216}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChoSJYRMKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkPPJKPC17, author = {Jaehwan Park and Seunghan Park and Chan Ho Park and Seungmin Jung and Chankyu Kim and Jong Hyeon Park and Junho Choi}, title = {A robotic orthosis with a cable-differential mechanism}, booktitle = {2017 {IEEE} International Conference on Robotics and Automation, {ICRA} 2017, Singapore, Singapore, May 29 - June 3, 2017}, pages = {517--521}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRA.2017.7989065}, doi = {10.1109/ICRA.2017.7989065}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkPPJKPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icseng/ChoiPJ17, author = {Yeongyu Choi and Ju H. Park and Ho{-}Youl Jung}, editor = {Henry Selvaraj and Grzegorz Chmaj and Dawid Zydek}, title = {Fundamental Matrix Based Moving Object Detection Using Monocular Camera}, booktitle = {25th International Conference on Systems Engineering, ICSEng 2017, Las Vegas, NV, USA, August 22-24, 2017}, pages = {147--150}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICSEng.2017.28}, doi = {10.1109/ICSENG.2017.28}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icseng/ChoiPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeCA17, author = {Hyeong Ho Lee and Yun Chul Choi and Byung Jun Ahn}, title = {SDN-based wireless access network with media independent management capabilities}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {196--198}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190749}, doi = {10.1109/ICTC.2017.8190749}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeCA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChaCKMH17, author = {Jung{-}Hwan Cha and Ju{-}Ho Choi and Ji{-}Yong Kim and Sung{-}Gi Min and Youn{-}Hee Han}, title = {A mobility link service in {NDN} face to support consumer mobility service}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {444--449}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993824}, doi = {10.1109/ICUFN.2017.7993824}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChaCKMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intenv/JungHLPC17, author = {Jason J. Jung and Min{-}Sung Hong and O{-}Joun Lee and Jae{-}Hong Park and Chang Choi}, title = {Towards Affective Lifelogging with Information Fusion}, booktitle = {2017 International Conference on Intelligent Environments, {IE} 2017, Seoul, Korea (South), August 21-25, 2017}, pages = {153--154}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IE.2017.25}, doi = {10.1109/IE.2017.25}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/intenv/JungHLPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iqsp/SeoSASSKCKKKKKL17, author = {Wontaek Seo and Hoon Song and Jungkwuen An and Juwon Seo and Geeyoung Sung and Yun{-}Tae Kim and Chil{-}Sung Choi and Sunil Kim and Hojung Kim and Yongkyu Kim and Young Kim and Yunhee Kim and Hong{-}Seok Lee and Sungwoo Hwang}, editor = {Robin B. Jenkin and Elaine Jin}, title = {Image Quality Assessment for Holographic Display}, booktitle = {Image Quality and System Performance XIV, Electronic Imaging 2017, IQSP, Burlingame, CA, USA, 29 January - 2 February 2017}, pages = {186--190}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.12.IQSP-241}, doi = {10.2352/ISSN.2470-1173.2017.12.IQSP-241}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iqsp/SeoSASSKCKKKKKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/NguyenPHNJMKC17, author = {Canh Toan Nguyen and Hoa Phung and Phi Tien Hoang and Tien Dat Nguyen and Hosang Jung and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {A novel bioinspired hexapod robot developed by soft dielectric elastomer actuators}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {6233--6238}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206526}, doi = {10.1109/IROS.2017.8206526}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/NguyenPHNJMKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/PhungHNNJKC17, author = {Hoa Phung and Phi Tien Hoang and Canh Toan Nguyen and Tien Dat Nguyen and Hosang Jung and Uikyum Kim and Hyouk Ryeol Choi}, title = {Interactive haptic display based on soft actuator and soft sensor}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {886--891}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8202250}, doi = {10.1109/IROS.2017.8202250}, timestamp = {Tue, 19 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/PhungHNNJKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/ChoiCL17, author = {Jun{-}Ho Choi and Manri Cheon and Jong{-}Seok Lee}, title = {Influence of Video Quality on Multi-view Activity Recognition}, booktitle = {19th {IEEE} International Symposium on Multimedia, {ISM} 2017, Taichung, Taiwan, December 11-13, 2017}, pages = {511--515}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISM.2017.101}, doi = {10.1109/ISM.2017.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/ChoiCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BongCKKKY17, author = {Kyeongryeol Bong and Sungpill Choi and Changhyeon Kim and Sanghoon Kang and Youchang Kim and Hoi{-}Jun Yoo}, title = {14.6 {A} 0.62mW ultra-low-power convolutional-neural-network face-recognition processor and a {CIS} integrated with always-on haar-like face detector}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {248--249}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870354}, doi = {10.1109/ISSCC.2017.7870354}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BongCKKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoSCCKPS17, author = {Hwasuk Cho and Kihwan Seong and Kwang{-}Hee Choi and Jin{-}Hyeok Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {8.7 {A} 0.0047mm\({}^{\mbox{2}}\) highly synthesizable {TDC-} and DCO-less fractional-N {PLL} with a seamless lock range of fREF to 1GHz}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {154--155}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870307}, doi = {10.1109/ISSCC.2017.7870307}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoSCCKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLKKRCY17, author = {Unsoo Ha and Jaehyuk Lee and Jihee Lee and Kwantae Kim and Minseo Kim and Taehwan Roh and Sangsik Choi and Hoi{-}Jun Yoo}, title = {27.2 {A} 25.2mW {EEG-NIRS} multimodal SoC for accurate anesthesia depth monitoring}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {450--451}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870455}, doi = {10.1109/ISSCC.2017.7870455}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaLLKKRCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeOCKKKCNPLKJC17, author = {Soo{-}Min Lee and Jihun Oh and Jinho Choi and Seokkyun Ko and Daero Kim and Kyounghoi Koo and JongRyun Choi and Yoonjee Nam and Sangsoo Park and Hyungkweon Lee and Eunsu Kim and Sukhyun Jung and Kwanyeob Chae and SuHo Kim and Sanghune Park and Sanghyun Lee and Sungho Park}, title = {23.6 {A} 0.6V 4.266Gb/s/pin {LPDDR4X} interface with auto-DQS cleaning and write-VWM training for memory controller}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {398--399}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870429}, doi = {10.1109/ISSCC.2017.7870429}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeOCKKKCNPLKJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YiCHBCJKSP17, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {23.7 {A} time-based receiver with 2-tap {DFE} for a 12Gb/s/pin single-ended transceiver of mobile {DRAM} interface in 0.8V 65nm {CMOS}}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {400--401}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870430}, doi = {10.1109/ISSCC.2017.7870430}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YiCHBCJKSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ChoiH17, author = {Minkyu Choi and Junichi Hoshino}, editor = {Nagisa Munekata and Itsuki Kunita and Junichi Hoshino}, title = {Karaoke Entertainment Character Based on User Behavior Recognition}, booktitle = {Entertainment Computing - {ICEC} 2017 - 16th {IFIP} {TC} 14 International Conference, Tsukuba City, Japan, September 18-21, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10507}, pages = {431--434}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66715-7\_56}, doi = {10.1007/978-3-319-66715-7\_56}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/iwec/ChoiH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKCLJCSK17, author = {Donghoon Lee and Ye{-}seul Kim and Sunghoon Choi and Haenghwa Lee and Byungdu Jo and Seungyeon Choi and Jungwook Shin and Hee{-}Joung Kim}, editor = {Samuel G. Armato III and Nicholas A. Petrick}, title = {A feasibility study of automatic lung nodule detection in chest digital tomosynthesis with machine learning based on support vector machine}, booktitle = {Medical Imaging 2017: Computer-Aided Diagnosis, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10134}, pages = {101343P}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2253294}, doi = {10.1117/12.2253294}, timestamp = {Sun, 21 Jan 2018 18:54:42 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeeKCLJCSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChoiCCL17, author = {Jun{-}Ho Choi and Manri Cheon and Min{-}Su Choi and Jong{-}Seok Lee}, editor = {Wanmin Wu and Jianchao Yang and Qi Tian and Roger Zimmermann}, title = {Impact of Three-Dimensional Video Scalability on Multi-View Activity Recognition using Deep Learning}, booktitle = {Proceedings of the on Thematic Workshops of {ACM} Multimedia 2017, Mountain View, CA, USA, October 23 - 27, 2017}, pages = {135--143}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126686.3126769}, doi = {10.1145/3126686.3126769}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ChoiCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BaeCS17, author = {JeongHyeon Bae and Byung Jun Choi and Myung Hoon Sunwoo}, title = {Special session: Low power {LDPC} deocder using adaptive forced convergence algorithm}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {309--312}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8052922}, doi = {10.1109/MWSCAS.2017.8052922}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/BaeCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/SuhKLGJCKCL17, author = {Youngjoo Suh and Younggwan Kim and Hyungjun Lim and Jahyun Goo and Youngmoon Jung and Yeonjoo Choi and Hoirin Kim and Dae{-}Lim Choi and Yongju Lee}, title = {Development of distant multi-channel speech and noise databases for speech recognition by in-door conversational robots}, booktitle = {20th Conference of the Oriental Chapter of the International Coordinating Committee on Speech Databases and Speech {I/O} Systems and Assessment, {O-COCOSDA} 2017, Seoul, South Korea, November 1-3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSDA.2017.8384419}, doi = {10.1109/ICSDA.2017.8384419}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ococosda/SuhKLGJCKCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/JungKKCH17, author = {Insik Jung and Hyejin Kim and Hyunsoo Kim and Sooyong Choi and Daesik Hong}, title = {Synchronization method for orthogonal code-based block transmission {(OCBT)} systems}, booktitle = {28th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2017, Montreal, QC, Canada, October 8-13, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PIMRC.2017.8292324}, doi = {10.1109/PIMRC.2017.8292324}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/JungKKCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scsc/LeeCYKK17, author = {Jun Hee Lee and Seon Han Choi and Ho Dong Yoo and Jung Koo and Tag Gon Kim}, title = {Development of air combat {HDEVS} model implemented in HDEVSim++ environment}, booktitle = {Proceedings of the Summer Simulation Multi-Conference, SummerSim 2017, Bellevue, WA, USA, July 9-12, 2017}, pages = {11:1--11:12}, publisher = {Society for Computer Simulation International / {ACM} {DL}}, year = {2017}, url = {http://dl.acm.org/citation.cfm?id=3140076}, timestamp = {Thu, 14 Sep 2017 14:23:02 +0200}, biburl = {https://dblp.org/rec/conf/scsc/LeeCYKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.