default search action
Search dblp for Publications
export results for "Juho Kim"
@article{DBLP:journals/access/LimHKSY24, author = {Chan{-}yeong Lim and Jungwoo Heo and Ju{-}Ho Kim and Hyun{-}seo Shin and Ha{-}Jin Yu}, title = {Noise-Aware Extended U-Net With Split Encoder and Feature Refinement Module for Robust Speaker Verification in Noisy Environments}, journal = {{IEEE} Access}, volume = {12}, pages = {111673--111682}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3433465}, doi = {10.1109/ACCESS.2024.3433465}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimHKSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NaLK24, author = {Kwangtek Na and Ju{-}Hong Lee and Eunchan Kim}, title = {LF-Transformer: Latent Factorizer Transformer for Tabular Learning}, journal = {{IEEE} Access}, volume = {12}, pages = {10690--10698}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3354972}, doi = {10.1109/ACCESS.2024.3354972}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NaLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/JuPLJLK24, author = {Hyosang Ju and Jisang Park and Donghun Lee and Min Jang and Juho Lee and Sang{-}Hyo Kim}, title = {On Improving the Design of Parity-Check Polar Codes}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {5}, pages = {5552--5566}, year = {2024}, url = {https://doi.org/10.1109/OJCOMS.2024.3447042}, doi = {10.1109/OJCOMS.2024.3447042}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/JuPLJLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/JinK24, author = {Hyoungwook Jin and Juho Kim}, title = {CodeTree: {A} System for Learnersourcing Subgoal Hierarchies in Code Examples}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--37}, year = {2024}, url = {https://doi.org/10.1145/3637308}, doi = {10.1145/3637308}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/JinK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimLJK24, author = {Haesoo Kim and Juhoon Lee and Jeong{-}woo Jang and Juho Kim}, title = {ReSPect: Enabling Active and Scalable Responses to Networked Online Harassment}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--30}, year = {2024}, url = {https://doi.org/10.1145/3637394}, doi = {10.1145/3637394}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KimLJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimPAKK24, author = {Seoyoung Kim and Yeon Su Park and Dakyeom Ahn and Jin Myung Kwak and Juho Kim}, title = {Is the Same Performance Really the Same?: Understanding How Listeners Perceive {ASR} Results Differently According to the Speaker's Accent}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--22}, year = {2024}, url = {https://doi.org/10.1145/3641008}, doi = {10.1145/3641008}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KimPAKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/KimHSLY24, author = {Ju{-}ho Kim and Jungwoo Heo and Hyun{-}seo Shin and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {FA-ExU-Net: The Simultaneous Training of an Embedding Extractor and Enhancement Model for a Speaker Verification System Robust to Short Noisy Utterances}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {32}, pages = {2269--2282}, year = {2024}, url = {https://doi.org/10.1109/TASLP.2024.3381005}, doi = {10.1109/TASLP.2024.3381005}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/KimHSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/YuQLLLPKYHL24, author = {Bin Yu and Chen Qian and Peng Lin and Juho Lee and Qi Li and Seungil Park and Suhwook Kim and Changbae Yoon and Su Hu and Lingjia Liu}, title = {Light-Weight {AI} Enabled Non-Linearity Compensation Leveraging High Order Modulations}, journal = {{IEEE} Trans. Commun.}, volume = {72}, number = {1}, pages = {539--552}, year = {2024}, url = {https://doi.org/10.1109/TCOMM.2023.3321735}, doi = {10.1109/TCOMM.2023.3321735}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/YuQLLLPKYHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeBK24, author = {Han{-}Sol Lee and Juhoon Back and Chang{-}Sei Kim}, title = {Disturbance Observer-Based Robust Controller for a Multiple-Electromagnets Actuator}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {1}, pages = {901--911}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3247740}, doi = {10.1109/TIE.2023.3247740}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeBK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/KimCKSA24, author = {Daehyun Kim and Seulgi Choi and Juho Kim and Vidya Setlur and Maneesh Agrawala}, title = {{EC:} {A} Tool for Guiding Chart and Caption Emphasis}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {30}, number = {1}, pages = {120--130}, year = {2024}, url = {https://doi.org/10.1109/TVCG.2023.3327150}, doi = {10.1109/TVCG.2023.3327150}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/KimCKSA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/HongLKCYLLK24, author = {Jihyeong Hong and Yokyung Lee and Dae Hyun Kim and Daeun Choi and Yeo{-}Jin Yoon and Gyu{-}cheol Lee and Zucheul Lee and Juho Kim}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {A Context-Aware Onboarding Agent for Metaverse Powered by Large Language Models}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3661579}, doi = {10.1145/3643834.3661579}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/HongLKCYLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimSYSSK24, author = {Dae Hyun Kim and Hyungyu Shin and Shakhnozakhon Yadgarova and Jinho Son and Hariharan Subramonyam and Juho Kim}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {AINeedsPlanner: {A} Workbook to Support Effective Collaboration Between {AI} Experts and Clients}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3661577}, doi = {10.1145/3643834.3661577}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/KimSYSSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/LimCKPSCPLKLH24, author = {Hyunseung Lim and Ji Yong Cho and Taewan Kim and Jeongeon Park and Hyungyu Shin and Seulgi Choi and Sunghyun Park and Kyungjae Lee and Juho Kim and Moontae Lee and Hwajung Hong}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {Co-Creating Question-and-Answer Style Articles with Large Language Models for Research Promotion}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3660705}, doi = {10.1145/3643834.3660705}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/LimCKPSCPLKLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ace/MacNeil0T0BHSK24, author = {Stephen MacNeil and Paul Denny and Andrew Tran and Juho Leinonen and Seth Bernstein and Arto Hellas and Sami Sarsa and Joanne Kim}, editor = {Nicole Herbert and Carolyn Seton}, title = {Decoding Logic Errors: {A} Comparative Study on Bug Detection by Students and Large Language Models}, booktitle = {Proceedings of the 26th Australasian Computing Education Conference, {ACE} 2024, Sydney, NSW, Australia, 29 January 2024- 2 February 2024}, pages = {11--18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3636243.3636245}, doi = {10.1145/3636243.3636245}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ace/MacNeil0T0BHSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HwangSRKPJK24, author = {Chanwook Hwang and Jaehyeon So and Johnny Rhe and Jiyoon Kim and Juhong Park and Kang Eun Jeon and Jong Hwan Ko}, title = {An Efficient Ventricular Arrhythmias Detection on Microcontrollers with Optimized 1D {CNN}}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {572--576}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595909}, doi = {10.1109/AICAS59952.2024.10595909}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HwangSRKPJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002LSKK24, author = {Tae Soo Kim and Yoonjoo Lee and Jamin Shin and Young{-}Ho Kim and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {EvalLM: Interactive Evaluation of Large Language Model Prompts on User-Defined Criteria}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {306:1--306:21}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642216}, doi = {10.1145/3613904.3642216}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002LSKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiHPCK24, author = {Daeun Choi and Sumin Hong and Jeongeon Park and John Joon Young Chung and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {CreativeConnect: Supporting Reference Recombination for Graphic Design Ideation with Generative {AI}}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1055:1--1055:25}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642794}, doi = {10.1145/3613904.3642794}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiHPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiLLK24, author = {Seulgi Choi and Hyewon Lee and Yoonjoo Lee and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {{VIVID:} Human-AI Collaborative Authoring of Vicarious Dialogues from Lecture Videos}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {277:1--277:26}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642867}, doi = {10.1145/3613904.3642867}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JinLSK24, author = {Hyoungwook Jin and Seonghee Lee and Hyungyu Shin and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Teach {AI} How to Code: Using Large Language Models as Teachable Agents for Programming Education}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {652:1--652:28}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642349}, doi = {10.1145/3613904.3642349}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JinLSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KoJPKKKS24, author = {Hyung{-}Kwon Ko and Hyeon Jeon and Gwanmo Park and Dae Hyun Kim and Nam Wook Kim and Juho Kim and Jinwook Seo}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Natural Language Dataset Generation Framework for Visualizations Powered by Large Language Models}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {843:1--843:22}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642943}, doi = {10.1145/3613904.3642943}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KoJPKKKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeKLKBCS24, author = {Yoonjoo Lee and Hyeonsu B. Kang and Matt Latzke and Juho Kim and Jonathan Bragg and Joseph Chee Chang and Pao Siangliulue}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {PaperWeaver: Enriching Topical Paper Alerts by Contextualizing Recommended Papers with User-collected Papers}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {19:1--19:19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642196}, doi = {10.1145/3613904.3642196}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeKLKBCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SonC0K24, author = {Kihoon Son and Daeun Choi and Tae Soo Kim and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Demystifying Tacit Knowledge in Graphic Design: Characteristics, Instances, Approaches, and Guidelines}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {221:1--221:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642886}, doi = {10.1145/3613904.3642886}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SonC0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SonC0KK24, author = {Kihoon Son and Daeun Choi and Tae Soo Kim and Young{-}Ho Kim and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {GenQuery: Supporting Expressive Visual Search with Generative Models}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {180:1--180:19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642847}, doi = {10.1145/3613904.3642847}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SonC0KK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/XiaoDLEK0L24, author = {Ziang Xiao and Wesley Hanwen Deng and Michelle S. Lam and Motahhare Eslami and Juho Kim and Mina Lee and Q. Vera Liao}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Human-Centered Evaluation and Auditing of Language Models}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {476:1--476:6}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3636302}, doi = {10.1145/3613905.3636302}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/XiaoDLEK0L24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cig/Kim24, author = {Juho Kim}, title = {Recording and Describing Poker Hands}, booktitle = {{IEEE} Conference on Games, CoG 2024, Milan, Italy, August 5-8, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CoG60054.2024.10645611}, doi = {10.1109/COG60054.2024.10645611}, timestamp = {Wed, 11 Sep 2024 11:31:52 +0200}, biburl = {https://dblp.org/rec/conf/cig/Kim24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fat/LeeS0KCAK24, author = {Yoonjoo Lee and Kihoon Son and Tae Soo Kim and Jisu Kim and John Joon Young Chung and Eytan Adar and Juho Kim}, title = {One vs. Many: Comprehending Accurate Information from Multiple Erroneous and Inconsistent {AI} Generations}, booktitle = {The 2024 {ACM} Conference on Fairness, Accountability, and Transparency, FAccT 2024, Rio de Janeiro, Brazil, June 3-6, 2024}, pages = {2518--2531}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3630106.3662681}, doi = {10.1145/3630106.3662681}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fat/LeeS0KCAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HuangDHMKH24, author = {Lei Huang and Chao Deng and Jennifer Hoffman and Reza Hadi Mogavi and Justin Juho Kim and Pan Hui}, editor = {Xiaowen Fang}, title = {Long-Term Gamification: {A} Survey}, booktitle = {{HCI} in Games - 6th International Conference, HCI-Games 2024, Held as Part of the 26th {HCI} International Conference, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14730}, pages = {32--43}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-60692-2\_3}, doi = {10.1007/978-3-031-60692-2\_3}, timestamp = {Wed, 12 Jun 2024 15:08:28 +0200}, biburl = {https://dblp.org/rec/conf/hci/HuangDHMKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimHSLY24, author = {Ju{-}Ho Kim and Jungwoo Heo and Hyun{-}seo Shin and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {Diff-SV: {A} Unified Hierarchical Framework for Noise-Robust Speaker Verification Using Score-Based Diffusion Probabilistic Models}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {10341--10345}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446375}, doi = {10.1109/ICASSP48485.2024.10446375}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimHSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShinHKLKY24, author = {Hyun{-}seo Shin and Jungwoo Heo and Ju{-}ho Kim and Chan{-}yeong Lim and Wonbin Kim and Ha{-}Jin Yu}, title = {{HM-CONFORMER:} {A} Conformer-Based Audio Deepfake Detection System with Hierarchical Pooling and Multi-Level Classification Token Aggregation Methods}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {10581--10585}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10448453}, doi = {10.1109/ICASSP48485.2024.10448453}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShinHKLKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JangBLKKY24, author = {Min Jang and Dongha Bahn and Juho Lee and Jin Whan Kang and Sang{-}Hyo Kim and Kyeongcheol Yang}, title = {Learning of Discrepancy to Validate Decoding Results with Error-Correcting Codes}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2024, Denver, CO, USA, June 9-13, 2024}, pages = {2931--2936}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICC51166.2024.10622266}, doi = {10.1109/ICC51166.2024.10622266}, timestamp = {Mon, 02 Sep 2024 15:04:36 +0200}, biburl = {https://dblp.org/rec/conf/icc/JangBLKKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/RyuKHB24, author = {Kunhee Ryu and Jinsung Kim and Minkyu Han and Juhoon Back}, title = {Predictive Control of Vehicle Dynamics Equipped with Intelligent Tire Sensors via Gaussian Process Regression of Lateral Tire Force}, booktitle = {18th {IEEE} International Conference on Control {\&} Automation, {ICCA} 2024, Reykjav{\'{\i}}k, Iceland, June 18-21, 2024}, pages = {579--584}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCA62789.2024.10591828}, doi = {10.1109/ICCA62789.2024.10591828}, timestamp = {Fri, 16 Aug 2024 10:25:20 +0200}, biburl = {https://dblp.org/rec/conf/icca/RyuKHB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icer/PratherR0MRBKWB24, author = {James Prather and Brent N. Reeves and Juho Leinonen and Stephen MacNeil and Arisoa S. Randrianasolo and Brett A. Becker and Bailey Kimmel and Jared Wright and Ben Briggs}, editor = {Paul Denny and Leo Porter and Margaret Hamilton and Briana B. Morrison}, title = {The Widening Gap: The Benefits and Harms of Generative {AI} for Novice Programmers}, booktitle = {Proceedings of the 2024 {ACM} Conference on International Computing Education Research - Volume 1, {ICER} 2024, Melbourne, VIC, Australia, August 13-15, 2024}, pages = {469--486}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3632620.3671116}, doi = {10.1145/3632620.3671116}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icer/PratherR0MRBKWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimY024, author = {Hyunsu Kim and Jongmin Yoon and Juho Lee}, title = {Fast Ensembling with Diffusion Schr{\"{o}}dinger Bridge}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=Mgq6kxl115}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimY024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/YeKKHKJTKS24, author = {Seonghyeon Ye and Doyoung Kim and Sungdong Kim and Hyeonbin Hwang and Seungone Kim and Yongrae Jo and James Thorne and Juho Kim and Minjoon Seo}, title = {{FLASK:} Fine-grained Language Model Evaluation based on Alignment Skill Sets}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=CYmF38ysDa}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/YeKKHKJTKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimJK024, author = {Seunghyun Kim and Seohyeon Jung and Seonghyeon Kim and Juho Lee}, title = {Learning to Explore for Stochastic Gradient {MCMC}}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=aECamk9izk}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimJK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimKYL24, author = {Hyunsu Kim and Yegon Kim and Hongseok Yang and Juho Lee}, title = {Variational Partial Group Convolutions for Input-Aware Partial Equivariance of Rotations and Color-Shifts}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=yDXnXJE1RK}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeMLKLPC24, author = {Nahyuk Lee and Juhong Min and Junha Lee and Seungwook Kim and Kanghee Lee and Jaesik Park and Minsu Cho}, title = {3D Geometric Shape Assembly via Efficient Point Cloud Matching}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=FYQIgQWH3d}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/LeeMLKLPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/KimCYKLL24, author = {Byung{-}Hoon Kim and Jungwon Choi and EungGu Yun and Kyungsang Kim and Xiang Li and Juho Lee}, title = {Learning Dynamic Brain Connectome with Graph Transformers for Psychiatric Diagnosis Classification}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635508}, doi = {10.1109/ISBI56570.2024.10635508}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/KimCYKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/KimL0PK24, author = {Yoonsu Kim and Jueon Lee and Seoyoung Kim and Jaehyuk Park and Juho Kim}, title = {Understanding Users' Dissatisfaction with ChatGPT Responses: Types, Resolving Tactics, and the Effect of Knowledge Level}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {385--404}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645148}, doi = {10.1145/3640543.3645148}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/KimL0PK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/KimLLKHK24, author = {Hyunwoo Kim and Khanh{-}Duy Le and Gionnieve Lim and Dae Hyun Kim and Yoo Jin Hong and Juho Kim}, title = {DataDive: Supporting Readers' Contextualization of Statistical Statements with Data Exploration}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {623--639}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645155}, doi = {10.1145/3640543.3645155}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/KimLLKHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ParkKPYK24, author = {Jeongeon Park and Eun{-}Young Ko and Yeon Su Park and Jinyeong Yim and Juho Kim}, title = {DynamicLabels: Supporting Informed Construction of Machine Learning Label Sets with Crowd Feedback}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {209--228}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645157}, doi = {10.1145/3640543.3645157}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/ParkKPYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/TilekbayYLSK24, author = {Bekzat Tilekbay and Saelyne Yang and Michal Adam Lewkowicz and Alex Suryapranata and Juho Kim}, title = {ExpressEdit: Video Editing with Natural Language and Sketching}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {515--536}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645164}, doi = {10.1145/3640543.3645164}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/TilekbayYLSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/TilekbayYLSK24a, author = {Bekzat Tilekbay and Saelyne Yang and Michal Adam Lewkowicz and Alex Suryapranata and Juho Kim}, title = {ExpressEdit: Video Editing with Natural Language and Sketching}, booktitle = {Companion Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {50--53}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640544.3645226}, doi = {10.1145/3640544.3645226}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/TilekbayYLSK24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/TilekbayYLSK24b, author = {Bekzat Tilekbay and Saelyne Yang and Michal A. Lewkowicz and Alex Suryapranata and Juho Kim}, editor = {Axel Soto and Eva Zangerle}, title = {ExpressEdit: Video Editing with Natural Language and Sketching}, booktitle = {Joint Proceedings of the {ACM} {IUI} 2024 Workshops co-located with the 29th Annual {ACM} Conference on Intelligent User Interfaces {(IUI} 2024), Greenville, South Carolina, USA, March 18, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3660}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3660/paper5.pdf}, timestamp = {Fri, 12 Apr 2024 20:58:03 +0200}, biburl = {https://dblp.org/rec/conf/iui/TilekbayYLSK24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/Singh0WLKW24, author = {Anjali Singh and Christopher Brooks and Xu Wang and Warren Li and Juho Kim and Deepti Wilson}, title = {Bridging Learnersourcing and {AI:} Exploring the Dynamics of Student-AI Collaborative Feedback Generation}, booktitle = {Proceedings of the 14th Learning Analytics and Knowledge Conference, {LAK} 2024, Kyoto, Japan, March 18-22, 2024}, pages = {742--748}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3636555.3636853}, doi = {10.1145/3636555.3636853}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lak/Singh0WLKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/JinKPTSK24, author = {Hyoungwook Jin and Yoonsu Kim and Yeon Su Park and Bekzat Tilekbay and Jinho Son and Juho Kim}, editor = {David Joyner and Min Kyu Kim and Xu Wang and Meng Xia}, title = {Using Large Language Models To Diagnose Math Problem-solving Skills At Scale}, booktitle = {Proceedings of the Eleventh {ACM} Conference on Learning @ Scale, L@S 2024, Atlanta, GA, USA, July 18-20, 2024}, pages = {471--475}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3657604.3664697}, doi = {10.1145/3657604.3664697}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/JinKPTSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/MooreSLJK0BWKS24, author = {Steven Moore and Anjali Singh and Xinyi Lu and Hyoungwook Jin and Hassan Khosravi and Paul Denny and Christopher Brooks and Xu Wang and Juho Kim and John C. Stamper}, editor = {David Joyner and Min Kyu Kim and Xu Wang and Meng Xia}, title = {Learnersourcing: Student-generated Content @ Scale: 2nd Annual Workshop}, booktitle = {Proceedings of the Eleventh {ACM} Conference on Learning @ Scale, L@S 2024, Atlanta, GA, USA, July 18-20, 2024}, pages = {559--562}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3657604.3664643}, doi = {10.1145/3657604.3664643}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/MooreSLJK0BWKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/llm4eval/KimCYLPLKK24, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, editor = {Clemencia Siro and Mohammad Aliannejadi and Hossein A. Rahmani and Nick Craswell and Charles L. A. Clarke and Guglielmo Faggioli and Bhaskar Mitra and Paul Thomas and Emine Yilmaz}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, booktitle = {Proceedings of The First Workshop on Large Language Models for Evaluation in Information Retrieval (LLM4Eval 2024) co-located with 10th International Conference on Online Publishing {(SIGIR} 2024), Washington D.C., USA, July 18, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3752}, pages = {66--91}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3752/paper5.pdf}, timestamp = {Wed, 16 Oct 2024 17:10:41 +0200}, biburl = {https://dblp.org/rec/conf/llm4eval/KimCYLPLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LeeJMJCKO24, author = {Nayeon Lee and Chani Jung and Junho Myung and Jiho Jin and Jos{\'{e}} Camacho{-}Collados and Juho Kim and Alice Oh}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {Exploring Cross-Cultural Differences in English Hate Speech Annotations: From Dataset Construction to Analysis}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {4205--4224}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.236}, doi = {10.18653/V1/2024.NAACL-LONG.236}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LeeJMJCKO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/SongKKCC24, author = {Juho Song and BaekGyu Kim and Jeongho Kwak and Ji{-}Woong Choi and Hoon Sung Chwa}, title = {Cooperative Network-Computation Load Balancing Simulator for Vehicular Edge Computing}, booktitle = {30th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2024, Sokcho, Republic of Korea, August 21-23, 2024}, pages = {130--131}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/RTCSA62462.2024.00028}, doi = {10.1109/RTCSA62462.2024.00028}, timestamp = {Wed, 16 Oct 2024 13:52:34 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/SongKKCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KimMC24, author = {Seungwook Kim and Juhong Min and Minsu Cho}, title = {Efficient Semantic Matching with Hypercolumn Correlation}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {138--147}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00021}, doi = {10.1109/WACV57701.2024.00021}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KimMC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10759, author = {James Prather and Paul Denny and Juho Leinonen and David H. Smith IV and Brent N. Reeves and Stephen MacNeil and Brett A. Becker and Andrew Luxton{-}Reilly and Thezyrie Amarouche and Bailey Kimmel}, title = {Interactions with Prompt Problems: {A} New Way to Teach Programming with Large Language Models}, journal = {CoRR}, volume = {abs/2401.10759}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10759}, doi = {10.48550/ARXIV.2401.10759}, eprinttype = {arXiv}, eprint = {2401.10759}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10759.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08938, author = {Dae Hyun Kim and Hyungyu Shin and Shakhnozakhon Yadgarova and Jinho Son and Hariharan Subramonyam and Juho Kim}, title = {AINeedsPlanner: AWorkbook to Support Effective Collaboration Between {AI} Experts and Clients}, journal = {CoRR}, volume = {abs/2402.08938}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08938}, doi = {10.48550/ARXIV.2402.08938}, eprinttype = {arXiv}, eprint = {2402.08938}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19402, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, title = {A Scalable and Transferable Time Series Prediction Framework for Demand Forecasting}, journal = {CoRR}, volume = {abs/2402.19402}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19402}, doi = {10.48550/ARXIV.2402.19402}, eprinttype = {arXiv}, eprint = {2402.19402}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19402.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-02939, author = {Yoonjoo Lee and Hyeonsu B. Kang and Matt Latzke and Juho Kim and Jonathan Bragg and Joseph Chee Chang and Pao Siangliulue}, title = {PaperWeaver: Enriching Topical Paper Alerts by Contextualizing Recommended Papers with User-collected Papers}, journal = {CoRR}, volume = {abs/2403.02939}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.02939}, doi = {10.48550/ARXIV.2403.02939}, eprinttype = {arXiv}, eprint = {2403.02939}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-02939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06252, author = {Kihoon Son and Daeun Choi and Tae Soo Kim and Juho Kim}, title = {Demystifying Tacit Knowledge in Graphic Design: Characteristics, Instances, Approaches, and Guidelines}, journal = {CoRR}, volume = {abs/2403.06252}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06252}, doi = {10.48550/ARXIV.2403.06252}, eprinttype = {arXiv}, eprint = {2403.06252}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06432, author = {Jungwon Choi and Hyungi Lee and Byung{-}Hoon Kim and Juho Lee}, title = {Joint-Embedding Masked Autoencoder for Self-supervised Learning of Dynamic Functional Connectivity from the Human Brain}, journal = {CoRR}, volume = {abs/2403.06432}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06432}, doi = {10.48550/ARXIV.2403.06432}, eprinttype = {arXiv}, eprint = {2403.06432}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06432.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09168, author = {Seulgi Choi and Hyewon Lee and Yoonjoo Lee and Juho Kim}, title = {{VIVID:} Human-AI Collaborative Authoring of Vicarious Dialogues from Lecture Videos}, journal = {CoRR}, volume = {abs/2403.09168}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09168}, doi = {10.48550/ARXIV.2403.09168}, eprinttype = {arXiv}, eprint = {2403.09168}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09168.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17693, author = {Bekzat Tilekbay and Saelyne Yang and Michal A. Lewkowicz and Alex Suryapranata and Juho Kim}, title = {ExpressEdit: Video Editing with Natural Language and Sketching}, journal = {CoRR}, volume = {abs/2403.17693}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17693}, doi = {10.48550/ARXIV.2403.17693}, eprinttype = {arXiv}, eprint = {2403.17693}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-15814, author = {Hyunsu Kim and Jongmin Yoon and Juho Lee}, title = {Fast Ensembling with Diffusion Schr{\textbackslash}"odinger Bridge}, journal = {CoRR}, volume = {abs/2404.15814}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.15814}, doi = {10.48550/ARXIV.2404.15814}, eprinttype = {arXiv}, eprint = {2404.15814}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-15814.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-04497, author = {Kihoon Son and Jinhyeon Kwon and Daeun Choi and Tae Soo Kim and Young{-}Ho Kim and Sangdoo Yun and Juho Kim}, title = {Unveiling Disparities in Web Task Handling Between Human and Web Agent}, journal = {CoRR}, volume = {abs/2405.04497}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.04497}, doi = {10.48550/ARXIV.2405.04497}, eprinttype = {arXiv}, eprint = {2405.04497}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-04497.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05581, author = {Yoonjoo Lee and Kihoon Son and Tae Soo Kim and Jisu Kim and John Joon Young Chung and Eytan Adar and Juho Kim}, title = {One vs. Many: Comprehending Accurate Information from Multiple Erroneous and Inconsistent {AI} Generations}, journal = {CoRR}, volume = {abs/2405.05581}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05581}, doi = {10.48550/ARXIV.2405.05581}, eprinttype = {arXiv}, eprint = {2405.05581}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05581.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05678, author = {Yoonsu Kim and Kihoon Son and Seoyoung Kim and Juho Kim}, title = {Beyond Prompts: Learning from Human Communication for Enhanced {AI} Intent Alignment}, journal = {CoRR}, volume = {abs/2405.05678}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05678}, doi = {10.48550/ARXIV.2405.05678}, eprinttype = {arXiv}, eprint = {2405.05678}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-17739, author = {James Prather and Brent N. Reeves and Juho Leinonen and Stephen MacNeil and Arisoa S. Randrianasolo and Brett A. Becker and Bailey Kimmel and Jared Wright and Ben Briggs}, title = {The Widening Gap: The Benefits and Harms of Generative {AI} for Novice Programmers}, journal = {CoRR}, volume = {abs/2405.17739}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.17739}, doi = {10.48550/ARXIV.2405.17739}, eprinttype = {arXiv}, eprint = {2405.17739}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-17739.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-17918, author = {Dong Bok Lee and Aoxuan Silvia Zhang and Byungjoo Kim and Junhyeon Park and Juho Lee and Sung Ju Hwang and Hae Beom Lee}, title = {Cost-Sensitive Multi-Fidelity Bayesian Optimization with Transfer of Learning Curve Extrapolation}, journal = {CoRR}, volume = {abs/2405.17918}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.17918}, doi = {10.48550/ARXIV.2405.17918}, eprinttype = {arXiv}, eprint = {2405.17918}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-17918.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18540, author = {Seanie Lee and Minsu Kim and Lynn Cherif and David Dobre and Juho Lee and Sung Ju Hwang and Kenji Kawaguchi and Gauthier Gidel and Yoshua Bengio and Nikolay Malkin and Moksh Jain}, title = {Learning diverse attacks on large language models for robust red-teaming and safety tuning}, journal = {CoRR}, volume = {abs/2405.18540}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18540}, doi = {10.48550/ARXIV.2405.18540}, eprinttype = {arXiv}, eprint = {2405.18540}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19691, author = {Minsun Kim and SeonGyeom Kim and Suyoun Lee and Yoosang Yoon and Junho Myung and Haneul Yoo and Hyungseung Lim and Jieun Han and Yoonsu Kim and So{-}Yeon Ahn and Juho Kim and Alice Oh and Hwajung Hong and Tak Yeon Lee}, title = {Designing Prompt Analytics Dashboards to Analyze Student-ChatGPT Interactions in {EFL} Writing}, journal = {CoRR}, volume = {abs/2405.19691}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19691}, doi = {10.48550/ARXIV.2405.19691}, eprinttype = {arXiv}, eprint = {2405.19691}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19691.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07103, author = {Seung{-}bin Kim and Chan{-}yeong Lim and Jungwoo Heo and Ju{-}ho Kim and Hyun{-}seo Shin and Kyo{-}Won Koo and Ha{-}Jin Yu}, title = {MR-RawNet: Speaker verification system with multiple temporal resolutions for variable duration utterances using raw waveforms}, journal = {CoRR}, volume = {abs/2406.07103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07103}, doi = {10.48550/ARXIV.2406.07103}, eprinttype = {arXiv}, eprint = {2406.07103}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12904, author = {Yongha Kim and Anthony W. Jung and Sanmun Kim and Kevin Octavian and Doyoung Heo and Chaejin Park and Jeongmin Shin and Sunghyun Nam and Chanhyung Park and Juho Park and Sangjun Han and Jinmyoung Lee and Seolho Kim and Min Seok Jang and Chan Y. Park}, title = {Meent: Differentiable Electromagnetic Simulator for Machine Learning}, journal = {CoRR}, volume = {abs/2406.12904}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12904}, doi = {10.48550/ARXIV.2406.12904}, eprinttype = {arXiv}, eprint = {2406.12904}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04271, author = {Hyunsu Kim and Yegon Kim and Hongseok Yang and Juho Lee}, title = {Variational Partial Group Convolutions for Input-Aware Partial Equivariance of Rotations and Color-Shifts}, journal = {CoRR}, volume = {abs/2407.04271}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04271}, doi = {10.48550/ARXIV.2407.04271}, eprinttype = {arXiv}, eprint = {2407.04271}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04271.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04280, author = {Haechan Kim and Junho Myung and Seoyoung Kim and Sungpah Lee and Dongyeop Kang and Juho Kim}, title = {LearnerVoice: {A} Dataset of Non-Native English Learners' Spontaneous Speech}, journal = {CoRR}, volume = {abs/2407.04280}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04280}, doi = {10.48550/ARXIV.2407.04280}, eprinttype = {arXiv}, eprint = {2407.04280}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-10542, author = {Nahyuk Lee and Juhong Min and Junha Lee and Seungwook Kim and Kanghee Lee and Jaesik Park and Minsu Cho}, title = {3D Geometric Shape Assembly via Efficient Point Cloud Matching}, journal = {CoRR}, volume = {abs/2407.10542}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.10542}, doi = {10.48550/ARXIV.2407.10542}, eprinttype = {arXiv}, eprint = {2407.10542}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-10542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13166, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, journal = {CoRR}, volume = {abs/2407.13166}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13166}, doi = {10.48550/ARXIV.2407.13166}, eprinttype = {arXiv}, eprint = {2407.13166}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21032, author = {Sanghyun Kim and Seohyeon Jung and Balhae Kim and Moonseok Choi and Jinwoo Shin and Juho Lee}, title = {Safeguard Text-to-Image Diffusion Models with Human Feedback Inversion}, journal = {CoRR}, volume = {abs/2407.21032}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21032}, doi = {10.48550/ARXIV.2407.21032}, eprinttype = {arXiv}, eprint = {2407.21032}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03648, author = {Juho Jung and Chaewon Kang and Jeewoo Yoon and Seungbae Kim and Jinyoung Han}, title = {HiQuE: Hierarchical Question Embedding Network for Multimodal Depression Detection}, journal = {CoRR}, volume = {abs/2408.03648}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03648}, doi = {10.48550/ARXIV.2408.03648}, eprinttype = {arXiv}, eprint = {2408.03648}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03648.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-09140, author = {Seunghyun Kim and Seohyeon Jung and Seonghyeon Kim and Juho Lee}, title = {Learning to Explore for Stochastic Gradient {MCMC}}, journal = {CoRR}, volume = {abs/2408.09140}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.09140}, doi = {10.48550/ARXIV.2408.09140}, eprinttype = {arXiv}, eprint = {2408.09140}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-09140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-10937, author = {Yoonseo Choi and Eun Jeong Kang and Seulgi Choi and Min Kyung Lee and Juho Kim}, title = {Proxona: Leveraging LLM-Driven Personas to Enhance Creators' Understanding of Their Audience}, journal = {CoRR}, volume = {abs/2408.10937}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.10937}, doi = {10.48550/ARXIV.2408.10937}, eprinttype = {arXiv}, eprint = {2408.10937}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-10937.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-14778, author = {Juho Kim}, title = {GPU-Accelerated Counterfactual Regret Minimization}, journal = {CoRR}, volume = {abs/2408.14778}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.14778}, doi = {10.48550/ARXIV.2408.14778}, eprinttype = {arXiv}, eprint = {2408.14778}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-14778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RyuKYKBB23, author = {Kunhee Ryu and Bumsu Kim and Jehwi Yoo and Jinsung Kim and Jae Sung Bang and Juhoon Back}, title = {Robust Torque Vectoring With Desired Cornering Stiffness for In-Wheel Motor Vehicles}, journal = {{IEEE} Access}, volume = {11}, pages = {133021--133033}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3336681}, doi = {10.1109/ACCESS.2023.3336681}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RyuKYKBB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimSKCP23, author = {Namho Kim and Wonju Seo and Ju{-}ho Kim and So Yoon Choi and Sung{-}Min Park}, title = {\emph{WavelNet}: {A} novel convolutional neural network architecture for arrhythmia classification from electrocardiograms}, journal = {Comput. Methods Programs Biomed.}, volume = {231}, pages = {107375}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107375}, doi = {10.1016/J.CMPB.2023.107375}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimSKCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cscw/TangILFYK23, author = {John C. Tang and Kori Inkpen and Paul Luff and Geraldine Fitzpatrick and Naomi Yamashita and Juho Kim}, title = {Living Through a Crisis: How {COVID-19} Has Transformed the Way We Work, Live, and Research}, journal = {Comput. Support. Cooperative Work.}, volume = {32}, number = {2}, pages = {211--213}, year = {2023}, url = {https://doi.org/10.1007/s10606-022-09452-5}, doi = {10.1007/S10606-022-09452-5}, timestamp = {Wed, 27 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cscw/TangILFYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/YuQLSSPLZKHLJCS23, author = {Bin Yu and Chen Qian and Juho Lee and Shihai Shao and Ying Shen and Wensheng Pan and Peng Lin and Zhiya Zhang and Sundo Kim and Su Hu and Kwonjong Lee and Jungsoo Jung and Sunghyun Choi and Chengjun Sun}, title = {Realizing High Power Full Duplex in Millimeter Wave System: Design, Prototype and Results}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {9}, pages = {2893--2906}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2023.3287609}, doi = {10.1109/JSAC.2023.3287609}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/YuQLSSPLZKHLJCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/MinKC23, author = {Juhong Min and Seungwook Kim and Minsu Cho}, title = {Convolutional Hough Matching Networks for Robust and Efficient Visual Correspondence}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {7}, pages = {8159--8175}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3233884}, doi = {10.1109/TPAMI.2022.3233884}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/MinKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKHS23, author = {Yunsu Kim and Juho Kim and Jungpyo Hong and Jongwon Seok}, title = {The Tacotron-Based Signal Synthesis Method for Active Sonar}, journal = {Sensors}, volume = {23}, number = {1}, pages = {28}, year = {2023}, url = {https://doi.org/10.3390/s23010028}, doi = {10.3390/S23010028}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/SongHKYPLLK23, author = {Jiho Song and Seong{-}Hwan Hyun and Keunwoo Kim and Young{-}Jun Yoon and Juho Park and Moon{-}Sik Lee and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Singular Direction-Based Quantizer and Receiver Designs for User Cooperative Distributed Reception}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {349--360}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3229319}, doi = {10.1109/JSYST.2022.3229319}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/SongHKYPLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/YoonBPLK23, author = {Juho Yoon and Aparajita Bose and Hun Park and Jongtaik Lee and Byunghoon Kim}, title = {A Novel Methodology for Estimating Technology Value and Importance of Factors in Market-Based Approach}, journal = {Syst.}, volume = {11}, number = {9}, pages = {439}, year = {2023}, url = {https://doi.org/10.3390/systems11090439}, doi = {10.3390/SYSTEMS11090439}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/systems/YoonBPLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimCKRL23, author = {Sanghyun Kim and Jungwon Choi and NamHee Kim and Jaesung Ryu and Juho Lee}, editor = {Martin Mundt and Keiland W. Cooper and Devendra Singh Dhami and Ad{\`{e}}le H. Ribeiro and James Seale Smith and Alexis Bellot and Tyler L. Hayes}, title = {Modeling Uplift from Observational Time-Series in Continual Scenarios}, booktitle = {{AAAI} Bridge Program on Continual Causality, 7-8 February 2023, Washington, DC, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {208}, pages = {75--84}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v208/kim23a.html}, timestamp = {Tue, 14 Nov 2023 09:13:46 +0100}, biburl = {https://dblp.org/rec/conf/aaai/KimCKRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002SKKK23, author = {Seoyoung Kim and Donghoon Shin and Jeongyeon Kim and Soonwoo Kwon and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {How Older Adults Use Online Videos for Learning}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {440:1--440:16}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580671}, doi = {10.1145/3544548.3580671}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002SKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiKLK23, author = {Yoonseo Choi and Eun Jeong Kang and Min Kyung Lee and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Creator-friendly Algorithms: Behaviors, Challenges, and Design Opportunities in Algorithmic Platforms}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {564:1--564:22}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581386}, doi = {10.1145/3544548.3581386}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimCLBK23, author = {Jeongyeon Kim and Daeun Choi and Nicole Lee and Matt Beane and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Surch: Enabling Structural Search and Comparison for Surgical Videos}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {801:1--801:17}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3580772}, doi = {10.1145/3544548.3580772}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimCLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Lee0KYK23, author = {Yoonjoo Lee and Tae Soo Kim and Sungdong Kim and Yohan Yun and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {{DAPIE:} Interactive Step-by-Step Explanatory Dialogues to Answer Children's Why and How Questions}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {450:1--450:22}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581369}, doi = {10.1145/3544548.3581369}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/Lee0KYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongLLKK23, author = {Jean Y. Song and Sangwook Lee and Jisoo Lee and Mina Kim and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {ModSandbox: Facilitating Online Community Moderation Through Error Prediction and Improvement of Automated Rules}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {107:1--107:20}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581057}, doi = {10.1145/3544548.3581057}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SongLLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YangKLK23, author = {Saelyne Yang and Sangkyung Kwak and Juhoon Lee and Juho Kim}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Beyond Instructions: {A} Taxonomy of Information Types in How-to Videos}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {797:1--797:21}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581126}, doi = {10.1145/3544548.3581126}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YangKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/LimKCL0SSBZGPK23, author = {Gionnieve Lim and Hyunwoo Kim and Yoonseo Choi and Toby Jia{-}Jun Li and Chinmay Kulkarni and Hariharan Subramonyam and Joseph Seering and Michael S. Bernstein and Amy X. Zhang and Elena L. Glassman and Simon T. Perrault and Juho Kim}, editor = {Casey Fiesler and Loren G. Terveen and Morgan Ames and Susan R. Fussell and Eric Gilbert and Vera Liao and Xiaojuan Ma and Xinru Page and Mark Rouncefield and Vivek Singh and Pamela J. Wisniewski}, title = {Designing for AI-Powered Social Computing Systems}, booktitle = {Computer Supported Cooperative Work and Social Computing, {CSCW} 2023, Minneapolis, MN, USA, October 14-18, 2023}, pages = {572--575}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584931.3606951}, doi = {10.1145/3584931.3606951}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/LimKCL0SSBZGPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JungKL23, author = {Seohyeon Jung and Sanghyun Kim and Juho Lee}, title = {A Simple Yet Powerful Deep Active Learning With Snapshots Ensembles}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=IVESH65r0Ar}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JungKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeWK0H23, author = {Youngwan Lee and Jeffrey Ryan Willette and Jonghee Kim and Juho Lee and Sung Ju Hwang}, title = {Exploring The Role of Mean Teachers in Self-supervised Masked Auto-Encoders}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=7sn6Vxp92xV}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeWK0H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimKYLL023, author = {Seunghyun Kim and Hyunsu Kim and Eunggu Yun and Hwangrae Lee and Jaehun Lee and Juho Lee}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Probabilistic Imputation for Time-series Classification with Missing Data}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16654--16667}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23m.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimKYLL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimLY023, author = {Hyunsu Kim and Hyungi Lee and Hongseok Yang and Juho Lee}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Regularizing Towards Soft Equivariance Under Mixed Symmetries}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16712--16727}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23p.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimLY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPK23, author = {Jihyung Kim and Juho Park and Jinkyu Kang}, title = {{RIS} Channel Modeling based on 3GPP Channel Model}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1593--1594}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393532}, doi = {10.1109/ICTC58733.2023.10393532}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkKKOO23, author = {Juho Park and Jihyung Kim and Eunkyong Ko and KyeongTaek Oh and Jae{-}Eon Oh}, title = {Patent Trends on Reconfigurable Intelligent Surface}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1610--1611}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393035}, doi = {10.1109/ICTC58733.2023.10393035}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkKKOO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/SeoJYKMH23, author = {Youjin Seo and ByeongChang Jeong and Yeji Yoon and Daegyeom Kim and JuHong Min and Cheol E. Han}, title = {3D Super Resolution for Non-Isotropic Medical Image Through Multi-Input 3D ResUnet}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2023, Gold Coast, Australia, June 18-23, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCNN54540.2023.10191100}, doi = {10.1109/IJCNN54540.2023.10191100}, timestamp = {Wed, 09 Aug 2023 16:25:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/SeoJYKMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HeoLKSY23, author = {Jungwoo Heo and Chan{-}yeong Lim and Ju{-}ho Kim and Hyun{-}seo Shin and Ha{-}Jin Yu}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {One-Step Knowledge Distillation and Fine-Tuning in Using Large Pre-Trained Self-Supervised Learning Models for Speaker Verification}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {5271--5275}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-605}, doi = {10.21437/INTERSPEECH.2023-605}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HeoLKSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iticse/0001DMSBKTH23, author = {Juho Leinonen and Paul Denny and Stephen MacNeil and Sami Sarsa and Seth Bernstein and Joanne Kim and Andrew Tran and Arto Hellas}, editor = {Mikko{-}Jussi Laakso and Mattia Monga and Simon and Judithe Sheard}, title = {Comparing Code Explanations Created by Students and Large Language Models}, booktitle = {Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education V. 1, ITiCSE 2023, Turku, Finland, July 7-12, 2023}, pages = {124--130}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3587102.3588785}, doi = {10.1145/3587102.3588785}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iticse/0001DMSBKTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iticse/ReevesSPDBHKP023, author = {Brent N. Reeves and Sami Sarsa and James Prather and Paul Denny and Brett A. Becker and Arto Hellas and Bailey Kimmel and Garrett B. Powell and Juho Leinonen}, editor = {Mikko{-}Jussi Laakso and Mattia Monga and Simon and Judithe Sheard}, title = {Evaluating the Performance of Code Generation Models for Solving Parsons Problems With Small Prompt Variations}, booktitle = {Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education V. 1, ITiCSE 2023, Turku, Finland, July 7-12, 2023}, pages = {299--305}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3587102.3588805}, doi = {10.1145/3587102.3588805}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iticse/ReevesSPDBHKP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/KoPJJKS23, author = {Hyung{-}Kwon Ko and Gwanmo Park and Hyeon Jeon and Jaemin Jo and Juho Kim and Jinwook Seo}, title = {Large-scale Text-to-Image Generation Models for Visual Artists' Creative Works}, booktitle = {Proceedings of the 28th International Conference on Intelligent User Interfaces, {IUI} 2023, Sydney, NSW, Australia, March 27-31, 2023}, pages = {919--933}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581641.3584078}, doi = {10.1145/3581641.3584078}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/KoPJJKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/HanYKMKLKLHAO23, author = {Jieun Han and Haneul Yoo and Yoonsu Kim and Junho Myung and Minsun Kim and Hyunseung Lim and Juho Kim and Tak Yeon Lee and Hwajung Hong and So{-}Yeon Ahn and Alice Oh}, editor = {Daniel Spikol and Olga Viberg and Alejandra Mart{\'{\i}}nez{-}Mon{\'{e}}s and Philip J. Guo}, title = {{RECIPE:} How to Integrate ChatGPT into {EFL} Writing Education}, booktitle = {Proceedings of the Tenth {ACM} Conference on Learning @ Scale, Copenhagen, Denmark, July 20-22, 2023}, pages = {416--420}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3573051.3596200}, doi = {10.1145/3573051.3596200}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/HanYKMKLKLHAO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimL023, author = {Balhae Kim and Hyungi Lee and Juho Lee}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Function Space Bayesian Pseudocoreset for Bayesian Neural Networks}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/f36a180277bd3d5781dc02245f9d5f52-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/MacNeilK0DBBWHT23, author = {Stephen MacNeil and Joanne Kim and Juho Leinonen and Paul Denny and Seth Bernstein and Brett A. Becker and Michel Wermelinger and Arto Hellas and Andrew Tran and Sami Sarsa and James Prather and Viraj Kumar}, editor = {Maureen Doyle and Ben Stephenson and Brian Dorn and Leen{-}Kiat Soh and Lina Battestilli}, title = {The Implications of Large Language Models for {CS} Teachers and Students}, booktitle = {Proceedings of the 54th {ACM} Technical Symposium on Computer Science Education, Volume 2, {SIGCSE} 2023, Toronto, ON, Canada, March 15-18, 2023}, pages = {1255}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3545947.3573358}, doi = {10.1145/3545947.3573358}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/MacNeilK0DBBWHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/MacNeilT0DKHBS23, author = {Stephen MacNeil and Andrew Tran and Juho Leinonen and Paul Denny and Joanne Kim and Arto Hellas and Seth Bernstein and Sami Sarsa}, editor = {Maureen Doyle and Ben Stephenson and Brian Dorn and Leen{-}Kiat Soh and Lina Battestilli}, title = {Automatically Generating {CS} Learning Materials with Large Language Models}, booktitle = {Proceedings of the 54th {ACM} Technical Symposium on Computer Science Education, Volume 2, {SIGCSE} 2023, Toronto, ON, Canada, March 15-18, 2023}, pages = {1176}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3545947.3569630}, doi = {10.1145/3545947.3569630}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/MacNeilT0DKHBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/MacNeilTHKSDB023, author = {Stephen MacNeil and Andrew Tran and Arto Hellas and Joanne Kim and Sami Sarsa and Paul Denny and Seth Bernstein and Juho Leinonen}, editor = {Maureen Doyle and Ben Stephenson and Brian Dorn and Leen{-}Kiat Soh and Lina Battestilli}, title = {Experiences from Using Code Explanations Generated by Large Language Models in a Web Software Development E-Book}, booktitle = {Proceedings of the 54th {ACM} Technical Symposium on Computer Science Education, Volume 1, {SIGCSE} 2023, Toronto, ON, Canada, March 15-18, 2023}, pages = {931--937}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3545945.3569785}, doi = {10.1145/3545945.3569785}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/MacNeilTHKSDB023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/0002LCK23, author = {Tae Soo Kim and Yoonjoo Lee and Minsuk Chang and Juho Kim}, editor = {Sean Follmer and Jeff Han and J{\"{u}}rgen Steimle and Nathalie Henry Riche}, title = {Cells, Generators, and Lenses: Design Framework for Object-Oriented Interaction with Large Language Models}, booktitle = {Proceedings of the 36th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2023, San Francisco, CA, USA, 29 October 2023- 1 November 2023}, pages = {4:1--4:18}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3586183.3606833}, doi = {10.1145/3586183.3606833}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/0002LCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15125, author = {Tae Soo Kim and Arghya Sarkar and Yoonjoo Lee and Minsuk Chang and Juho Kim}, title = {LMCanvas: Object-Oriented Interaction to Personalize Large Language Model-Powered Writing Environments}, journal = {CoRR}, volume = {abs/2303.15125}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15125}, doi = {10.48550/ARXIV.2303.15125}, eprinttype = {arXiv}, eprint = {2303.15125}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15125.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03938, author = {Juho Leinonen and Paul Denny and Stephen MacNeil and Sami Sarsa and Seth Bernstein and Joanne Kim and Andrew Tran and Arto Hellas}, title = {Comparing Code Explanations Created by Students and Large Language Models}, journal = {CoRR}, volume = {abs/2304.03938}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03938}, doi = {10.48550/ARXIV.2304.03938}, eprinttype = {arXiv}, eprint = {2304.03938}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11583, author = {Jieun Han and Haneul Yoo and Yoonsu Kim and Junho Myung and Minsun Kim and Hyunseung Lim and Juho Kim and Tak Yeon Lee and Hwajung Hong and So{-}Yeon Ahn and Alice Oh}, title = {{RECIPE:} How to Integrate ChatGPT into {EFL} Writing Education}, journal = {CoRR}, volume = {abs/2305.11583}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11583}, doi = {10.48550/ARXIV.2305.11583}, eprinttype = {arXiv}, eprint = {2305.11583}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11583.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13114, author = {Reza Hadi Mogavi and Chao Deng and Justin Juho Kim and Pengyuan Zhou and Young D. Kwon and Ahmed Hosny Saleh Metwally and Ahmed Tlili and Simone Bassanelli and Antonio Bucchiarone and Sujit Gujar and Lennart E. Nacke and Pan Hui}, title = {Exploring User Perspectives on ChatGPT: Applications, Perceptions, and Implications for AI-Integrated Education}, journal = {CoRR}, volume = {abs/2305.13114}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13114}, doi = {10.48550/ARXIV.2305.13114}, eprinttype = {arXiv}, eprint = {2305.13114}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17394, author = {Jungwoo Heo and Chan{-}yeong Lim and Ju{-}ho Kim and Hyun{-}seo Shin and Ha{-}Jin Yu}, title = {One-Step Knowledge Distillation and Fine-Tuning in Using Large Pre-Trained Self-Supervised Learning Models for Speaker Verification}, journal = {CoRR}, volume = {abs/2305.17394}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17394}, doi = {10.48550/ARXIV.2305.17394}, eprinttype = {arXiv}, eprint = {2305.17394}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-00356, author = {Hyunsu Kim and Hyungi Lee and Hongseok Yang and Juho Lee}, title = {Regularizing Towards Soft Equivariance Under Mixed Symmetries}, journal = {CoRR}, volume = {abs/2306.00356}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.00356}, doi = {10.48550/ARXIV.2306.00356}, eprinttype = {arXiv}, eprint = {2306.00356}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-00356.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-05977, author = {Sanghyun Kim and Seohyeon Jung and Balhae Kim and Moonseok Choi and Jinwoo Shin and Juho Lee}, title = {Towards Safe Self-Distillation of Internet-Scale Text-to-Image Diffusion Models}, journal = {CoRR}, volume = {abs/2307.05977}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.05977}, doi = {10.48550/ARXIV.2307.05977}, eprinttype = {arXiv}, eprint = {2307.05977}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-05977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-10628, author = {Wonbin Kim and Hyun{-}seo Shin and Ju{-}ho Kim and Jungwoo Heo and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {{PAS:} Partial Additive Speech Data Augmentation Method for Noise Robust Speaker Verification}, journal = {CoRR}, volume = {abs/2307.10628}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.10628}, doi = {10.48550/ARXIV.2307.10628}, eprinttype = {arXiv}, eprint = {2307.10628}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-10628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-10928, author = {Seonghyeon Ye and Doyoung Kim and Sungdong Kim and Hyeonbin Hwang and Seungone Kim and Yongrae Jo and James Thorne and Juho Kim and Minjoon Seo}, title = {{FLASK:} Fine-grained Language Model Evaluation based on Alignment Skill Sets}, journal = {CoRR}, volume = {abs/2307.10928}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.10928}, doi = {10.48550/ARXIV.2307.10928}, eprinttype = {arXiv}, eprint = {2307.10928}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-10928.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13858, author = {Daehyun Kim and Seulgi Choi and Juho Kim and Vidya Setlur and Maneesh Agrawala}, title = {EmphasisChecker: {A} Tool for Guiding Chart and Caption Emphasis}, journal = {CoRR}, volume = {abs/2307.13858}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13858}, doi = {10.48550/ARXIV.2307.13858}, eprinttype = {arXiv}, eprint = {2307.13858}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06738, author = {Seunghyun Kim and Hyunsu Kim and Eunggu Yun and Hwangrae Lee and Jaehun Lee and Juho Lee}, title = {Probabilistic Imputation for Time-series Classification with Missing Data}, journal = {CoRR}, volume = {abs/2308.06738}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06738}, doi = {10.48550/ARXIV.2308.06738}, eprinttype = {arXiv}, eprint = {2308.06738}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-07327, author = {Juho Kim}, title = {PokerKit: {A} Comprehensive Python Library for Fine-Grained Multi-Variant Poker Game Simulations}, journal = {CoRR}, volume = {abs/2308.07327}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.07327}, doi = {10.48550/ARXIV.2308.07327}, eprinttype = {arXiv}, eprint = {2308.07327}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-07327.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-16705, author = {Nayeon Lee and Chani Jung and Junho Myung and Jiho Jin and Juho Kim and Alice Oh}, title = {CReHate: Cross-cultural Re-annotation of English Hate Speech Dataset}, journal = {CoRR}, volume = {abs/2308.16705}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.16705}, doi = {10.48550/ARXIV.2308.16705}, eprinttype = {arXiv}, eprint = {2308.16705}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-16705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-08208, author = {Hyun{-}seo Shin and Jungwoo Heo and Ju{-}ho Kim and Chan{-}yeong Lim and Wonbin Kim and Ha{-}Jin Yu}, title = {HM-Conformer: {A} Conformer-based audio deepfake detection system with hierarchical pooling and multi-level classification token aggregation methods}, journal = {CoRR}, volume = {abs/2309.08208}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.08208}, doi = {10.48550/ARXIV.2309.08208}, eprinttype = {arXiv}, eprint = {2309.08208}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-08208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-08320, author = {Ju{-}ho Kim and Jungwoo Heo and Hyun{-}seo Shin and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {Diff-SV: {A} Unified Hierarchical Framework for Noise-Robust Speaker Verification Using Score-Based Diffusion Probabilistic Models}, journal = {CoRR}, volume = {abs/2309.08320}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.08320}, doi = {10.48550/ARXIV.2309.08320}, eprinttype = {arXiv}, eprint = {2309.08320}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-08320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10245, author = {Hyung{-}Kwon Ko and Hyeon Jeon and Gwanmo Park and Dae Hyun Kim and Nam Wook Kim and Juho Kim and Jinwook Seo}, title = {Natural Language Dataset Generation Framework for Visualizations Powered by Large Language Models}, journal = {CoRR}, volume = {abs/2309.10245}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10245}, doi = {10.48550/ARXIV.2309.10245}, eprinttype = {arXiv}, eprint = {2309.10245}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13633, author = {Tae Soo Kim and Yoonjoo Lee and Jamin Shin and Young{-}Ho Kim and Juho Kim}, title = {EvalLM: Interactive Evaluation of Large Language Model Prompts on User-Defined Criteria}, journal = {CoRR}, volume = {abs/2309.13633}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13633}, doi = {10.48550/ARXIV.2309.13633}, eprinttype = {arXiv}, eprint = {2309.13633}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14534, author = {Hyoungwook Jin and Seonghee Lee and Hyungyu Shin and Juho Kim}, title = {"Teach {AI} How to Code": Using Large Language Models as Teachable Agents for Programming Education}, journal = {CoRR}, volume = {abs/2309.14534}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14534}, doi = {10.48550/ARXIV.2309.14534}, eprinttype = {arXiv}, eprint = {2309.14534}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01287, author = {Kihoon Son and Daeun Choi and Tae Soo Kim and Young{-}Ho Kim and Juho Kim}, title = {GenQuery: Supporting Expressive Visual Search with Generative Models}, journal = {CoRR}, volume = {abs/2310.01287}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01287}, doi = {10.48550/ARXIV.2310.01287}, eprinttype = {arXiv}, eprint = {2310.01287}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01331, author = {Jeongeon Park and Bryan Min and Xiaojuan Ma and Juho Kim}, title = {ChoiceMates: Supporting Unfamiliar Online Decision-Making with Multi-Agent Conversational Interactions}, journal = {CoRR}, volume = {abs/2310.01331}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01331}, doi = {10.48550/ARXIV.2310.01331}, eprinttype = {arXiv}, eprint = {2310.01331}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05191, author = {Jieun Han and Haneul Yoo and Junho Myung and Minsun Kim and Hyunseung Lim and Yoonsu Kim and Tak Yeon Lee and Hwajung Hong and Juho Kim and So{-}Yeon Ahn and Alice Oh}, title = {{FABRIC:} Automated Scoring and Feedback Generation for Essays}, journal = {CoRR}, volume = {abs/2310.05191}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05191}, doi = {10.48550/ARXIV.2310.05191}, eprinttype = {arXiv}, eprint = {2310.05191}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-17852, author = {Balhae Kim and Hyungi Lee and Juho Lee}, title = {Function Space Bayesian Pseudocoreset for Bayesian Neural Networks}, journal = {CoRR}, volume = {abs/2310.17852}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.17852}, doi = {10.48550/ARXIV.2310.17852}, eprinttype = {arXiv}, eprint = {2310.17852}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-17852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04336, author = {Seungwook Kim and Juhong Min and Minsu Cho}, title = {Efficient Semantic Matching with Hypercolumn Correlation}, journal = {CoRR}, volume = {abs/2311.04336}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04336}, doi = {10.48550/ARXIV.2311.04336}, eprinttype = {arXiv}, eprint = {2311.04336}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04336.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07434, author = {Yoonsu Kim and Jueon Lee and Seoyoung Kim and Jaehyuk Park and Juho Kim}, title = {Understanding Users' Dissatisfaction with ChatGPT Responses: Types, Resolving Tactics, and the Effect of Knowledge Level}, journal = {CoRR}, volume = {abs/2311.07434}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07434}, doi = {10.48550/ARXIV.2311.07434}, eprinttype = {arXiv}, eprint = {2311.07434}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07623, author = {Juho Kim}, title = {PadChannel: Improving {CNN} Performance through Explicit Padding Encoding}, journal = {CoRR}, volume = {abs/2311.07623}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07623}, doi = {10.48550/ARXIV.2311.07623}, eprinttype = {arXiv}, eprint = {2311.07623}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07623.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12148, author = {Anjali Singh and Christopher Brooks and Xu Wang and Warren Li and Juho Kim and Deepti Pandey}, title = {Bridging Learnersourcing and {AI:} Exploring the Dynamics of Student-AI Collaborative Feedback Generation}, journal = {CoRR}, volume = {abs/2311.12148}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12148}, doi = {10.48550/ARXIV.2311.12148}, eprinttype = {arXiv}, eprint = {2311.12148}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16017, author = {Stephen MacNeil and Paul Denny and Andrew Tran and Juho Leinonen and Seth Bernstein and Arto Hellas and Sami Sarsa and Joanne Kim}, title = {Decoding Logic Errors: {A} Comparative Study on Bug Detection by Students and Large Language Models}, journal = {CoRR}, volume = {abs/2311.16017}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16017}, doi = {10.48550/ARXIV.2311.16017}, eprinttype = {arXiv}, eprint = {2311.16017}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-17466, author = {Seongho Keum and Sanghyun Kim and Soojeong Lee and Juho Lee}, title = {Slot-Mixup with Subsampling: {A} Simple Regularization for {WSI} Classification}, journal = {CoRR}, volume = {abs/2311.17466}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.17466}, doi = {10.48550/ARXIV.2311.17466}, eprinttype = {arXiv}, eprint = {2311.17466}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-17466.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01994, author = {Jungwon Choi and Seongho Keum and Eunggu Yun and Byung{-}Hoon Kim and Juho Lee}, title = {A Generative Self-Supervised Framework using Functional Connectivity in fMRI Data}, journal = {CoRR}, volume = {abs/2312.01994}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01994}, doi = {10.48550/ARXIV.2312.01994}, eprinttype = {arXiv}, eprint = {2312.01994}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11753, author = {Juho Kim}, title = {Poker Hand History File Format Specification}, journal = {CoRR}, volume = {abs/2312.11753}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11753}, doi = {10.48550/ARXIV.2312.11753}, eprinttype = {arXiv}, eprint = {2312.11753}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11753.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11949, author = {Daeun Choi and Sumin Hong and Jeongeon Park and John Joon Young Chung and Juho Kim}, title = {CreativeConnect: Supporting Reference Recombination for Graphic Design Ideation with Generative {AI}}, journal = {CoRR}, volume = {abs/2312.11949}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11949}, doi = {10.48550/ARXIV.2312.11949}, eprinttype = {arXiv}, eprint = {2312.11949}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14939, author = {Byung{-}Hoon Kim and Jungwon Choi and Eunggu Yun and Kyungsang Kim and Xiang Li and Juho Lee}, title = {Large-scale Graph Representation Learning of Dynamic Brain Connectome with Transformers}, journal = {CoRR}, volume = {abs/2312.14939}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14939}, doi = {10.48550/ARXIV.2312.14939}, eprinttype = {arXiv}, eprint = {2312.14939}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRB22, author = {Bumsu Kim and Kunhee Ryu and Juhoon Back}, title = {A Generalized Hold Based Countermeasure Against Zero-Dynamics Attack With Application to {DC-DC} Converter}, journal = {{IEEE} Access}, volume = {10}, pages = {44923--44933}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168128}, doi = {10.1109/ACCESS.2022.3168128}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ChenMLSK22, author = {Wanshi Chen and Juan Montojo and Juho Lee and Mansoor Shafi and Yuchul Kim}, title = {The Standardization of 5G-Advanced in 3GPP}, journal = {{IEEE} Commun. Mag.}, volume = {60}, number = {11}, pages = {98--104}, year = {2022}, url = {https://doi.org/10.1109/MCOM.005.2200074}, doi = {10.1109/MCOM.005.2200074}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/ChenMLSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimKKJ22, author = {Haesoo Kim and Haeeun Kim and Juho Kim and Jeong{-}woo Jang}, title = {When Does it Become Harassment?: An Investigation of Online Criticism and Calling Out in Twitter}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--32}, year = {2022}, url = {https://doi.org/10.1145/3555575}, doi = {10.1145/3555575}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002CCK22, author = {Tae Soo Kim and Daeun Choi and Yoonseo Choi and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Stylette: Styling the Web with Natural Language}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {5:1--5:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3501931}, doi = {10.1145/3491102.3501931}, timestamp = {Fri, 29 Apr 2022 13:37:13 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002CCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiSXK22, author = {Kabdo Choi and Hyungyu Shin and Meng Xia and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {AlgoSolve: Supporting Subgoal Learning in Algorithmic Problem-Solving with Learnersourced Microtasks}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {229:1--229:16}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3501917}, doi = {10.1145/3491102.3501917}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiSXK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuhLCKOK22, author = {Mina Huh and Yunjung Lee and Dasom Choi and Haesoo Kim and Uran Oh and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Cocomix: Utilizing Comments to Improve Non-Visual Webtoon Accessibility}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {607:1--607:18}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502081}, doi = {10.1145/3491102.3502081}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HuhLCKOK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimCKK22, author = {Jeongyeon Kim and Yubin Choi and Minsuk Kahng and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {FitVid: Responsive and Flexible Video Content Adaptation}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {501:1--501:16}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3501948}, doi = {10.1145/3491102.3501948}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimCXK22, author = {Jeongyeon Kim and Yubin Choi and Meng Xia and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Mobile-Friendly Content Design for MOOCs: Challenges, Requirements, and Design Opportunities}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {92:1--92:16}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502054}, doi = {10.1145/3491102.3502054}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimCXK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCKSK22, author = {Yoonjoo Lee and John Joon Young Chung and Tae Soo Kim and Jean Y. Song and Juho Kim}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Promptiverse: Scalable Generation of Scaffolding Prompts Through Human-AI Hybrid Knowledge Graph Annotation}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {96:1--96:18}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502087}, doi = {10.1145/3491102.3502087}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeCKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YangYKS22, author = {Saelyne Yang and Jisu Yim and Juho Kim and Hijung Valentina Shin}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {CatchLive: Real-time Summarization of Live Streams with Stream Content and Interaction Data}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {500:1--500:20}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517461}, doi = {10.1145/3491102.3517461}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YangYKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimMC22, author = {Seungwook Kim and Juhong Min and Minsu Cho}, title = {TransforMatcher: Match-to-Match Attention for Semantic Correspondence}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {8687--8697}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00850}, doi = {10.1109/CVPR52688.2022.00850}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcis/KimCK22, author = {Seokbyum Kim and Mujun Choi and Juho Kim}, title = {BTI-Aware Cell Characterization based on Neural Network}, booktitle = {37th Conference on Design of Circuits and Integrated Systems, {DCIS} 2022, Pamplona, Spain, November 16-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DCIS55711.2022.9970108}, doi = {10.1109/DCIS55711.2022.9970108}, timestamp = {Tue, 03 Jan 2023 15:21:33 +0100}, biburl = {https://dblp.org/rec/conf/dcis/KimCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChaMCKCJL022, author = {Jiyoung Cha and YoungGyoun Moon and Sunwoo Cho and Dongmyoung Kim and Jinho Choi and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{RAN-CN} Converged User-Plane for 6G Cellular Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {2843--2848}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001487}, doi = {10.1109/GLOBECOM48099.2022.10001487}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChaMCKCJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoiSGMCKJL022, author = {Jinho Choi and Neha Sharma and Shiva Souhith Gantha and Vikalp Mandawaria and Jiyoung Cha and Dongmyoung Kim and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{RAN-CN} Converged Control-Plane for 6G Cellular Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {1253--1258}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001281}, doi = {10.1109/GLOBECOM48099.2022.10001281}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChoiSGMCKJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JeDCKSJL022, author = {Dong{-}Hyun Je and Aneesh Deshmukh and Sunwoo Cho and Dongmyoung Kim and Neha Sharma and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {Selective User Plane {(UP)} Security for Throughput Enhancement in Mobile Communication}, booktitle = {{IEEE} Globecom 2022 Workshops, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {1194--1199}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCWkshps56602.2022.10008490}, doi = {10.1109/GCWKSHPS56602.2022.10008490}, timestamp = {Tue, 17 Jan 2023 14:32:06 +0100}, biburl = {https://dblp.org/rec/conf/globecom/JeDCKSJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimSHY22, author = {Ju{-}ho Kim and Hye{-}Jin Shim and Jungwoo Heo and Ha{-}Jin Yu}, title = {RawNeXt: Speaker Verification System For Variable-Duration Utterances With Deep Layer Aggregation And Extended Dynamic Scaling Policies}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {7647--7651}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747594}, doi = {10.1109/ICASSP43922.2022.9747594}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimSHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShimJKY22, author = {Hye{-}jin Shim and Jee{-}weon Jung and Ju{-}ho Kim and Ha{-}Jin Yu}, title = {Attentive Max Feature Map and Joint Training for Acoustic Scene Classification}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {1036--1040}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746091}, doi = {10.1109/ICASSP43922.2022.9746091}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShimJKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimLBQJL022, author = {Sundo Kim and Kwonjong Lee and Bin Yu and Chen Qian and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {Measurement and System-level Evaluation of Inter-Sector Self-Interference on Full Duplex in mmWave Band}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {1112--1117}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9839075}, doi = {10.1109/ICC45855.2022.9839075}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimLBQJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ParkKOLK22, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, editor = {Xingquan Zhu and Sanjay Ranka and My T. Thai and Takashi Washio and Xindong Wu}, title = {A Large-Scale Ensemble Learning Framework for Demand Forecasting}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2022, Orlando, FL, USA, November 28 - Dec. 1, 2022}, pages = {378--387}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDM54844.2022.00048}, doi = {10.1109/ICDM54844.2022.00048}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/ParkKOLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HeoKS22, author = {Jungwoo Heo and Ju{-}Ho Kim and Hyun{-}seo Shin}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Two Methods for Spoofing-Aware Speaker Verification: Multi-Layer Perceptron Score Fusion Model and Integrated Embedding Projector}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {2878--2882}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-602}, doi = {10.21437/INTERSPEECH.2022-602}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HeoKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimHSY22, author = {Ju{-}ho Kim and Jungwoo Heo and Hye{-}jin Shim and Ha{-}Jin Yu}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Extended U-Net for Speaker Verification in Noisy Environments}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {590--594}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-155}, doi = {10.21437/INTERSPEECH.2022-155}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimHSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JinOK22, author = {Myeongwoo Jin and Doekkeun Oh and Juho Kim}, title = {High-Level Synthesis Considering Layer Assignment on Timing in 3D-IC}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {386--387}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031586}, doi = {10.1109/ISOCC56007.2022.10031586}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JinOK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JinOK22a, author = {Myeongwoo Jin and Doekkeun Oh and Juho Kim}, title = {Delay Impact on Process Variation of Interconnect throughout technology scaling}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {388--389}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031498}, doi = {10.1109/ISOCC56007.2022.10031498}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JinOK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/YangYB0CK22, author = {Saelyne Yang and Jisu Yim and Aitolkyn Baigutanova and Seoyoung Kim and Minsuk Chang and Juho Kim}, editor = {Giulio Jacucci and Samuel Kaski and Cristina Conati and Simone Stumpf and Tuukka Ruotsalo and Krzysztof Gajos}, title = {SoftVideo: Improving the Learning Experience of Software Tutorial Videos with Collective Interaction Data}, booktitle = {{IUI} 2022: 27th International Conference on Intelligent User Interfaces, Helsinki, Finland, March 22 - 25, 2022}, pages = {646--660}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3490099.3511106}, doi = {10.1145/3490099.3511106}, timestamp = {Wed, 23 Mar 2022 08:22:13 +0100}, biburl = {https://dblp.org/rec/conf/iui/YangYB0CK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/XiaZEHK22, author = {Meng Xia and Yankun Zhao and Mehmet Hamza Erol and Jihyeong Hong and Juho Kim}, title = {Understanding Distributed Tutorship in Online Language Tutoring}, booktitle = {{LAK} 2022: 12th International Learning Analytics and Knowledge Conference, Online Event, USA, March 21 - 25, 2022}, pages = {164--174}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3506860.3506883}, doi = {10.1145/3506860.3506883}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lak/XiaZEHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/JinK22, author = {Hyoungwook Jin and Juho Kim}, editor = {Steven Moore and John C. Stamper and Paul Denny and Hassan Khosravi and Christopher Brooks}, title = {Learnersourcing Subgoal Hierarchies of Code Examples}, booktitle = {Proceedings of the Workshop on Learnersourcing: Student-Generated Content @ Scale 2022 co-located with 9th {ACM} Conference on Learning @ Scale (L@S 2022), New York City, United States, June 1, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3410}, pages = {35--39}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3410/short4.pdf}, timestamp = {Sun, 26 Nov 2023 13:55:42 +0100}, biburl = {https://dblp.org/rec/conf/lats/JinK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/KimSK22, author = {Haesoo Kim and Inhwa Song and Juho Kim}, editor = {Steven Moore and John C. Stamper and Paul Denny and Hassan Khosravi and Christopher Brooks}, title = {Learnersourcing Modular and Dynamic Multiple Choice Questions}, booktitle = {Proceedings of the Workshop on Learnersourcing: Student-Generated Content @ Scale 2022 co-located with 9th {ACM} Conference on Learning @ Scale (L@S 2022), New York City, United States, June 1, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3410}, pages = {30--34}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3410/short3.pdf}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/KimSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/XiaZHEKK22, author = {Meng Xia and Yankun Zhao and Jihyeong Hong and Mehmet Hamza Erol and Taewook Kim and Juho Kim}, editor = {Ren{\'{e}} F. Kizilcec and Katie Davis and Xavier Ochoa}, title = {RLens: {A} Computer-aided Visualization System for Supporting Reflection on Language Learning under Distributed Tutorship}, booktitle = {L@S'22: Ninth {ACM} Conference on Learning @ Scale, New York City, NY, USA, June 1 - 3, 2022}, pages = {60--71}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491140.3528264}, doi = {10.1145/3491140.3528264}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/XiaZHEKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimCL00022, author = {Balhae Kim and Jungwon Choi and Seanie Lee and Yoonho Lee and Jung{-}Woo Ha and Juho Lee}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {On Divergence Measures for Bayesian Pseudocoresets}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/04f8311e7e22eac15d67fe45c242ead8-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimCL00022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/ShinSLKSK21, author = {Hyungyu Shin and Nabila Sindi and Yoonjoo Lee and Jaeryoung Ka and Jean Y. Song and Juho Kim}, editor = {Larry Merkle and Maureen Doyle and Judithe Sheard and Leen{-}Kiat Soh and Brian Dorn}, title = {XDesign: Integrating Interface Design into Explainable {AI} Education}, booktitle = {{SIGCSE} 2022: The 53rd {ACM} Technical Symposium on Computer Science Education, Providence, RI, USA, March 3-5, 2022, Volume 2}, pages = {1097}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3478432.3499052}, doi = {10.1145/3478432.3499052}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcse/ShinSLKSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KoCJK22, author = {Eun{-}Young Ko and Eunseo Choi and Jeong{-}woo Jang and Juho Kim}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Capturing Diverse and Precise Reactions to a Comment with User-Generated Labels}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {1731--1740}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3512243}, doi = {10.1145/3485447.3512243}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/KoCJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08033, author = {Meng Xia and Yankun Zhao and Jihyeong Hong and Mehmet Hamza Erol and Taewook Kim and Juho Kim}, title = {RLens: {A} Computer-aided Visualization System for Supporting Reflection on Language Learning under Distributed Tutorship}, journal = {CoRR}, volume = {abs/2204.08033}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08033}, doi = {10.48550/ARXIV.2204.08033}, eprinttype = {arXiv}, eprint = {2204.08033}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11634, author = {Seungwook Kim and Juhong Min and Minsu Cho}, title = {TransforMatcher: Match-to-Match Attention for Semantic Correspondence}, journal = {CoRR}, volume = {abs/2205.11634}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11634}, doi = {10.48550/ARXIV.2205.11634}, eprinttype = {arXiv}, eprint = {2205.11634}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11634.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13044, author = {Ju{-}ho Kim and Jungwoo Heo and Hye{-}jin Shim and Ha{-}Jin Yu}, title = {Extended U-Net for Speaker Verification in Noisy Environments}, journal = {CoRR}, volume = {abs/2206.13044}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13044}, doi = {10.48550/ARXIV.2206.13044}, eprinttype = {arXiv}, eprint = {2206.13044}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13807, author = {Jungwoo Heo and Ju{-}ho Kim and Hyun{-}seo Shin}, title = {Two Methods for Spoofing-Aware Speaker Verification: Multi-Layer Perceptron Score Fusion Model and Integrated Embedding Projector}, journal = {CoRR}, volume = {abs/2206.13807}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13807}, doi = {10.48550/ARXIV.2206.13807}, eprinttype = {arXiv}, eprint = {2206.13807}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13807.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02077, author = {Youngwan Lee and Jeffrey Willette and Jonghee Kim and Juho Lee and Sung Ju Hwang}, title = {Exploring The Role of Mean Teachers in Self-supervised Masked Auto-Encoders}, journal = {CoRR}, volume = {abs/2210.02077}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02077}, doi = {10.48550/ARXIV.2210.02077}, eprinttype = {arXiv}, eprint = {2210.02077}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-06205, author = {Balhae Kim and Jungwon Choi and Seanie Lee and Yoonho Lee and Jung{-}Woo Ha and Juho Lee}, title = {On Divergence Measures for Bayesian Pseudocoresets}, journal = {CoRR}, volume = {abs/2210.06205}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.06205}, doi = {10.48550/ARXIV.2210.06205}, eprinttype = {arXiv}, eprint = {2210.06205}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-06205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08477, author = {Hyung{-}Kwon Ko and Gwanmo Park and Hyeon Jeon and Jaemin Jo and Juho Kim and Jinwook Seo}, title = {Large-scale Text-to-Image Generation Models for Visual Artists' Creative Works}, journal = {CoRR}, volume = {abs/2210.08477}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08477}, doi = {10.48550/ARXIV.2210.08477}, eprinttype = {arXiv}, eprint = {2210.08477}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-09569, author = {Jean Y. Song and Sangwook Lee and Jisoo Lee and Mina Kim and Juho Kim}, title = {ModSandbox: Facilitating Online Community Moderation Through Error Prediction and Improvement of Automated Rules}, journal = {CoRR}, volume = {abs/2210.09569}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.09569}, doi = {10.48550/ARXIV.2210.09569}, eprinttype = {arXiv}, eprint = {2210.09569}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-09569.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01599, author = {Jungwoo Heo and Hyun{-}seo Shin and Ju{-}ho Kim and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {Convolution channel separation and frequency sub-bands aggregation for music genre classification}, journal = {CoRR}, volume = {abs/2211.01599}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01599}, doi = {10.48550/ARXIV.2211.01599}, eprinttype = {arXiv}, eprint = {2211.01599}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01599.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02227, author = {Ju{-}ho Kim and Jungwoo Heo and Hyun{-}seo Shin and Chan{-}yeong Lim and Ha{-}Jin Yu}, title = {Integrated Parameter-Efficient Tuning for General-Purpose Audio Models}, journal = {CoRR}, volume = {abs/2211.02227}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02227}, doi = {10.48550/ARXIV.2211.02227}, eprinttype = {arXiv}, eprint = {2211.02227}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02265, author = {Stephen MacNeil and Andrew Tran and Arto Hellas and Joanne Kim and Sami Sarsa and Paul Denny and Seth Bernstein and Juho Leinonen}, title = {Experiences from Using Code Explanations Generated by Large Language Models in a Web Software Development E-Book}, journal = {CoRR}, volume = {abs/2211.02265}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02265}, doi = {10.48550/ARXIV.2211.02265}, eprinttype = {arXiv}, eprint = {2211.02265}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02265.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-05113, author = {Stephen MacNeil and Andrew Tran and Juho Leinonen and Paul Denny and Joanne Kim and Arto Hellas and Seth Bernstein and Sami Sarsa}, title = {Automatically Generating {CS} Learning Materials with Large Language Models}, journal = {CoRR}, volume = {abs/2212.05113}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.05113}, doi = {10.48550/ARXIV.2212.05113}, eprinttype = {arXiv}, eprint = {2212.05113}, timestamp = {Sun, 26 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-05113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JiKMTTKOYXL21, author = {Hyoungju Ji and Younsun Kim and Khurram Muhammad and Chance Tarver and Matthew Jordan Tonnemacher and Taehyoung Kim and Jinyoung Oh and Bin Yu and Gary Xu and Juho Lee}, title = {Extending 5G {TDD} Coverage With {XDD:} Cross Division Duplex}, journal = {{IEEE} Access}, volume = {9}, pages = {51380--51392}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3068977}, doi = {10.1109/ACCESS.2021.3068977}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JiKMTTKOYXL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRKB21, author = {Daehan Kim and Kunhee Ryu and Jung Hoon Kim and Juhoon Back}, title = {Zero Assignment via Generalized Sampler: {A} Countermeasure Against Zero-Dynamics Attack}, journal = {{IEEE} Access}, volume = {9}, pages = {109932--109942}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3101637}, doi = {10.1109/ACCESS.2021.3101637}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimRKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JeonLIYXCOKLZ21, author = {Jeongho Jeon and Gilwon Lee and Ahmad A. I. Ibrahim and Jin Yuan and Gary Xu and Joonyoung Cho and Eko N. Onggosanusi and Younsun Kim and Juho Lee and Jianzhong Charlie Zhang}, title = {{MIMO} Evolution toward 6G: Modular Massive {MIMO} in Low-Frequency Bands}, journal = {{IEEE} Commun. Mag.}, volume = {59}, number = {11}, pages = {52--58}, year = {2021}, url = {https://doi.org/10.1109/MCOM.211.2100164}, doi = {10.1109/MCOM.211.2100164}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/JeonLIYXCOKLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/BardzellKLSS21, author = {Shaowen Bardzell and Juho Kim and Si{\^{a}}n E. Lindley and Aleksandra Sarcevic and Sarita Schoenebeck}, title = {{PACMHCI} {V5} {CSCW1} April 2021 Editorial}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW1}}, pages = {1:1}, year = {2021}, url = {https://doi.org/10.1145/3449254}, doi = {10.1145/3449254}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/BardzellKLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimGKKH21, author = {Tae Soo Kim and Nitesh Goyal and Jeongyeon Kim and Juho Kim and Sungsoo Ray Hong}, title = {Supporting Collaborative Sequencing of Small Groups through Visual Awareness}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW1}}, pages = {176:1--176:29}, year = {2021}, url = {https://doi.org/10.1145/3449250}, doi = {10.1145/3449250}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimGKKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimKJK21, author = {Hyunwoo Kim and Haesoo Kim and Kyung Je Jo and Juho Kim}, title = {StarryThoughts: Facilitating Diverse Opinion Exploration on Social Issues}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {5}, number = {{CSCW1}}, pages = {66:1--66:29}, year = {2021}, url = {https://doi.org/10.1145/3449140}, doi = {10.1145/3449140}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimNSGM21, author = {Hyeonjong Kim and Juhong Namgung and Siwoon Son and Myeong{-}Seon Gil and Yang{-}Sae Moon}, editor = {Herwig Unger and Jinho Kim and U Kang and Chakchai So{-}In and Junping Du and Walid Saad and Young{-}Guk Ha and Christian Wagner and Julien Bourgeois and Chanboon Sathitwiriyawong and Hyuk{-}Yoon Kwon and Carson K. Leung}, title = {Performance Comparison of Spoken Language Detection Models with Embedding Replacement}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2021, Jeju Island, South Korea, January 17-20, 2021}, pages = {106--109}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigComp51126.2021.00028}, doi = {10.1109/BIGCOMP51126.2021.00028}, timestamp = {Thu, 15 Jul 2021 15:42:38 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimNSGM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChangHK21, author = {Minsuk Chang and Mina Huh and Juho Kim}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {RubySlippers: Supporting Content-based Voice Navigation for How-to Videos}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {97:1--97:14}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445131}, doi = {10.1145/3411764.3445131}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChangHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimK21, author = {Jeongyeon Kim and Juho Kim}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi}, title = {Guideline-Based Evaluation and Design Opportunities for Mobile Video-based Learning}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts}, pages = {359:1--359:6}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411763.3451725}, doi = {10.1145/3411763.3451725}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimKCK21, author = {Tae Soo Kim and Seungsu Kim and Yoonseo Choi and Juho Kim}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Winder: Linking Speech and Visual Objects to Support Communication in Asynchronous Collaboration}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {453:1--453:17}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445686}, doi = {10.1145/3411764.3445686}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCSCK21, author = {Yoonjoo Lee and John Joon Young Chung and Jean Y. Song and Minsuk Chang and Juho Kim}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Personalizing Ambience and Illusionary Presence: How People Use "Study with me" Videos to Create Effective Studying Environments}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {355:1--355:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445222}, doi = {10.1145/3411764.3445222}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeCSCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KimKKM21, author = {Taewook Kim and Hyunwoo Kim and Juho Kim and Xiaojuan Ma}, editor = {Jeremy P. Birnholtz and Luigina Ciolfi and Sharon Ding and Susan R. Fussell and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and Mor Naaman}, title = {Improving Readers' Awareness of Divergent Viewpoints by Displaying Agendas of Comments in Online News Discussions}, booktitle = {Companion Publication of the 2021 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2021, Virtual Event, USA, October 23-27, 2021}, pages = {99--103}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3462204.3481761}, doi = {10.1145/3462204.3481761}, timestamp = {Tue, 26 Oct 2021 08:31:15 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KimKKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimYLH21, author = {Jinwoo Kim and Jaehoon Yoo and Juho Lee and Seunghoon Hong}, title = {SetVAE: Learning Hierarchical Composition for Generative Modeling of Set-Structured Data}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {15059--15068}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Kim\_SetVAE\_Learning\_Hierarchical\_Composition\_for\_Generative\_Modeling\_of\_Set-Structured\_Data\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01481}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimJJL021, author = {Sun{-}Hyun Kim and Byoung Hoon Jung and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{ODC:} Orchestration for Dynamic Coupling between Satellites and Cells via Reinforcement Learning}, booktitle = {{IEEE} Globecom 2021 Workshops, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GCWkshps52748.2021.9681948}, doi = {10.1109/GCWKSHPS52748.2021.9681948}, timestamp = {Sun, 30 Jan 2022 17:54:17 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KimJJL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HongKABK21, author = {Sungsoo Ray Hong and Rafal Kocielnik and Cecilia R. Aragon and Sarah Battersby and Juho Kim}, title = {Understanding the Role of User Interface for Multi-Criteria Decision-Making in Supporting Exploratory Usage of Information Systems}, booktitle = {54th Hawaii International Conference on System Sciences, {HICSS} 2021, Kauai, Hawaii, USA, January 5, 2021}, pages = {1--12}, publisher = {ScholarSpace}, year = {2021}, url = {https://hdl.handle.net/10125/70790}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/HongKABK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/JungSKY21, author = {Jee{-}weon Jung and Hye{-}jin Shim and Ju{-}ho Kim and Ha{-}Jin Yu}, title = {{DCASENET:} An Integrated Pretrained Deep Neural Network for Detecting and Classifying Acoustic Scenes and Events}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {621--625}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414406}, doi = {10.1109/ICASSP39728.2021.9414406}, timestamp = {Thu, 08 Jul 2021 17:12:48 +0200}, biburl = {https://dblp.org/rec/conf/icassp/JungSKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/RezaKBRW21, author = {Mohi Reza and Juho Kim and Ananya Bhattacharjee and Anna N. Rafferty and Joseph Jay Williams}, editor = {Christoph Meinel and Mar P{\'{e}}rez{-}Sanagust{\'{\i}}n and Marcus Specht and Amy Ogan}, title = {The MOOClet Framework: Unifying Experimentation, Dynamic Improvement, and Personalization in Online Courses}, booktitle = {L@S'21: Eighth {ACM} Conference on Learning @ Scale, Virtual Event, Germany, June 22-25, 2021}, pages = {15--26}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3430895.3460128}, doi = {10.1145/3430895.3460128}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/RezaKBRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LeeJKMC21, author = {Jongmin Lee and Yoonwoo Jeong and Seungwook Kim and Juhong Min and Minsu Cho}, title = {Learning to Distill Convolutional Features into Compact Local Descriptors}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {897--907}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00094}, doi = {10.1109/WACV48630.2021.00094}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/LeeJKMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-00556, author = {Hyungbo Shim and Juhoon Back and Yongsoon Eun and Gyunghoon Park and Jihan Kim}, title = {Zero-dynamics Attack, Variations, and Countermeasures}, journal = {CoRR}, volume = {abs/2101.00556}, year = {2021}, url = {https://arxiv.org/abs/2101.00556}, eprinttype = {arXiv}, eprint = {2101.00556}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-00556.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-15619, author = {Jinwoo Kim and Jaehoon Yoo and Juho Lee and Seunghoon Hong}, title = {SetVAE: Learning Hierarchical Composition for Generative Modeling of Set-Structured Data}, journal = {CoRR}, volume = {abs/2103.15619}, year = {2021}, url = {https://arxiv.org/abs/2103.15619}, eprinttype = {arXiv}, eprint = {2103.15619}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-15619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-06604, author = {Ju{-}ho Kim and Hye{-}jin Shim and Jee{-}weon Jung and Ha{-}Jin Yu}, title = {Learning Metrics from Mean Teacher: {A} Supervised Learning Method for Improving the Generalization of Speaker Verification System}, journal = {CoRR}, volume = {abs/2104.06604}, year = {2021}, url = {https://arxiv.org/abs/2104.06604}, eprinttype = {arXiv}, eprint = {2104.06604}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-06604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07213, author = {Hye{-}jin Shim and Ju{-}ho Kim and Jee{-}weon Jung and Ha{-}Jin Yu}, title = {Attentive Max Feature Map for Acoustic Scene Classification with Joint Learning considering the Abstraction of Classes}, journal = {CoRR}, volume = {abs/2104.07213}, year = {2021}, url = {https://arxiv.org/abs/2104.07213}, eprinttype = {arXiv}, eprint = {2104.07213}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07213.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06162, author = {Saehoon Kim and Sungwoong Kim and Juho Lee}, title = {Hybrid Generative-Contrastive Representation Learning}, journal = {CoRR}, volume = {abs/2106.06162}, year = {2021}, url = {https://arxiv.org/abs/2106.06162}, eprinttype = {arXiv}, eprint = {2106.06162}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06162.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-05221, author = {Juhong Min and Seungwook Kim and Minsu Cho}, title = {Convolutional Hough Matching Networks for Robust and Efficient Visual Correspondence}, journal = {CoRR}, volume = {abs/2109.05221}, year = {2021}, url = {https://arxiv.org/abs/2109.05221}, eprinttype = {arXiv}, eprint = {2109.05221}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-05221.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-03500, author = {Meng Xia and Yankun Zhao and Mehmet Hamza Erol and Jihyeong Hong and Juho Kim}, title = {Understanding Distributed Tutorship in Online Language Tutoring}, journal = {CoRR}, volume = {abs/2112.03500}, year = {2021}, url = {https://arxiv.org/abs/2112.03500}, eprinttype = {arXiv}, eprint = {2112.03500}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-03500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLSFKPJL20, author = {Taehyoung Kim and Younsun Kim and Qiongjie Lin and Feifei Sun and Jingxing Fu and Youngbum Kim and Aris Papasakellariou and Hyoungju Ji and Juho Lee}, title = {Evolution of Power Saving Technologies for 5G New Radio}, journal = {{IEEE} Access}, volume = {8}, pages = {198912--198924}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3035186}, doi = {10.1109/ACCESS.2020.3035186}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKLSFKPJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimBPLSV20, author = {Jihan Kim and Juhoon Back and Gyunghoon Park and Chanhwa Lee and Hyungbo Shim and Petros G. Voulgaris}, title = {Neutralizing zero dynamics attack on sampled-data systems via generalized holds}, journal = {Autom.}, volume = {113}, pages = {108778}, year = {2020}, url = {https://doi.org/10.1016/j.automatica.2019.108778}, doi = {10.1016/J.AUTOMATICA.2019.108778}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimBPLSV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChoOKL20, author = {Hyunsung Cho and Jinyoung Oh and Juho Kim and Sung{-}Ju Lee}, title = {I Share, You Care: Private Status Sharing and Sender-Controlled Notifications in Mobile Instant Messaging}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {4}, number = {{CSCW}}, pages = {034:1--034:25}, year = {2020}, url = {https://doi.org/10.1145/3392839}, doi = {10.1145/3392839}, timestamp = {Fri, 04 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChoOKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChoiMPSLK20, author = {Yoonseo Choi and Toni{-}Jan Keith Palma Monserrat and Jeongeon Park and Hyungyu Shin and Nyoungwoo Lee and Juho Kim}, title = {ProtoChat: Supporting the Conversation Design Process with Crowd Feedback}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {4}, number = {{CSCW3}}, pages = {1--27}, year = {2020}, url = {https://doi.org/10.1145/3432924}, doi = {10.1145/3432924}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChoiMPSLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimLS20, author = {Juho Kim and Si{\^{a}}n E. Lindley and Sarita Schoenebeck}, title = {{PACMHCI} {V4} {CSCW2} Oct 2020 Editorial}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {4}, number = {{CSCW2}}, pages = {90:1}, year = {2020}, url = {https://doi.org/10.1145/3415161}, doi = {10.1145/3415161}, timestamp = {Tue, 10 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimLS20a, author = {Juho Kim and Si{\^{a}}n E. Lindley and Sarita Schoenebeck}, title = {{PACMHCI} {V4} {CSCW3} December 2020 Continued Editorial}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {4}, number = {{CSCW3}}, pages = {1}, year = {2020}, url = {https://doi.org/10.1145/3432907}, doi = {10.1145/3432907}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/KimLS20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiis/SongFKL20, author = {Jean Y. Song and Raymond Fok and Juho Kim and Walter S. Lasecki}, title = {FourEyes: Leveraging Tool Diversity as a Means to Improve Aggregate Accuracy in Crowdsourcing}, journal = {{ACM} Trans. Interact. Intell. Syst.}, volume = {10}, number = {1}, pages = {3:1--3:30}, year = {2020}, url = {https://doi.org/10.1145/3237188}, doi = {10.1145/3237188}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tiis/SongFKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsoco/KimGKPKHHKKL20, author = {Joongyum Kim and Taesik Gong and Bogoan Kim and Jaeyeon Park and Woojeong Kim and Evey Huang and Kyungsik Han and Juho Kim and JeongGil Ko and Sung{-}Ju Lee}, title = {No More One Liners: Bringing Context into Emoji Recommendations}, journal = {{ACM} Trans. Soc. Comput.}, volume = {3}, number = {2}, pages = {9:1--9:25}, year = {2020}, url = {https://doi.org/10.1145/3373146}, doi = {10.1145/3373146}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsoco/KimGKPKHHKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/KimJLKLS20, author = {Wonjun Kim and Hyoungju Ji and Hyojin Lee and Younsun Kim and Juho Lee and Byonghyo Shim}, title = {Sparse Vector Transmission: An Idea Whose Time Has Come}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {15}, number = {3}, pages = {32--39}, year = {2020}, url = {https://doi.org/10.1109/MVT.2020.2976891}, doi = {10.1109/MVT.2020.2976891}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vtm/KimJLKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/OhKCE0KLS20, author = {Changhoon Oh and Seonghyeon Kim and Jinhan Choi and Jinsu Eun and Soomin Kim and Juho Kim and Joonhwan Lee and Bongwon Suh}, editor = {Ron Wakkary and Kristina Andersen and Will Odom and Audrey Desjardins and Marianne Graves Petersen}, title = {Understanding How People Reason about Aesthetic Evaluations of Artificial Intelligence}, booktitle = {{DIS} '20: Designing Interactive Systems Conference 2020, Eindhoven, The Netherlands, July 6-10, 2020}, pages = {1169--1181}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3357236.3395430}, doi = {10.1145/3357236.3395430}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/OhKCE0KLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChungKLKHY20, author = {Ingyo Chung and Saehoon Kim and Juho Lee and Kwang Joon Kim and Sung Ju Hwang and Eunho Yang}, title = {Deep Mixed Effect Model Using Gaussian Processes: {A} Personalized and Reliable Prediction for Healthcare}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {3649--3657}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.5773}, doi = {10.1609/AAAI.V34I04.5773}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChungKLKHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KimNMC20, author = {Jong{-}Wouk Kim and Juhong Namgung and Yang{-}Sae Moon and Mi{-}Jung Choi}, title = {Experimental Comparison of Machine Learning Models in Malware Packing Detection}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {377--380}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9237007}, doi = {10.23919/APNOMS50412.2020.9237007}, timestamp = {Thu, 29 Oct 2020 12:28:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/KimNMC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002TK20, author = {Seoyoung Kim and Arti Thakur and Juho Kim}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Understanding Users' Perception Towards Automated Personality Detection with Group-specific Behavioral Data}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376250}, doi = {10.1145/3313831.3376250}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002TK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiSMLPK20, author = {Yoonseo Choi and Hyungyu Shin and Toni{-}Jan Keith Monserrat and Nyoungwoo Lee and Jeongeon Park and Juho Kim}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Supporting an Iterative Conversation Design Process}, booktitle = {Extended Abstracts of the 2020 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2020, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--8}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3334480.3382951}, doi = {10.1145/3334480.3382951}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiSMLPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimHGKK20, author = {Tae Soo Kim and Sungsoo Ray Hong and Nitesh Goyal and Jeongyeon Kim and Juho Kim}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Consensus Building in Collaborative Sequencing with Visual Awareness}, booktitle = {Extended Abstracts of the 2020 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2020, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--9}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3334480.3382906}, doi = {10.1145/3334480.3382906}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimHGKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeSKPKK20, author = {Sung{-}Chul Lee and Jaeyoon Song and Eun{-}Young Ko and Seongho Park and Jihee Kim and Juho Kim}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {SolutionChat: Real-time Moderator Support for Chat-based Structured Discussion}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376609}, doi = {10.1145/3313831.3376609}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeSKPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SamsonSMWMSGMAF20, author = {Briane Paul V. Samson and Suleman Shahid and Akihiro Matsufuji and Chat Wacharamanotham and Toni{-}Jan Keith P. Monserrat and Keyur Sorathia and Masitah Ghazali and Shio Miyafuji and Nova Ahmed and Kazuyuki Fujita and A. B. M. Alim Al Islam and Eunice Ratna Sari and Murni Mahmud and Adi B. Tedjasaputra and Juho Kim and Uichin Lee and Thippaya Chintakovid and Sheng{-}Ming Wang and Zhengjie Liu and Xiangmin Fan and Ellen Yi{-}Luen Do and Yoshifumi Kitamura and Simon Tangi Perrault and Bing{-}Yu Chen}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Asian {CHI} Symposium: {HCI} Research from Asia and on Asian Contexts and Cultures}, booktitle = {Extended Abstracts of the 2020 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2020, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3334480.3375068}, doi = {10.1145/3334480.3375068}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SamsonSMWMSGMAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SunPJJLCLKAKH20, author = {Juho Sun and Sangkeun Park and Gyuwon Jung and Yong Jeong and Uichin Lee and Kyong{-}Mee Chung and Changseok Lee and Heewon Kim and Suhyon Ahn and Ahsan Khandoker and Leontios J. Hadjileontiadis}, title = {BeActive: Encouraging Physical Activities with Just-in-time Health Intervention and Micro Financial Incentives}, booktitle = {AsianCHI '20: Proceedings of the 2020 Symposium on Emerging Research from Asia and on Asian Contexts and Cultures, part of CHI'20, Honolulu, HI, USA, April 25, 2020}, pages = {17--20}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3391203.3391206}, doi = {10.1145/3391203.3391206}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/SunPJJLCLKAKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YangLSK20, author = {Saelyne Yang and Changyoon Lee and Hijung Valentina Shin and Juho Kim}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Snapstream: Snapshot-based Interaction in Live Streaming for Visual Art}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376390}, doi = {10.1145/3313831.3376390}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YangLSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimSSLCPKKJ20, author = {Sukjin Kim and Radhakrishnan Sithanandam and Woojin Seo and Mijin Lee and Sangyoung Cho and Juho Park and Hyukhoon Kwon and Namho Kim and Chanhee Jeon}, title = {Technology Scaling of {ESD} Devices in State of the Art FinFET Technologies}, booktitle = {2020 {IEEE} Custom Integrated Circuits Conference, {CICC} 2020, Boston, MA, USA, March 22-25, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CICC48029.2020.9075899}, doi = {10.1109/CICC48029.2020.9075899}, timestamp = {Mon, 04 May 2020 10:04:38 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimSSLCPKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ChoOKL20, author = {Hyunsung Cho and Jinyoung Oh and Juho Kim and Sung{-}Ju Lee}, editor = {Matthew J. Bietz and Andrea Wiggins}, title = {I Share, You Care: Private Status Sharing and Sender-Controlled Notifications in Mobile Instant Messaging}, booktitle = {Companion Publication of the 2020 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2020, Virtual Event, USA, October, 2020}, pages = {13--17}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406865.3418571}, doi = {10.1145/3406865.3418571}, timestamp = {Fri, 23 Oct 2020 12:11:27 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ChoOKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ChoiMPSLK20, author = {Yoonseo Choi and Toni{-}Jan Keith Monserrat and Jeongeon Park and Hyungyu Shin and Nyoungwoo Lee and Juho Kim}, editor = {Matthew J. Bietz and Andrea Wiggins}, title = {ProtoChat: Supporting the Conversation Design Process with Crowd Feedback}, booktitle = {Companion Publication of the 2020 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2020, Virtual Event, USA, October, 2020}, pages = {19--23}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406865.3418568}, doi = {10.1145/3406865.3418568}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ChoiMPSLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cui/ChoiSMLPK20, author = {Yoonseo Choi and Hyungyu Shin and Toni{-}Jan Keith Monserrat and Nyoungwoo Lee and Jeongeon Park and Juho Kim}, editor = {Mar{\'{\i}}a In{\'{e}}s Torres and Stephan Schl{\"{o}}gl and Leigh Clark and Martin Porcheron}, title = {Leveraging the Crowd to Support the Conversation Design Process}, booktitle = {Proceedings of the 2nd Conference on Conversational User Interfaces, {CUI} 2020, Bilbao, Spain, July 22-24, 2020}, pages = {50:1--50:4}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3405755.3406155}, doi = {10.1145/3405755.3406155}, timestamp = {Tue, 21 Jul 2020 16:29:26 +0200}, biburl = {https://dblp.org/rec/conf/cui/ChoiSMLPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcase/KimJSY20, author = {Ju{-}ho Kim and Jee{-}Weon Jung and Hye{-}Jin Shim and Ha{-}Jin Yu}, editor = {Nobutaka Ono and Noboru Harada and Yohei Kawaguchi and Annamaria Mesaros and Keisuke Imoto and Yuma Koizumi and Tatsuya Komatsu}, title = {Audio Tag Representation Guided Dual Attention Network for Acoustic Scene Classification}, booktitle = {Proceedings of 5th the Workshop on Detection and Classification of Acoustic Scenes and Events 2020 {(DCASE} 2020), Tokyo, Japan (full virtual), November 2-4, 2020}, pages = {76--80}, year = {2020}, url = {http://dcase.community/documents/workshop2020/proceedings/DCASE2020Workshop\_Kim\_34.pdf}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcase/KimJSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ByunNSKKS20, author = {Eunjeong Byun and Juhong Nam and Hyunji Shim and Esther Kim and Albert Kim and Seung Hyun Song}, title = {Ultrasonic Hydrogel Biochemical Sensor System}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {4093--4096}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176216}, doi = {10.1109/EMBC44109.2020.9176216}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ByunNSKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeKPLL20, author = {Seunghyun Lee and Hanjin Kim and Yosub Park and Hyojin Lee and Juho Lee}, title = {Downlink {OFDMA} with DFT-Precoding for Tera-Hertz Communications}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9347979}, doi = {10.1109/GLOBECOM42002.2020.9347979}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeKPLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/graphicsinterface/ChangLKFG20, author = {Minsuk Chang and Ben Lafreniere and Juho Kim and George W. Fitzmaurice and Tovi Grossman}, editor = {David I. W. Levin and Fanny Chevalier and Alec Jacobson}, title = {Workflow Graphs: {A} Computational Model of Collective Task Strategies for 3D Design Software}, booktitle = {Proceedings of the 46th Graphics Interface Conference 2020, Toronto, ON, Canada, May 28-29, 2020}, pages = {114--124}, publisher = {Canadian Human-Computer Communications Society}, year = {2020}, url = {https://doi.org/10.20380/GI2020.13}, doi = {10.20380/GI2020.13}, timestamp = {Fri, 04 Oct 2024 15:46:10 +0200}, biburl = {https://dblp.org/rec/conf/graphicsinterface/ChangLKFG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/HeoPJKLYH20, author = {Jay Heo and Junhyeon Park and Hyewon Jeong and Kwang Joon Kim and Juho Lee and Eunho Yang and Sung Ju Hwang}, title = {Cost-Effective Interactive Attention Learning with Neural Attention Processes}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {4228--4238}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/heo20a.html}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/HeoPJKLYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungKSKY20, author = {Jee{-}weon Jung and Seung{-}bin Kim and Hye{-}jin Shim and Ju{-}ho Kim and Ha{-}Jin Yu}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Improved RawNet with Feature Map Scaling for Text-Independent Speaker Verification Using Raw Waveforms}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {1496--1500}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1011}, doi = {10.21437/INTERSPEECH.2020-1011}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungKSKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungSKKY20, author = {Jee{-}weon Jung and Hye{-}jin Shim and Ju{-}ho Kim and Seung{-}bin Kim and Ha{-}Jin Yu}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Acoustic Scene Classification Using Audio Tagging}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {1176--1180}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-992}, doi = {10.21437/INTERSPEECH.2020-992}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungSKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimJSKY20, author = {Seung{-}bin Kim and Jee{-}weon Jung and Hye{-}jin Shim and Ju{-}ho Kim and Ha{-}Jin Yu}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Segment Aggregation for Short Utterances Speaker Verification Using Raw Waveforms}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {1521--1525}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1564}, doi = {10.21437/INTERSPEECH.2020-1564}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/KimJSKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhCK20, author = {Deok Keun Oh and Mu Jun Choi and Juho Kim}, title = {Symmetrical Buffered Clock Tree Synthesis Considering {NBTI}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180674}, doi = {10.1109/ISCAS45731.2020.9180674}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OhCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/ChoiCSSK20, author = {Kabdo Choi and Sally Chen and Hyungyu Shin and Jinho Son and Juho Kim}, editor = {David A. Joyner and Ren{\'{e}} F. Kizilcec and Susan Singer}, title = {AlgoPlan: Supporting Planning in Algorithmic Problem-Solving with Subgoal Diagrams}, booktitle = {L@S'20: Seventh {ACM} Conference on Learning @ Scale, Virtual Event, USA, August 12-14, 2020}, pages = {389--392}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386527.3406750}, doi = {10.1145/3386527.3406750}, timestamp = {Mon, 17 Oct 2022 22:14:49 +0200}, biburl = {https://dblp.org/rec/conf/lats/ChoiCSSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/KimGHKKL20, author = {Joongyum Kim and Taesik Gong and Kyungsik Han and Juho Kim and JeongGil Ko and Sung{-}Ju Lee}, editor = {Susanne Boll and Simon T. Perrault}, title = {Messaging Beyond Texts with Real-time Image Suggestions}, booktitle = {MobileHCI '20: 22nd International Conference on Human-Computer Interaction with Mobile Devices and Services, Oldenburg, Germany, October 5-9, 2020}, pages = {28:1--28:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379503.3403553}, doi = {10.1145/3379503.3403553}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mhci/KimGHKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeLKYHT20, author = {Juho Lee and Yoonho Lee and Jungtaek Kim and Eunho Yang and Sung Ju Hwang and Yee Whye Teh}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Bootstrapping neural processes}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/492114f6915a69aa3dd005aa4233ef51-Abstract.html}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeLKYHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/JungKSKY20, author = {Jee{-}Weon Jung and Ju{-}ho Kim and Hye{-}Jin Shim and Seung{-}bin Kim and Ha{-}Jin Yu}, editor = {Kong{-}Aik Lee and Takafumi Koshinaka and Koichi Shinoda}, title = {Selective Deep Speaker Embedding Enhancement for Speaker Verification}, booktitle = {Odyssey 2020: The Speaker and Language Recognition Workshop, 1-5 November 2020, Tokyo, Japan}, pages = {171--178}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Odyssey.2020-25}, doi = {10.21437/ODYSSEY.2020-25}, timestamp = {Tue, 30 Jul 2024 09:41:52 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/JungKSKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-00526, author = {Jee{-}weon Jung and Seung{-}bin Kim and Hye{-}jin Shim and Ju{-}ho Kim and Ha{-}Jin Yu}, title = {Improved RawNet with Filter-wise Rescaling for Text-independent Speaker Verification using Raw Waveforms}, journal = {CoRR}, volume = {abs/2004.00526}, year = {2020}, url = {https://arxiv.org/abs/2004.00526}, eprinttype = {arXiv}, eprint = {2004.00526}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-00526.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03329, author = {Seung{-}bin Kim and Jee{-}weon Jung and Hye{-}jin Shim and Ju{-}ho Kim and Ha{-}Jin Yu}, title = {Segment Aggregation for short utterances speaker verification using raw waveforms}, journal = {CoRR}, volume = {abs/2005.03329}, year = {2020}, url = {https://arxiv.org/abs/2005.03329}, eprinttype = {arXiv}, eprint = {2005.03329}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05419, author = {Jay Heo and Junhyeon Park and Hyewon Jeong and Kwang Joon Kim and Juho Lee and Eunho Yang and Sung Ju Hwang}, title = {Cost-effective Interactive Attention Learning with Neural Attention Processes}, journal = {CoRR}, volume = {abs/2006.05419}, year = {2020}, url = {https://arxiv.org/abs/2006.05419}, eprinttype = {arXiv}, eprint = {2006.05419}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05419.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05599, author = {Hye{-}jin Shim and Jee{-}weon Jung and Ju{-}ho Kim and Seung{-}bin Kim and Ha{-}Jin Yu}, title = {Integrated Replay Spoofing-aware Text-independent Speaker Verification}, journal = {CoRR}, volume = {abs/2006.05599}, year = {2020}, url = {https://arxiv.org/abs/2006.05599}, eprinttype = {arXiv}, eprint = {2006.05599}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05599.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-04631, author = {Hye{-}jin Shim and Jee{-}weon Jung and Ju{-}ho Kim and Ha{-}Jin Yu}, title = {Capturing scattered discriminative information using a deep architecture in acoustic scene classification}, journal = {CoRR}, volume = {abs/2007.04631}, year = {2020}, url = {https://arxiv.org/abs/2007.04631}, eprinttype = {arXiv}, eprint = {2007.04631}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-04631.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-02956, author = {Juho Lee and Yoonho Lee and Jungtaek Kim and Eunho Yang and Sung Ju Hwang and Yee Whye Teh}, title = {Bootstrapping Neural Processes}, journal = {CoRR}, volume = {abs/2008.02956}, year = {2020}, url = {https://arxiv.org/abs/2008.02956}, eprinttype = {arXiv}, eprint = {2008.02956}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-02956.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csm/YeoKOPKL19, author = {Jeongho Yeo and Taehyoung Kim and Jinyoung Oh and Sungjin Park and Younsun Kim and Juho Lee}, title = {Advanced Data Transmission Framework for 5G Wireless Communications in the 3GPP New Radio Standard}, journal = {{IEEE} Commun. Stand. Mag.}, volume = {3}, number = {3}, pages = {38--43}, year = {2019}, url = {https://doi.org/10.1109/MCOMSTD.001.1800001}, doi = {10.1109/MCOMSTD.001.1800001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csm/YeoKOPKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/CramerK19, author = {Henriette Cramer and Juho Kim}, title = {Confronting the tensions where {UX} meets {AI}}, journal = {Interactions}, volume = {26}, number = {6}, pages = {69--71}, year = {2019}, url = {https://doi.org/10.1145/3364625}, doi = {10.1145/3364625}, timestamp = {Tue, 19 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/interactions/CramerK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChungSKHKL19, author = {John Joon Young Chung and Jean Y. Song and Sindhu Kutty and Sungsoo (Ray) Hong and Juho Kim and Walter S. Lasecki}, title = {Efficient Elicitation Approaches to Estimate Collective Crowd Answers}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {3}, number = {{CSCW}}, pages = {62:1--62:25}, year = {2019}, url = {https://doi.org/10.1145/3359164}, doi = {10.1145/3359164}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChungSKHKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/HongSKSSNZK19, author = {Sungsoo (Ray) Hong and Minhyang (Mia) Suh and Tae Soo Kim and Irina Smoke and Sang{-}Wha Sien and Janet Ng and Mark Zachry and Juho Kim}, title = {Design for Collaborative Information-Seeking: Understanding User Challenges and Deploying Collaborative Dynamic Queries}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {3}, number = {{CSCW}}, pages = {106:1--106:24}, year = {2019}, url = {https://doi.org/10.1145/3359208}, doi = {10.1145/3359208}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/HongSKSSNZK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/CohenRILSVP19, author = {Juval Cohen and Kimmo Rautiainen and Jaakko Ikonen and Juha Lemmetyinen and Tuomo Smolander and Juho Vehvilainen and Jouni Pulliainen}, title = {A Modeling-Based Approach for Soil Frost Detection in the Northern Boreal Forest Region With C-Band {SAR}}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {57}, number = {2}, pages = {1069--1083}, year = {2019}, url = {https://doi.org/10.1109/TGRS.2018.2864635}, doi = {10.1109/TGRS.2018.2864635}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/CohenRILSVP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/OhCK19, author = {Deok Keun Oh and Mu Jun Choi and Juho Kim}, title = {Thermal-aware 3D Symmetrical Buffered Clock Tree Synthesis}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {3}, pages = {28:1--28:22}, year = {2019}, url = {https://doi.org/10.1145/3313798}, doi = {10.1145/3313798}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/OhCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimKOJYCRNKSWQL19, author = {Younsun Kim and Youngbum Kim and Jinyoung Oh and Hyoungju Ji and Jeongho Yeo and Seunghoon Choi and Hyunseok Ryu and Hoondong Noh and Taehyoung Kim and Feifei Sun and Yi Wang and Yinan Qi and Juho Lee}, title = {New Radio {(NR)} and its Evolution toward 5G-Advanced}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {3}, pages = {2--7}, year = {2019}, url = {https://doi.org/10.1109/MWC.2019.8752473}, doi = {10.1109/MWC.2019.8752473}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/KimKOJYCRNKSWQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChangTWAK19, author = {Minsuk Chang and Anh Truong and Oliver Wang and Maneesh Agrawala and Juho Kim}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {How to Design Voice Based Navigation for How-To Videos}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {701}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300931}, doi = {10.1145/3290605.3300931}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChangTWAK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/FujitaSKTDLLMMM19, author = {Kazuyuki Fujita and Eunice Ratna Sari and Juho Kim and Adi B. Tedjasaputra and Ellen Yi{-}Luen Do and Zhengjie Liu and Uichin Lee and Toni{-}Jan Keith Palma Monserrat and Akihiro Matsufuji and Shio Miyafuji and Ryosuke Takada and Chat Wacharamanotham and Masitah Ghazali and Xiyue Wang and Thippaya Chintakovid and Kyoungwon Seo and Jinwoo Kim and Yoshifumi Kitamura}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {Asian {CHI} Symposium: Emerging {HCI} Research Collection}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3298996}, doi = {10.1145/3290607.3298996}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/FujitaSKTDLLMMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JinCK19, author = {Hyoungwook Jin and Minsuk Chang and Juho Kim}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {SolveDeep: {A} System for Supporting Subgoal Learning in Online Math Problem Solving}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3312822}, doi = {10.1145/3290607.3312822}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JinCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimKHLPKK19, author = {Hyunwoo Kim and Eun{-}Young Ko and Donghoon Han and Sung{-}Chul Lee and Simon T. Perrault and Jihee Kim and Juho Kim}, editor = {Regan L. Mandryk and Stephen A. Brewster and Mark Hancock and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos and Mark Perry}, title = {Crowdsourcing Perspectives on Public Policy from Stakeholders}, booktitle = {Extended Abstracts of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290607.3312769}, doi = {10.1145/3290607.3312769}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimKHLPKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/LahdenojaHKKMSK19, author = {Olli Lahdenoja and Tero Hurnanen and Juho Koskinen and Matti Kaisti and Kim Munck and Samuel Emil Schmidt and Tero Koivisto and Mikko P{\"{a}}nk{\"{a}}{\"{a}}l{\"{a}}}, title = {Head Pulsation Signal Analysis for 3-Axis Head-Worn Accelerometers}, booktitle = {46th Computing in Cardiology, CinC 2019, Singapore, September 8-11, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.22489/CinC.2019.254}, doi = {10.22489/CINC.2019.254}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/LahdenojaHKKMSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JiYNYKL19, author = {Hyoungju Ji and Heechul Yang and Hoondong Noh and Jeongho Yeo and Younsun Kim and Juho Lee}, title = {Compressed Channel Estimation for Point-to-Point Millimeter-Wave Communications}, booktitle = {2019 {IEEE} Globecom Workshops, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCWkshps45667.2019.9024523}, doi = {10.1109/GCWKSHPS45667.2019.9024523}, timestamp = {Thu, 12 Mar 2020 12:42:23 +0100}, biburl = {https://dblp.org/rec/conf/globecom/JiYNYKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/OhKLBL19, author = {Jinyoung Oh and Younsun Kim and Yingzhe Li and Jonghyun Bang and Juho Lee}, title = {Expanding 5G New Radio Technology to Unlicensed Spectrum}, booktitle = {2019 {IEEE} Globecom Workshops, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCWkshps45667.2019.9024616}, doi = {10.1109/GCWKSHPS45667.2019.9024616}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/OhKLBL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YeoJBKL19, author = {Jeongho Yeo and Hyoungju Ji and Jonghyun Bang and Younsun Kim and Juho Lee}, title = {A Novel Group Retransmission Scheme for Industrial IoT over 5G}, booktitle = {2019 {IEEE} Globecom Workshops, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCWkshps45667.2019.9024444}, doi = {10.1109/GCWKSHPS45667.2019.9024444}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/YeoJBKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeKLSKL19, author = {Byungju Lee and Junghyun Kim and Hyojin Lee and Byonghyo Shim and Younsun Kim and Juho Lee}, title = {Towards Faster-Than-Nyquist Transmission for Beyond 5G Wireless Communications}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8762037}, doi = {10.1109/ICC.2019.8762037}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/LeeKLSKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LiuLPKYHY19, author = {Yanbin Liu and Juho Lee and Minseop Park and Saehoon Kim and Eunho Yang and Sung Ju Hwang and Yi Yang}, title = {Learning to Propagate Labels: Transductive Propagation Network for Few-Shot Learning}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=SyVuRiC5K7}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/LiuLPKYHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeLKKCT19, author = {Juho Lee and Yoonho Lee and Jungtaek Kim and Adam R. Kosiorek and Seungjin Choi and Yee Whye Teh}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Set Transformer: {A} Framework for Attention-based Permutation-Invariant Neural Networks}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {3744--3753}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/lee19d.html}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/LeeLKKCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimOJYHWP19, author = {Hyunki Kim and Jinhyeok Oh and Changuk Jang and Okyeon Yi and Juhong Han and Hansaem Wi and Chanil Park}, title = {Analysis of the Noise Source Entropy Used in OpenSSL's Random Number Generation Mechanism}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {59--62}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939840}, doi = {10.1109/ICTC46691.2019.8939840}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimOJYHWP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungHKSY19, author = {Jee{-}weon Jung and Hee{-}Soo Heo and Ju{-}ho Kim and Hye{-}jin Shim and Ha{-}Jin Yu}, editor = {Gernot Kubin and Zdravko Kacic}, title = {RawNet: Advanced End-to-End Deep Neural Network Using Raw Waveforms for Text-Independent Speaker Verification}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {1268--1272}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-1982}, doi = {10.21437/INTERSPEECH.2019-1982}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungHKSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/SongLLYKCL19, author = {Jean Y. Song and Stephan J. Lemmer and Michael Xieyang Liu and Shiyan Yan and Juho Kim and Jason J. Corso and Walter S. Lasecki}, editor = {Wai{-}Tat Fu and Shimei Pan and Oliver Brdiczka and Polo Chau and Gaelle Calvary}, title = {Popup: reconstructing 3D video using particle filtering to aggregate crowd responses}, booktitle = {Proceedings of the 24th International Conference on Intelligent User Interfaces, {IUI} 2019, Marina del Ray, CA, USA, March 17-20, 2019}, pages = {558--569}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3301275.3302305}, doi = {10.1145/3301275.3302305}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/SongLLYKCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/JoYK19, author = {Kyung Je Jo and Hyeonggeun Yun and Juho Kim}, title = {Supporting Instruction of Formulaic Sequences Using Videos at Scale}, booktitle = {Proceedings of the Sixth {ACM} Conference on Learning @ Scale, L@S 2019, Chicago, IL, USA, June 24-25, 2019}, pages = {57:1--57:4}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3330430.3333671}, doi = {10.1145/3330430.3333671}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/JoYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ChoOKL19, author = {Hyunsung Cho and Jinyoung Oh and Juho Kim and Sung{-}Ju Lee}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Sender-Controlled Mobile Instant Message Notifications Using Activity Information}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {669--670}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3329407}, doi = {10.1145/3307334.3329407}, timestamp = {Mon, 17 Jun 2019 08:39:32 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ChoOKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KimGHKLKPKHK19, author = {Joon{-}Gyum Kim and Taesik Gong and Evey Huang and Juho Kim and Sung{-}Ju Lee and Bogoan Kim and Jaeyeon Park and Woojeong Kim and Kyungsik Han and JeongGil Ko}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Bringing Context into Emoji Recommendations}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {514--515}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328601}, doi = {10.1145/3307334.3328601}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/KimGHKLKPKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/KimX19, author = {Juhoon Kim and Min Xie}, editor = {Christian Jacquenet and Filip De Turck and Prosper Chemouil and Flavio Esposito and Olivier Festor and Walter Cerroni and Stefano Secci}, title = {A Study of Slice-Aware Service Assurance for Network Function Virtualization}, booktitle = {5th {IEEE} Conference on Network Softwarization, NetSoft 2019, Paris, France, June 24-28, 2019}, pages = {489--497}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NETSOFT.2019.8806679}, doi = {10.1109/NETSOFT.2019.8806679}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/netsoft/KimX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JiYNKL19, author = {Hyoungju Ji and Heechul Yang and Hoondong Noh and Younsun Kim and Juho Lee}, title = {Compressed Channel Estimation for 5G {NR} over Millimeter-Wave Spectrum}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891256}, doi = {10.1109/VTCFALL.2019.8891256}, timestamp = {Mon, 20 Dec 2021 11:29:04 +0100}, biburl = {https://dblp.org/rec/conf/vtc/JiYNKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeePKL19, author = {Junghoon Lee and Juho Park and Jihyung Kim and Junhwan Lee}, title = {Consideration on Physical Layer Aspects of {NR} Systems in {HST} Scenarios}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891393}, doi = {10.1109/VTCFALL.2019.8891393}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeePKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YeoBJKL19, author = {Jeongho Yeo and Jonghyun Bang and Hyoungju Ji and Younsun Kim and Juho Lee}, title = {Outer Code-Based {HARQ} Retransmission for Multicast/Broadcast Services in 5G}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891304}, doi = {10.1109/VTCFALL.2019.8891304}, timestamp = {Mon, 20 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/YeoBJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08104, author = {Jee{-}weon Jung and Hee{-}Soo Heo and Ju{-}ho Kim and Hye{-}jin Shim and Ha{-}Jin Yu}, title = {RawNet: Advanced end-to-end deep neural network using raw waveforms for text-independent speaker verification}, journal = {CoRR}, volume = {abs/1904.08104}, year = {2019}, url = {http://arxiv.org/abs/1904.08104}, eprinttype = {arXiv}, eprint = {1904.08104}, timestamp = {Sat, 27 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/GrauNK18, author = {Paul Grau and Babak Naderi and Juho Kim}, title = {Personalized Motivation-supportive Messages for Increasing Participation in Crowd-civic Systems}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {2}, number = {{CSCW}}, pages = {60:1--60:22}, year = {2018}, url = {https://doi.org/10.1145/3274329}, doi = {10.1145/3274329}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/GrauNK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/JiPYKLS18, author = {Hyoungju Ji and Sunho Park and Jeongho Yeo and Younsun Kim and Juho Lee and Byonghyo Shim}, title = {Ultra-Reliable and Low-Latency Communications in 5G Downlink: Physical Layer Aspects}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {3}, pages = {124--130}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.1700294}, doi = {10.1109/MWC.2018.1700294}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/JiPYKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ChaCKHM18, author = {Jung{-}Hwan Cha and Ju{-}Ho Choi and Ji{-}Yong Kim and Youn{-}Hee Han and Sung{-}Gi Min}, title = {A Mobility Link Service for {NDN} Consumer Mobility}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {5149724:1--5149724:8}, year = {2018}, url = {https://doi.org/10.1155/2018/5149724}, doi = {10.1155/2018/5149724}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/ChaCKHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChangGJHKA18, author = {Minsuk Chang and L{\'{e}}onore V. Guillain and Hyeungshik Jung and Vivian M. Hare and Juho Kim and Maneesh Agrawala}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {RecipeScape: An Interactive Tool for Analyzing Cooking Instructions at Scale}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {451}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174025}, doi = {10.1145/3173574.3174025}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChangGJHKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HongSRLKZ18, author = {Sungsoo (Ray) Hong and Minhyang (Mia) Suh and Nathalie Henry Riche and Jooyoung Lee and Juho Kim and Mark Zachry}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Collaborative Dynamic Queries: Supporting Distributed Small Group Decision-making}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {66}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173640}, doi = {10.1145/3173574.3173640}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HongSRLKZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HongYCHBK18, author = {Sungsoo (Ray) Hong and Min{-}Joon Yoo and Bonnie Chinh and Amy Han and Sarah Battersby and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {To Distort or Not to Distort: Distance Cartograms in the Wild}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {628}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174202}, doi = {10.1145/3173574.3174202}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HongYCHBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JoCK18, author = {Kyung Je Jo and John Joon Young Chung and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Exprgram: {A} Language Learning Interface for Mastering Pragmatic Competence}, booktitle = {Extended Abstracts of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3170427.3188582}, doi = {10.1145/3170427.3188582}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JoCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimHK18a, author = {Seoyoung Kim and Jiyoun Ha and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Detecting Personality Unobtrusively from Users' Online and Offline Workplace Behaviors}, booktitle = {Extended Abstracts of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3170427.3188566}, doi = {10.1145/3170427.3188566}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimHK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeKK18, author = {Sung{-}Chul Lee and Jihee Kim and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Micro-NGO: Tackling Wicked Social Problems with Problem Solving and Action Planning Support in Chat}, booktitle = {Extended Abstracts of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3170427.3188564}, doi = {10.1145/3170427.3188564}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiuKW18, author = {Ching (Jean) Liu and Juho Kim and Hao{-}Chuan Wang}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {ConceptScape: Collaborative Concept Mapping for Video Learning}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {387}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173961}, doi = {10.1145/3173574.3173961}, timestamp = {Fri, 03 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LiuKW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShinKWK18, author = {Hyungyu Shin and Eun{-}Young Ko and Joseph Jay Williams and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Understanding the Effect of In-Video Prompting on Learners and Instructors}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {319}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173893}, doi = {10.1145/3173574.3173893}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ShinKWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongKKPK18, author = {Seokwoo Song and Juho Kim and Bumsoo Kang and Wonjeong Park and John Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {BebeCODE: Collaborative Child Development Tracking System}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {540}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174114}, doi = {10.1145/3173574.3174114}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SongKKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsRTALK18, author = {Joseph Jay Williams and Anna N. Rafferty and Dustin Tingley and Andrew M. Ang and Walter S. Lasecki and Juho Kim}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Enhancing Online Problems Through Instructor-Centered Tools for Randomized Experiments}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {207}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173781}, doi = {10.1145/3173574.3173781}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WilliamsRTALK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimMK18, author = {Juho Kim and Christopher Malon and Asim Kadav}, editor = {James Thorne and Andreas Vlachos and Oana Cocarascu and Christos Christodoulopoulos and Arpit Mittal}, title = {Teaching Syntax by Adversarial Distraction}, booktitle = {Proceedings of the First Workshop on Fact Extraction and VERification, FEVER@EMNLP 2018, Brussels, Belgium, November 1, 2018}, pages = {79--84}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/W18-5512}, doi = {10.18653/V1/W18-5512}, timestamp = {Tue, 11 Jun 2024 10:44:39 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLLKL18, author = {Junghyun Kim and Byungju Lee and Hyojin Lee and Younsun Kim and Juho Lee}, title = {Deep Learning-Assisted Multi-Dimensional Modulation and Resource Mapping for Advanced {OFDM} Systems}, booktitle = {{IEEE} Globecom Workshops, {GC} Wkshps 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOMW.2018.8644281}, doi = {10.1109/GLOCOMW.2018.8644281}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimLLKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/OhCK18, author = {Deok Keun Oh and Mu Jun Choi and Juho Kim}, title = {Thermal-Aware 3D Symmetrical Buffered Clock Tree Synthesis}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00013}, doi = {10.1109/ICCD.2018.00013}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/OhCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/DoroudiWKPOSHHR18, author = {Shayan Doroudi and Joseph Jay Williams and Juho Kim and Thanaporn Patikorn and Korinn Ostrow and Douglas Selent and Neil T. Heffernan and Thomas T. Hills and Carolyn P. Ros{\'{e}}}, editor = {Manolis Mavrikis and Kaska Porayska{-}Pomsta}, title = {Crowdsourcing and Education: Towards a Theory and Praxis of Learnersourcing}, booktitle = {Rethinking learning in the digital age: Making the Learning Sciences count - Proceedings of the 13th International Conference of the Learning Sciences, {ICLS} 2018, London, UK, June 23-27, 2018}, publisher = {International Society of the Learning Sciences}, year = {2018}, url = {https://repository.isls.org/handle/1/603}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icls/DoroudiWKPOSHHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LemmetyinenKLVV18, author = {Juha Lemmetyinen and Anna Kontu and Leena Lepp{\"{a}}nen and Juho Vehvilainen and Risto Vehmas and Qinghuan Li and Kimmo Rautiainen and Jouni Pulliainen}, title = {Season -Length Observations of Active and Passive Microwave Signatures of Snow Cover in a Boreal Forest Environment}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {6262--6265}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8517328}, doi = {10.1109/IGARSS.2018.8517328}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LemmetyinenKLVV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/RautiainenLATKI18, author = {Kimmo Rautiainen and Juha Lemmetyinen and Tuula Aalto and Aki Tsuruta and Vilma Kangasaho and Jaakko Ikonen and Juval Cohen and Anna Kontu and Juho Vehvilainen and Jouni Pulliainen}, title = {Smos Retrievals of Soil Freezing and Thawing and its Applications}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {1463--1465}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8519243}, doi = {10.1109/IGARSS.2018.8519243}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/RautiainenLATKI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isncc/KimCGMWFCB18, author = {Juhoon Kim and Luis M. Contreras and Pierluigi Greto and Hilmar Magnusson and Hagen Woesner and Daniel Fritzsche and Luca Cominardi and Carlos Jesus Bernardos}, title = {GiLAN Roaming: Roam Like at Home in a Multi-Provider {NFV} Environment}, booktitle = {2018 International Symposium on Networks, Computers and Communications, {ISNCC} 2018, Rome, Italy, June 19-21, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISNCC.2018.8530973}, doi = {10.1109/ISNCC.2018.8530973}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isncc/KimCGMWFCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/SongFLYKL18, author = {Jean Y. Song and Raymond Fok and Alan Lundgard and Fan Yang and Juho Kim and Walter S. Lasecki}, editor = {Shlomo Berkovsky and Yoshinori Hijikata and Jun Rekimoto and Margaret M. Burnett and Mark Billinghurst and Aaron Quigley}, title = {Two Tools are Better Than One: Tool Diversity as a Means of Improving Aggregate Crowd Performance}, booktitle = {Proceedings of the 23rd International Conference on Intelligent User Interfaces, {IUI} 2018, Tokyo, Japan, March 07-11, 2018}, pages = {559--570}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3172944.3172948}, doi = {10.1145/3172944.3172948}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/SongFLYKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/new2an/KimPLL18, author = {Jihyung Kim and Juho Park and Junghoon Lee and Junhwan Lee}, editor = {Olga Galinina and Sergey Andreev and Sergey I. Balandin and Yevgeni Koucheryavy}, title = {Performance Analysis for {DM-RS} Mapping in a High Speed Train System}, booktitle = {Internet of Things, Smart Spaces, and Next Generation Networks and Systems - 18th International Conference, {NEW2AN} 2018, and 11th Conference, ruSMART 2018, St. Petersburg, Russia, August 27-29, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11118}, pages = {371--380}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01168-0\_34}, doi = {10.1007/978-3-030-01168-0\_34}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/new2an/KimPLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HeoLKLKYH18, author = {Jay Heo and Haebeom Lee and Saehoon Kim and Juho Lee and Kwang Joon Kim and Eunho Yang and Sung Ju Hwang}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Uncertainty-Aware Attention for Reliable Interpretation and Prediction}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {917--926}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/285e19f20beded7d215102b49d5c09a0-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HeoLKLKYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeLKYH18, author = {Haebeom Lee and Juho Lee and Saehoon Kim and Eunho Yang and Sung Ju Hwang}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {DropMax: Adaptive Variational Softmax}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {927--937}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/389bc7bb1e1c2a5e7e147703232a88f6-Abstract.html}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeLKYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/JungSK18, author = {Hyeungshik Jung and Hijung Valentina Shin and Juho Kim}, editor = {Patrick Baudisch and Albrecht Schmidt and Andy Wilson}, title = {DynamicSlide: Reference-based Interaction Techniques for Slide-based Lecture Videos}, booktitle = {The 31st Annual {ACM} Symposium on User Interface Software and Technology Adjunct Proceedings, {UIST} 2018, Berlin, Germany, October 14-17, 2018}, pages = {23--25}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3266037.3266089}, doi = {10.1145/3266037.3266089}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/JungSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/KimHKA18, author = {Dae Hyun Kim and Enamul Hoque and Juho Kim and Maneesh Agrawala}, editor = {Patrick Baudisch and Albrecht Schmidt and Andy Wilson}, title = {Facilitating Document Reading by Linking Text and Tables}, booktitle = {The 31st Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2018, Berlin, Germany, October 14-17, 2018}, pages = {423--434}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3242587.3242617}, doi = {10.1145/3242587.3242617}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/KimHKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-09653, author = {Jay Heo and Haebeom Lee and Saehoon Kim and Juho Lee and Kwang Joon Kim and Eunho Yang and Sung Ju Hwang}, title = {Uncertainty-Aware Attention for Reliable Interpretation and Prediction}, journal = {CoRR}, volume = {abs/1805.09653}, year = {2018}, url = {http://arxiv.org/abs/1805.09653}, eprinttype = {arXiv}, eprint = {1805.09653}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-09653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-10002, author = {Yanbin Liu and Juho Lee and Minseop Park and Saehoon Kim and Yi Yang}, title = {Transductive Propagation Network for Few-shot Learning}, journal = {CoRR}, volume = {abs/1805.10002}, year = {2018}, url = {http://arxiv.org/abs/1805.10002}, eprinttype = {arXiv}, eprint = {1805.10002}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-10002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-10896, author = {Juho Lee and Saehoon Kim and Jaehong Yoon and Haebeom Lee and Eunho Yang and Sung Ju Hwang}, title = {Adaptive Network Sparsification via Dependent Variational Beta-Bernoulli Dropout}, journal = {CoRR}, volume = {abs/1805.10896}, year = {2018}, url = {http://arxiv.org/abs/1805.10896}, eprinttype = {arXiv}, eprint = {1805.10896}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-10896.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-01551, author = {Ingyo Chung and Saehoon Kim and Juho Lee and Sung Ju Hwang and Eunho Yang}, title = {Mixed Effect Composite {RNN-GP:} {A} Personalized and Reliable Prediction Model for Healthcare}, journal = {CoRR}, volume = {abs/1806.01551}, year = {2018}, url = {http://arxiv.org/abs/1806.01551}, eprinttype = {arXiv}, eprint = {1806.01551}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-01551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-00825, author = {Juho Lee and Yoonho Lee and Jungtaek Kim and Adam R. Kosiorek and Seungjin Choi and Yee Whye Teh}, title = {Set Transformer}, journal = {CoRR}, volume = {abs/1810.00825}, year = {2018}, url = {http://arxiv.org/abs/1810.00825}, eprinttype = {arXiv}, eprint = {1810.00825}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-00825.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-11067, author = {Juho Kim and Christopher Malon and Asim Kadav}, title = {Teaching Syntax by Adversarial Distraction}, journal = {CoRR}, volume = {abs/1810.11067}, year = {2018}, url = {http://arxiv.org/abs/1810.11067}, eprinttype = {arXiv}, eprint = {1810.11067}, timestamp = {Wed, 31 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-11067.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JiKLON0LS17, author = {Hyoungju Ji and Younsun Kim and Juho Lee and Eko N. Onggosanusi and Young{-}Han Nam and Jianzhong Zhang and Byungju Lee and Byonghyo Shim}, title = {Overview of Full-Dimension {MIMO} in LTE-Advanced Pro}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {2}, pages = {176--184}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2016.1500743RP}, doi = {10.1109/MCOM.2016.1500743RP}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/JiKLON0LS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChuaMYKZ17, author = {Soon Hau Chua and Toni{-}Jan Keith Palma Monserrat and Dongwook Yoon and Juho Kim and Shengdong Zhao}, title = {Korero: Facilitating Complex Referencing of Visual Materials in Asynchronous Discussion Interface}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {1}, number = {{CSCW}}, pages = {34:1--34:19}, year = {2017}, url = {https://doi.org/10.1145/3134669}, doi = {10.1145/3134669}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChuaMYKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/BackK17, author = {Juhoon Back and Jung{-}Su Kim}, title = {Output Feedback Practical Coordinated Tracking of Uncertain Heterogeneous Multi-Agent Systems Under Switching Network Topology}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {12}, pages = {6399--6406}, year = {2017}, url = {https://doi.org/10.1109/TAC.2017.2651166}, doi = {10.1109/TAC.2017.2651166}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/BackK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/HongKYBKA17, author = {Sungsoo (Ray) Hong and Rafal Kocielnik and Min{-}Joon Yoo and Sarah Battersby and Juho Kim and Cecilia R. Aragon}, editor = {Daniel Weiskopf and Yingcai Wu and Tim Dwyer}, title = {Designing interactive distance cartograms to support urban travelers}, booktitle = {2017 {IEEE} Pacific Visualization Symposium, PacificVis 2017, Seoul, South Korea, April 18-21, 2017}, pages = {81--90}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACIFICVIS.2017.8031582}, doi = {10.1109/PACIFICVIS.2017.8031582}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apvis/HongKYBKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BackKLPS17, author = {Juhoon Back and Jihan Kim and Chanhwa Lee and Gyunghoon Park and Hyungbo Shim}, title = {Enhancement of security against zero dynamics attack via generalized hold}, booktitle = {56th {IEEE} Annual Conference on Decision and Control, {CDC} 2017, Melbourne, Australia, December 12-15, 2017}, pages = {1350--1355}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CDC.2017.8263842}, doi = {10.1109/CDC.2017.8263842}, timestamp = {Fri, 04 Mar 2022 13:29:55 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BackKLPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChangHKA17, author = {Minsuk Chang and Vivian M. Hare and Juho Kim and Maneesh Agrawala}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {RecipeScape: Mining and Analyzing Diverse Processes in Cooking Recipes}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {1524--1531}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053118}, doi = {10.1145/3027063.3053118}, timestamp = {Tue, 06 Nov 2018 16:58:46 +0100}, biburl = {https://dblp.org/rec/conf/chi/ChangHKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkKO17, author = {Juhong Park and Suin Kim and Alice Oh}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Analysis of the Effect of Competition on Player Immersion and Engagement in a Mobile Game}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {2833--2838}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053200}, doi = {10.1145/3027063.3053200}, timestamp = {Tue, 26 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParkKO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongKK17, author = {Seokwoo Song and Juho Kim and John Kim}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {PlayBetter: {A} Phone-based Baby Play Support System for Childcare Bystander Parents}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {2100--2106}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053073}, doi = {10.1145/3027063.3053073}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SongKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsRATLK17, author = {Joseph Jay Williams and Anna N. Rafferty and Andrew M. Ang and Dustin Tingley and Walter S. Lasecki and Juho Kim}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Connecting Instructors and Learning Scientists via Collaborative Dynamic Experimentation}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {3012--3018}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053247}, doi = {10.1145/3027063.3053247}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WilliamsRATLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/McInnisCKPLL17, author = {Brian James McInnis and Alissa Centivany and Juho Kim and Marta Poblet and Karen Levy and Gilly Leshed}, editor = {Charlotte P. Lee and Steven E. Poltrock and Louise Barkhuus and Marcos Borges and Wendy A. Kellogg}, title = {Crowdsourcing Law and Policy: {A} Design-Thinking Approach to Crowd-Civic Systems}, booktitle = {Proceedings of the 2017 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2017, Portland, OR, USA, February 25 - March 1, 2017, Companion Volume}, pages = {355--361}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3022198.3022656}, doi = {10.1145/3022198.3022656}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/McInnisCKPLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ParkLKLL17, author = {Chunjong Park and Junsung Lim and Juho Kim and Sung{-}Ju Lee and Dongman Lee}, editor = {Charlotte P. Lee and Steven E. Poltrock and Louise Barkhuus and Marcos Borges and Wendy A. Kellogg}, title = {Don't Bother Me. I'm Socializing!: {A} Breakpoint-Based Smartphone Notification System}, booktitle = {Proceedings of the 2017 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2017, Portland, OR, USA, February 25 - March 1, 2017}, pages = {541--554}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/2998181.2998189}, doi = {10.1145/2998181.2998189}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ParkLKLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YeoPOKL17, author = {Jeongho Yeo and Sungjin Park and Jinyoung Oh and Younsun Kim and Juho Lee}, title = {Partial Retransmission Scheme for {HARQ} Enhancement in 5G Wireless Communications}, booktitle = {2017 {IEEE} Globecom Workshops, Singapore, December 4-8, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOMW.2017.8269132}, doi = {10.1109/GLOCOMW.2017.8269132}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/YeoPOKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icime/YooVK17, author = {Sang Guun Yoo and Hugo P{\'{e}}rez Vaca and Juho Kim}, title = {Enhanced Misuse Cases for Prioritization of Security Requirements}, booktitle = {Proceedings of the 9th International Conference on Information Management and Engineering, Barcelona, Spain, October 09 - 11, 2017}, pages = {1--10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3149572.3149580}, doi = {10.1145/3149572.3149580}, timestamp = {Tue, 06 Nov 2018 11:07:32 +0100}, biburl = {https://dblp.org/rec/conf/icime/YooVK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimKLKK17, author = {Beomsu Kim and SeokYoon Kang and JuHo Lim and Kyong Hoon Kim and Ki{-}Il Kim}, title = {A mobility-based temperature-aware routing protocol for Wireless Body Sensor Networks}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {63--66}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899476}, doi = {10.1109/ICOIN.2017.7899476}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KimKLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChaCKMH17, author = {Jung{-}Hwan Cha and Ju{-}Ho Choi and Ji{-}Yong Kim and Sung{-}Gi Min and Youn{-}Hee Han}, title = {A mobility link service in {NDN} face to support consumer mobility service}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {444--449}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993824}, doi = {10.1109/ICUFN.2017.7993824}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChaCKMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/WilliamsRMATK17, author = {Joseph Jay Williams and Anna N. Rafferty and Samuel G. Maldonado and Andrew M. Ang and Dustin Tingley and Juho Kim}, editor = {Claudia Urrea and Justin Reich and Candace Thille}, title = {MOOClets: {A} Framework for Dynamic Experimentation and Personalization}, booktitle = {Proceedings of the Fourth {ACM} Conference on Learning @ Scale, L@S 2017, Cambridge, MA, USA, April 20-21, 2017}, pages = {287--290}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3051457.3054006}, doi = {10.1145/3051457.3054006}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/WilliamsRMATK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psb/KimRP17, author = {Juho Kim and Nate Russell and Jian Peng}, editor = {Russ B. Altman and A. Keith Dunker and Lawrence Hunter and Marylyn D. Ritchie and Teri E. Klein}, title = {Scalable Visualization for High-dimensional Single-cell Data}, booktitle = {Biocomputing 2017: Proceedings of the Pacific Symposium, Kohala Coast, Hawaii, USA, January 3-7, 2017}, year = {2017}, url = {http://psb.stanford.edu/psb-online/proceedings/psb17/kim.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/psb/KimRP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/MagrisKRK17, author = {Martin Magris and Jiyeong Kim and Esa R{\"{a}}s{\"{a}}nen and Juho Kanniainen}, title = {Long-range auto-correlations in limit order book markets: Inter-and cross-event analysis}, booktitle = {2017 {IEEE} Symposium Series on Computational Intelligence, {SSCI} 2017, Honolulu, HI, USA, November 27 - Dec. 1, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SSCI.2017.8280932}, doi = {10.1109/SSCI.2017.8280932}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssci/MagrisKRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LimCKKMC17, author = {Hongjun Lim and Choongho Chung and Ji Hee Kim and Juho Kim and Sue B. Moon and Meeyoung Cha}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {Changing News Media Landscape in South Korea}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {1521--1526}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3054762}, doi = {10.1145/3041021.3054762}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LimCKKMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChoiJK17, author = {Keunwoo Choi and Deokjin Joo and Juho Kim}, title = {Kapre: On-GPU Audio Preprocessing Layers for a Quick Implementation of Deep Neural Network Models with Keras}, journal = {CoRR}, volume = {abs/1706.05781}, year = {2017}, url = {http://arxiv.org/abs/1706.05781}, eprinttype = {arXiv}, eprint = {1706.05781}, timestamp = {Thu, 21 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ChoiJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JiPYKLS17, author = {Hyoungju Ji and Sunho Park and Jeongho Yeo and Younsun Kim and Juho Lee and Byonghyo Shim}, title = {Introduction to Ultra Reliable and Low Latency Communications in 5G}, journal = {CoRR}, volume = {abs/1704.05565}, year = {2017}, url = {http://arxiv.org/abs/1704.05565}, eprinttype = {arXiv}, eprint = {1704.05565}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JiPYKLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimKBSS16, author = {Hongkeun Kim and Seongjun Kim and Juhoon Back and Hyungbo Shim and Jin Heon Seo}, title = {Design of stable parallel feedforward compensator and its application to synchronization problem}, journal = {Autom.}, volume = {64}, pages = {208--216}, year = {2016}, url = {https://doi.org/10.1016/j.automatica.2015.11.020}, doi = {10.1016/J.AUTOMATICA.2015.11.020}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimKBSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/RaskuOPJGLKAJ16, author = {Jyrki Rasku and Marisa Ojala and Risto{-}Pekka P{\"{o}}l{\"{o}}nen and Henry Joutsijoki and Yulia Gizatdinova and Jorma Laurikkala and Kimmo Kartasalo and Katriina Aalto{-}Set{\"{a}}l{\"{a}} and Martti Juhola}, title = {A software tool for studying the size and shape of human cardiomyocytes}, journal = {Biomed. Signal Process. Control.}, volume = {30}, pages = {134--139}, year = {2016}, url = {https://doi.org/10.1016/j.bspc.2016.06.011}, doi = {10.1016/J.BSPC.2016.06.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/RaskuOPJGLKAJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/Kim16, author = {Juho Kim}, title = {Organic Crowdsourcing Systems}, booktitle = {2016 {AAAI} Spring Symposia, Stanford University, Palo Alto, California, USA, March 21-23, 2016}, publisher = {{AAAI} Press}, year = {2016}, url = {http://www.aaai.org/ocs/index.php/SSS/SSS16/paper/view/12769}, timestamp = {Wed, 14 Nov 2018 13:16:02 +0100}, biburl = {https://dblp.org/rec/conf/aaaiss/Kim16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WilliamsLHHK16, author = {Joseph Jay Williams and Tania Lombrozo and Anne Hsu and Bernd Huber and Juho Kim}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Revising Learner Misconceptions Without Feedback: Prompting for Reflection on Anomalies}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {470--474}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858361}, doi = {10.1145/2858036.2858361}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WilliamsLHHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KimJKHLKK16, author = {Nam Wook Kim and Jonghyuk Jung and Eun{-}Young Ko and Songyi Han and Chang Won Lee and Juho Kim and Jihee Kim}, editor = {Darren Gergle and Meredith Ringel Morris and Pernille Bj{\o}rn and Joseph A. Konstan}, title = {BudgetMap: Engaging Taxpayers in the Issue-Driven Classification of a Government Budget}, booktitle = {Proceedings of the 19th {ACM} Conference on Computer-Supported Cooperative Work {\&} Social Computing, {CSCW} 2016, San Francisco, CA, USA, February 27 - March 2, 2016}, pages = {1026--1037}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2818048.2820004}, doi = {10.1145/2818048.2820004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KimJKHLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isicir/KimOK16, author = {Jeong{-}Won Kim and Deok Keun Oh and Juho Kim}, title = {Performance optimization in FinFET-based circuit using TILOS-like gate sizing}, booktitle = {International Symposium on Integrated Circuits, {ISIC} 2016, Singapore, December 12-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISICIR.2016.7829676}, doi = {10.1109/ISICIR.2016.7829676}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isicir/KimOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/WilliamsKRMGLH16, author = {Joseph Jay Williams and Juho Kim and Anna N. Rafferty and Samuel G. Maldonado and Krzysztof Z. Gajos and Walter S. Lasecki and Neil T. Heffernan}, editor = {Jeff Haywood and Vincent Aleven and Judy Kay and Ido Roll}, title = {{AXIS:} Generating Explanations at Scale with Learnersourcing and Machine Learning}, booktitle = {Proceedings of the Third {ACM} Conference on Learning @ Scale, L@S 2016, Edinburgh, Scotland, UK, April 25 - 26, 2016}, pages = {379--388}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2876034.2876042}, doi = {10.1145/2876034.2876042}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/WilliamsKRMGLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JiKLON0LS16, author = {Hyoungju Ji and Younsun Kim and Juho Lee and Eko N. Onggosanusi and Young{-}Han Nam and Jianzhong Zhang and Byungju Lee and Byonghyo Shim}, title = {Overview of Full-Dimension {MIMO} in LTE-Advanced Pro}, journal = {CoRR}, volume = {abs/1601.00019}, year = {2016}, url = {http://arxiv.org/abs/1601.00019}, eprinttype = {arXiv}, eprint = {1601.00019}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JiKLON0LS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MarchettoSJSPNP16, author = {Guido Marchetto and Riccardo Sisto and Wolfgang John and Pontus Sk{\"{o}}ldstr{\"{o}}m and Bertrand Pechenot and Felici{\'{a}}n N{\'{e}}meth and Istv{\'{a}}n Pelle and Juhoon Kim and Xuejun Cai and Chunyan Fu and Catalin Meirosu and Kostas Pentikousis and Sachin Sharma and Ioanna Papafili and Serena Spinoso and Matteo Virgilio and Rebecca Steinert and Per Kreuger and Shaoteng Liu and Jan Ekman and Antonio Manzalini and Apoorv Shukla}, title = {Final Service Provider DevOps concept and evaluation}, journal = {CoRR}, volume = {abs/1610.02387}, year = {2016}, url = {http://arxiv.org/abs/1610.02387}, eprinttype = {arXiv}, eprint = {1610.02387}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MarchettoSJSPNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Kim15, author = {Juho Kim}, title = {Improving learning with collective learner activity}, school = {Massachusetts Institute of Technology, Cambridge, MA, {USA}}, year = {2015}, url = {https://hdl.handle.net/1721.1/101464}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Kim15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/NohKLL15, author = {Hoondong Noh and Younsun Kim and Juho Lee and Chungyong Lee}, title = {Codebook Design of Generalized Space Shift Keying for {FDD} Massive {MIMO} Systems in Spatially Correlated Channels}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {2}, pages = {513--523}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2324822}, doi = {10.1109/TVT.2014.2324822}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/NohKLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/GlassmanKMM15, author = {Elena L. Glassman and Juho Kim and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Meredith Ringel Morris}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {Mudslide: {A} Spatially Anchored Census of Student Confusion for Online Lecture Videos}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {1555--1564}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702304}, doi = {10.1145/2702123.2702304}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/GlassmanKMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimGMM15, author = {Juho Kim and Elena L. Glassman and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Meredith Ringel Morris}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {{RIMES:} Embedding Interactive Multimedia Exercises in Lecture Videos}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {1535--1544}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702186}, doi = {10.1145/2702123.2702186}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimGMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimKJLKK15, author = {Juho Kim and Eun{-}Young Ko and Jonghyuk Jung and Chang Won Lee and Nam Wook Kim and Jihee Kim}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {Factful: Engaging Taxpayers in the Public Discussion of a Government Budget}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {2843--2852}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702352}, doi = {10.1145/2702123.2702352}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimKJLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimLJKKK15, author = {Nam Wook Kim and Chang Won Lee and Jonghyuk Jung and Eun{-}Young Ko and Juho Kim and Jihee Kim}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {BudgetMap: Issue-Driven Navigation for a Government Budget}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference Extended Abstracts on Human Factors in Computing Systems, Seoul, {CHI} 2015 Extended Abstracts, Republic of Korea, April 18 - 23, 2015}, pages = {1097--1102}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702613.2732932}, doi = {10.1145/2702613.2732932}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimLJKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LaseckiKRSBB15, author = {Walter S. Lasecki and Juho Kim and Nick Rafter and Onkur Sen and Jeffrey P. Bigham and Michael S. Bernstein}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {Apparition: Crowdsourced User Interfaces that Come to Life as You Sketch Them}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {1925--1934}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702565}, doi = {10.1145/2702123.2702565}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LaseckiKRSBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/WeirKGM15, author = {Sarah A. Weir and Juho Kim and Krzysztof Z. Gajos and Robert C. Miller}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {Learnersourcing Subgoal Labels for How-to Videos}, booktitle = {Proceedings of the 18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {405--416}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2675133.2675219}, doi = {10.1145/2675133.2675219}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/WeirKGM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/WilliamsKPWRKMH15, author = {Joseph Jay Williams and Markus Krause and Praveen K. Paritosh and Jacob Whitehill and Justin Reich and Juho Kim and Piotr Mitros and Neil T. Heffernan and Brian C. Keegan}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {Connecting Collaborative {\&} Crowd Work with Online Education}, booktitle = {18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14-18, 2015, Companion Volume}, pages = {313--318}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2685553.2685563}, doi = {10.1145/2685553.2685563}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/WilliamsKPWRKMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/KimMPSSWKSNM15, author = {Juhoon Kim and Catalin Meirosu and Ioanna Papafili and Rebecca Steinert and Sachin Sharma and Fritz{-}Joachim Westphal and Mario Kind and Apoorv Shukla and Felician N{\'{e}}meth and Antonio Manzalini}, editor = {Remi Badonnel and Jin Xiao and Shingo Ata and Filip De Turck and Voicu Groza and Carlos Raniery Paula dos Santos}, title = {Service provider DevOps for large scale modern network services}, booktitle = {{IFIP/IEEE} International Symposium on Integrated Network Management, {IM} 2015, Ottawa, ON, Canada, 11-15 May, 2015}, pages = {1391--1397}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INM.2015.7140502}, doi = {10.1109/INM.2015.7140502}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/im/KimMPSSWKSNM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/ChuaKMZ15, author = {Soon Hau Chua and Juho Kim and Toni{-}Jan Keith Monserrat and Shengdong Zhao}, editor = {Gregor Kiczales and Daniel M. Russell and Beverly P. Woolf}, title = {Understanding Learners' General Perception Towards Learning with {MOOC} Classmates: An Exploratory Study}, booktitle = {Proceedings of the Second {ACM} Conference on Learning @ Scale, L@S 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {305--308}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2724660.2728680}, doi = {10.1145/2724660.2728680}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/ChuaKMZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/WilliamsKK15, author = {Joseph Jay Williams and Juho Kim and Brian Keegan}, editor = {Gregor Kiczales and Daniel M. Russell and Beverly P. Woolf}, title = {Supporting Instructors in Collaborating with Researchers using MOOClets}, booktitle = {Proceedings of the Second {ACM} Conference on Learning @ Scale, L@S 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {413--416}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2724660.2728705}, doi = {10.1145/2724660.2728705}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/WilliamsKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/WilliamsOXGKMLR15, author = {Joseph Jay Williams and Korinn Ostrow and Xiaolu Xiong and Elena L. Glassman and Juho Kim and Samuel G. Maldonado and Na Li and Justin Reich and Neil T. Heffernan}, editor = {Gregor Kiczales and Daniel M. Russell and Beverly P. Woolf}, title = {Using and Designing Platforms for In Vivo Educational Experiments}, booktitle = {Proceedings of the Second {ACM} Conference on Learning @ Scale, L@S 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {409--412}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2724660.2728704}, doi = {10.1145/2724660.2728704}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/WilliamsOXGKMLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GlassmanKMM15, author = {Elena L. Glassman and Juho Kim and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Meredith Ringel Morris}, title = {Mudslide: {A} Spatially Anchored Census of Student Confusion for Online Lecture Videos}, journal = {CoRR}, volume = {abs/1507.01314}, year = {2015}, url = {http://arxiv.org/abs/1507.01314}, eprinttype = {arXiv}, eprint = {1507.01314}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GlassmanKMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimGMM15, author = {Juho Kim and Elena L. Glassman and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Meredith Ringel Morris}, title = {{RIMES:} Embedding Interactive Multimedia Exercises in Lecture Videos}, journal = {CoRR}, volume = {abs/1507.01318}, year = {2015}, url = {http://arxiv.org/abs/1507.01318}, eprinttype = {arXiv}, eprint = {1507.01318}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimGMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/SteinertJSPGPLN15, author = {Rebecca Steinert and Wolfgang John and Pontus Sk{\"{o}}ldstr{\"{o}}m and Bertrand Pechenot and Andr{\'{a}}s Guly{\'{a}}s and Istv{\'{a}}n Pelle and Tam{\'{a}}s L{\'{e}}vai and Felici{\'{a}}n N{\'{e}}meth and Juhoon Kim and Catalin Meirosu and Xuejun Cai and Chunyan Fu and Kostas Pentikousis and Sachin Sharma and Ioanna Papafili and Guido Marchetto and Riccardo Sisto and Fulvio Risso and Per Kreuger and Jan Ekman and Shaoteng Liu and Antonio Manzalini and Apoorv Shukla and Stefan Schmid}, title = {Service Provider DevOps network capabilities and tools}, journal = {CoRR}, volume = {abs/1510.02818}, year = {2015}, url = {http://arxiv.org/abs/1510.02818}, eprinttype = {arXiv}, eprint = {1510.02818}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/SteinertJSPGPLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WilliamsKK15, author = {Joseph Jay Williams and Juho Kim and Brian C. Keegan}, title = {Supporting Instructors in Collaborating with Researchers using MOOClets}, journal = {CoRR}, volume = {abs/1502.04247}, year = {2015}, url = {http://arxiv.org/abs/1502.04247}, eprinttype = {arXiv}, eprint = {1502.04247}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WilliamsKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WilliamsOXGKMLR15, author = {Joseph Jay Williams and Korinn Ostrow and Xiaolu Xiong and Elena L. Glassman and Juho Kim and Samuel G. Maldonado and Na Li and Justin Reich and Neil T. Heffernan}, title = {Using and Designing Platforms for In Vivo Education Experiments}, journal = {CoRR}, volume = {abs/1502.04245}, year = {2015}, url = {http://arxiv.org/abs/1502.04245}, eprinttype = {arXiv}, eprint = {1502.04245}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WilliamsOXGKMLR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KangPK14, author = {Seung{-}Hoon Kang and Keunyoung Park and Juho Kim}, title = {Cost effective data wiping methods for mobile phone}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {643--655}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1603-9}, doi = {10.1007/S11042-013-1603-9}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KangPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YooKK14, author = {Sang Guun Yoo and Seung{-}Hoon Kang and Juho Kim}, title = {{SERA:} a secure energy reliability aware data gathering for sensor networks}, journal = {Multim. Tools Appl.}, volume = {73}, number = {2}, pages = {617--646}, year = {2014}, url = {https://doi.org/10.1007/s11042-011-0735-z}, doi = {10.1007/S11042-011-0735-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/YooKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BokKBLP14, author = {Tae{-}Hoon Bok and Juho Kim and Jinho Bae and Chong Hyun Lee and Dong{-}Guk Paeng}, title = {Implementation of a Rotational Ultrasound Biomicroscopy System Equipped with a High-Frequency Angled Needle Transducer - \emph{Ex Vivo} Ultrasound Imaging of Porcine Ocular Posterior Tissues}, journal = {Sensors}, volume = {14}, number = {9}, pages = {17807--17816}, year = {2014}, url = {https://doi.org/10.3390/s140917807}, doi = {10.3390/S140917807}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BokKBLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimJLNNTLZ14, author = {Younsun Kim and Hyoungju Ji and Juho Lee and Young{-}Han Nam and Boon Loong Ng and Ioannis Tzanidis and Yang Li and Jianzhong Zhang}, title = {Full dimension mimo {(FD-MIMO):} the next evolution of {MIMO} in {LTE} systems}, journal = {{IEEE} Wirel. Commun.}, volume = {21}, number = {2}, pages = {26--33}, year = {2014}, url = {https://doi.org/10.1109/MWC.2014.6812288}, doi = {10.1109/MWC.2014.6812288}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/KimJLNNTLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimJLNNTLZ14a, author = {Younsun Kim and Hyoungju Ji and Juho Lee and Young{-}Han Nam and Boon Loong Ng and Ioannis Tzanidis and Yang Li and Jianzhong Zhang}, title = {Full dimension {MIMO} {(FD-MIMO):} The next evolution of {MIMO} in {LTE} systems}, journal = {{IEEE} Wirel. Commun.}, volume = {21}, number = {3}, pages = {92--100}, year = {2014}, url = {https://doi.org/10.1109/MWC.2014.6845053}, doi = {10.1109/MWC.2014.6845053}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/KimJLNNTLZ14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/CKKPH14, author = {Daniel Herrera C. and Kihwan Kim and Juho Kannala and Kari Pulli and Janne Heikkil{\"{a}}}, title = {{DT-SLAM:} Deferred Triangulation for Robust {SLAM}}, booktitle = {2nd International Conference on 3D Vision, 3DV 2014, Tokyo, Japan, December 8-11, 2014, Volume 1}, pages = {609--616}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/3DV.2014.49}, doi = {10.1109/3DV.2014.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dim/CKKPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/YuKMY14, author = {Seunghak Yu and Juho Kim and Hyeyoung Min and Sungroh Yoon}, title = {Ensemble learning for robust prediction of microRNA-mRNA interactions}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {45--46}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741403}, doi = {10.1109/BIGCOMP.2014.6741403}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/YuKMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChiltonKACLWDMZ14, author = {Lydia B. Chilton and Juho Kim and Paul Andr{\'{e}} and Felicia Cordeiro and James A. Landay and Daniel S. Weld and Steven P. Dow and Robert C. Miller and Haoqi Zhang}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Frenzy: collaborative data organization for creating conference sessions}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {1255--1264}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557375}, doi = {10.1145/2556288.2557375}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChiltonKACLWDMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimNWGMG14, author = {Juho Kim and Phu Tran Nguyen and Sarah A. Weir and Philip J. Guo and Robert C. Miller and Krzysztof Z. Gajos}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Crowdsourcing step-by-step information extraction to enhance existing how-to videos}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {4017--4026}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2556986}, doi = {10.1145/2556288.2556986}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimNWGMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecsa/JuholaAA14, author = {Arto Juhola and Titta Ahola and Kimmo Ahola}, editor = {Danny Weyns}, title = {Adaptive Risk Management with Ontology Linked Evidential Statistics and {SDN}}, booktitle = {Proceedings of the {ECSA} 2014 Workshops {\&} Tool Demos Track, European Conference on Software Architecture, 2014, Vienna, Austria}, pages = {2:1--2:7}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2642803.2642805}, doi = {10.1145/2642803.2642805}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecsa/JuholaAA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimYY14, author = {Juho Kim and Seunghak Yu and Sungroh Yoon}, title = {Ensemble algorithms for {DNA} motif finding}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914361}, doi = {10.1109/ELINFOCOM.2014.6914361}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JiKKL14, author = {Hyoungju Ji and Younsun Kim and Youngwoo Kwak and Juho Lee}, title = {Effect of 3-dimensional beamforming on full dimension {MIMO} in LTE-Advanced}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {821--826}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063534}, doi = {10.1109/GLOCOMW.2014.7063534}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/JiKKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimKOKL14, author = {Donghan Kim and Yongjun Kwak and Jinyoung Oh and Younsun Kim and Juho Lee}, title = {Discovery resource grouping for {D2D} discovery for mitigation of in-band emission in LTE-Advanced}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {869--874}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063542}, doi = {10.1109/GLOCOMW.2014.7063542}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimKOKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/OhLWKL14, author = {Jinyoung Oh and Hyojin Lee and Sung Hwan Won and Younsun Kim and Juho Lee}, title = {Centralized resource coordination scheme for Inter-enB CoMP with non-ideal backhaul}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {827--832}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063535}, doi = {10.1109/GLOCOMW.2014.7063535}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/OhLWKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WonLOCKL14, author = {Sung Hwan Won and Hyojin Lee and Jinyoung Oh and Song Yean Cho and Younsun Kim and Juho Lee}, title = {Coordination of multiple eNBs using short-term channel information}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {765--770}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063525}, doi = {10.1109/GLOCOMW.2014.7063525}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WonLOCKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/BhardwajKDKMMZ14, author = {Anant P. Bhardwaj and Juho Kim and Steven Dow and David R. Karger and Sam Madden and Rob Miller and Haoqi Zhang}, editor = {Jeffrey P. Bigham and David C. Parkes}, title = {Attendee-Sourcing: Exploring The Design Space of Community-Informed Conference Scheduling}, booktitle = {Proceedings of the Seconf {AAAI} Conference on Human Computation and Crowdsourcing, {HCOMP} 2014, November 2-4, 2014, Pittsburgh, Pennsylvania, {USA}}, pages = {2--10}, publisher = {{AAAI}}, year = {2014}, url = {https://doi.org/10.1609/hcomp.v2i1.13163}, doi = {10.1609/HCOMP.V2I1.13163}, timestamp = {Tue, 05 Sep 2023 11:10:11 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/BhardwajKDKMMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/BaeYLLKYC14, author = {Hyoungchel Bae and Jongha Yoon and Yunseong Lee and Juho Lee and Taejin Kim and Jeongseok Yu and Sungrae Cho}, title = {User-friendly demand side management for smart grid networks}, booktitle = {The International Conference on Information Networking 2014, {ICOIN} 2014, Phuket, Thailand, February 10-12, 2014}, pages = {481--485}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICOIN.2014.6799728}, doi = {10.1109/ICOIN.2014.6799728}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/BaeYLLKYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/YuKNBLLVCH14, author = {Jeongseok Yu and Taejin Kim and Woongsoo Na and Hyoungchel Bae and Yunseong Lee and Juho Lee and Zeynep Vatandas and Sungrae Cho and Junbeom Hur}, title = {Fully-distributed multicast routing protocol for {IEEE} 802.15.8 peer-aware communications}, booktitle = {The International Conference on Information Networking 2014, {ICOIN} 2014, Phuket, Thailand, February 10-12, 2014}, pages = {64--69}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICOIN.2014.6799666}, doi = {10.1109/ICOIN.2014.6799666}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/YuKNBLLVCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/GuoKR14, author = {Philip J. Guo and Juho Kim and Rob Rubin}, editor = {Mehran Sahami and Armando Fox and Marti A. Hearst and Michelene T. H. Chi}, title = {How video production affects student engagement: an empirical study of {MOOC} videos}, booktitle = {First {(2014)} {ACM} Conference on Learning @ Scale, L@S 2014, Atlanta, GA, USA, March 4-5, 2014}, pages = {41--50}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556325.2566239}, doi = {10.1145/2556325.2566239}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lats/GuoKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lats/KimGSMGM14, author = {Juho Kim and Philip J. Guo and Daniel T. Seaton and Piotr Mitros and Krzysztof Z. Gajos and Robert C. Miller}, editor = {Mehran Sahami and Armando Fox and Marti A. Hearst and Michelene T. H. Chi}, title = {Understanding in-video dropouts and interaction peaks inonline lecture videos}, booktitle = {First {(2014)} {ACM} Conference on Learning @ Scale, L@S 2014, Atlanta, GA, USA, March 4-5, 2014}, pages = {31--40}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556325.2566237}, doi = {10.1145/2556325.2566237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lats/KimGSMGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/KimCKTF14, author = {Juhoon Kim and Yung{-}Chih Chen and Ramin Khalili and Don Towsley and Anja Feldmann}, editor = {Sujay Sanghavi and Sanjay Shakkottai and Marc Lelarge and Bianca Schroeder}, title = {Multi-source multipath {HTTP} (mHTTP): a proposal}, booktitle = {{ACM} {SIGMETRICS} / International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2014, Austin, TX, USA, June 16-20, 2014}, pages = {583--584}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591971.2592029}, doi = {10.1145/2591971.2592029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/KimCKTF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/KimGCLGM14, author = {Juho Kim and Philip J. Guo and Carrie J. Cai and Shang{-}Wen (Daniel) Li and Krzysztof Z. Gajos and Robert C. Miller}, editor = {Hrvoje Benko and Mira Dontcheva and Daniel Wigdor}, title = {Data-driven interaction techniques for improving navigation of educational videos}, booktitle = {The 27th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} '14, Honolulu, HI, USA, October 5-8, 2014}, pages = {563--572}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2642918.2647389}, doi = {10.1145/2642918.2647389}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/KimGCLGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/KimZKMG14, author = {Juho Kim and Amy X. Zhang and Jihee Kim and Robert C. Miller and Krzysztof Z. Gajos}, editor = {Hrvoje Benko and Mira Dontcheva and Daniel Wigdor}, title = {Content-aware kinetic scrolling for supporting web page navigation}, booktitle = {The 27th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} '14, Honolulu, HI, USA, October 5-8, 2014}, pages = {123--127}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2642918.2647401}, doi = {10.1145/2642918.2647401}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/KimZKMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KwakRKKL14, author = {Yongjun Kwak and Sangmin Ro and Sangbum Kim and Younsun Kim and Juho Lee}, title = {Performance Evaluation of {D2D} Discovery with eNB Based Power Control in LTE-Advanced}, booktitle = {{IEEE} 80th Vehicular Technology Conference, {VTC} Fall 2014, Vancouver, BC, Canada, September 14-17, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCFall.2014.6966122}, doi = {10.1109/VTCFALL.2014.6966122}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KwakRKKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BhardwajKDKMMZ14, author = {Anant P. Bhardwaj and Juho Kim and Steven Dow and David R. Karger and Sam Madden and Rob Miller and Haoqi Zhang}, title = {Attendee-Sourcing: Exploring The Design Space of Community-Informed Conference Scheduling}, journal = {CoRR}, volume = {abs/1409.6680}, year = {2014}, url = {http://arxiv.org/abs/1409.6680}, eprinttype = {arXiv}, eprint = {1409.6680}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BhardwajKDKMMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimSF14, author = {Juhoon Kim and Nadi Sarrar and Anja Feldmann}, title = {Watching the IPv6 Takeoff from an IXP's Viewpoint}, journal = {CoRR}, volume = {abs/1402.3982}, year = {2014}, url = {http://arxiv.org/abs/1402.3982}, eprinttype = {arXiv}, eprint = {1402.3982}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimSF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimSBS13, author = {Hongkeun Kim and Hyungbo Shim and Juhoon Back and Jin Heon Seo}, title = {Consensus of output-coupled linear multi-agent systems under fast switching network: Averaging approach}, journal = {Autom.}, volume = {49}, number = {1}, pages = {267--272}, year = {2013}, url = {https://doi.org/10.1016/j.automatica.2012.09.025}, doi = {10.1016/J.AUTOMATICA.2012.09.025}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimSBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KangPYK13, author = {Seung{-}Hoon Kang and Keunyoung Park and Sang Guun Yoo and Juho Kim}, title = {DDoS avoidance strategy for service availability}, journal = {Clust. Comput.}, volume = {16}, number = {2}, pages = {241--248}, year = {2013}, url = {https://doi.org/10.1007/s10586-011-0185-4}, doi = {10.1007/S10586-011-0185-4}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KangPYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NamNSL0KL13, author = {Young{-}Han Nam and Boon Loong Ng and Krishna Sayana and Yang Li and Jianzhong Zhang and Younsun Kim and Juho Lee}, title = {Full-dimension {MIMO} {(FD-MIMO)} for next generation cellular technology}, journal = {{IEEE} Commun. Mag.}, volume = {51}, number = {6}, year = {2013}, url = {https://doi.org/10.1109/MCOM.2013.6525612}, doi = {10.1109/MCOM.2013.6525612}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NamNSL0KL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KimIF13, author = {Juhoon Kim and Luigi Iannone and Anja Feldmann}, title = {Caching Locator/ID mappings: An experimental scalability analysis and its implications}, journal = {Comput. Networks}, volume = {57}, number = {4}, pages = {897--909}, year = {2013}, url = {https://doi.org/10.1016/j.comnet.2012.11.007}, doi = {10.1016/J.COMNET.2012.11.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/KimIF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YooLK13, author = {Sang Guun Yoo and Hyukjun Lee and Juho Kim}, title = {A Performance and Usability Aware Secure Two-Factor User Authentication Scheme for Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/543950}, doi = {10.1155/2013/543950}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YooLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/JungKLC13, author = {Minchae Jung and Younsun Kim and Juho Lee and Sooyong Choi}, title = {Optimal number of users in zero-forcing based multiuser {MIMO} systems with large number of antennas}, journal = {J. Commun. Networks}, volume = {15}, number = {4}, pages = {362--369}, year = {2013}, url = {https://doi.org/10.1109/JCN.2013.000067}, doi = {10.1109/JCN.2013.000067}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/JungKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HanKK13, author = {Sangwoo Han and Byung{-}Su Kim and Juho Kim}, title = {Variation-Aware Aging Analysis in Digital ICs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {12}, pages = {2214--2225}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2228886}, doi = {10.1109/TVLSI.2012.2228886}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HanKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/LiXDXZKL13, author = {Yang Li and Yan Xin and Mian Dong and Gary Xu and Jianzhong (Charlie) Zhang and Younsun Kim and Juho Lee}, editor = {Michael B. Matthews}, title = {Implementation of full-dimensional {MIMO} {(FD-MIMO)} in {LTE}}, booktitle = {2013 Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, USA, November 3-6, 2013}, pages = {998--1003}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACSSC.2013.6810440}, doi = {10.1109/ACSSC.2013.6810440}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/acssc/LiXDXZKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Kim13, author = {Juho Kim}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Toolscape: enhancing the learning experience of how-to videos}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {2707--2712}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2479497}, doi = {10.1145/2468356.2479497}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/Kim13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimMG13, author = {Juho Kim and Robert C. Miller and Krzysztof Z. Gajos}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Learnersourcing subgoal labeling to support learning from how-to videos}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {685--690}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468477}, doi = {10.1145/2468356.2468477}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimMG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/NguyenKM13, author = {Phu Tran Nguyen and Juho Kim and Robert C. Miller}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Generating annotations for how-to videos using crowdsourcing}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {835--840}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468506}, doi = {10.1145/2468356.2468506}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/NguyenKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ZhangACKDMMB13, author = {Haoqi Zhang and Paul Andr{\'{e}} and Lydia B. Chilton and Juho Kim and Steven P. Dow and Robert C. Miller and Wendy E. Mackay and Michel Beaudouin{-}Lafon}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {\emph{Cobi}: communitysourcing large-scale conference scheduling}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {3011--3014}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2479597}, doi = {10.1145/2468356.2479597}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ZhangACKDMMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/AndreZKCDM13, author = {Paul Andr{\'{e}} and Haoqi Zhang and Juho Kim and Lydia B. Chilton and Steven P. Dow and Robert C. Miller}, editor = {Bj{\"{o}}rn Hartman and Eric Horvitz}, title = {Community Clustering: Leveraging an Academic Crowd to Form Coherent Conference Sessions}, booktitle = {Proceedings of the First {AAAI} Conference on Human Computation and Crowdsourcing, {HCOMP} 2013, November 7-9, 2013, Palm Springs, CA, {USA}}, pages = {9--16}, publisher = {{AAAI}}, year = {2013}, url = {https://doi.org/10.1609/hcomp.v1i1.13078}, doi = {10.1609/HCOMP.V1I1.13078}, timestamp = {Tue, 05 Sep 2023 11:10:11 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/AndreZKCDM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hcomp/KimZACBKDM13a, author = {Juho Kim and Haoqi Zhang and Paul Andr{\'{e}} and Lydia B. Chilton and Anant P. Bhardwaj and David R. Karger and Steven P. Dow and Robert C. Miller}, title = {Cobi: Community-Informed Conference Scheduling}, booktitle = {Human Computation and Crowdsourcing: Works in Progress and Demonstration Abstracts, An Adjunct to the Proceedings of the First {AAAI} Conference on Human Computation and Crowdsourcing, November 7-9, 2013, Palm Springs, CA, {USA}}, series = {{AAAI} Technical Report}, volume = {{WS-13-18}}, publisher = {{AAAI}}, year = {2013}, url = {http://www.aaai.org/ocs/index.php/HCOMP/HCOMP13/paper/view/7515}, timestamp = {Tue, 05 Sep 2023 11:14:59 +0200}, biburl = {https://dblp.org/rec/conf/hcomp/KimZACBKDM13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JiKCCL13, author = {Hyoungju Ji and Younsun Kim and Seunghoon Choi and Joonyoung Cho and Juho Lee}, title = {Dynamic resource adaptation in beyond {LTE-A} {TDD} heterogeneous networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013, Workshops Proceedings}, pages = {133--137}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCW.2013.6649215}, doi = {10.1109/ICCW.2013.6649215}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/JiKCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimJLLN013, author = {Younsun Kim and Hyoungju Ji and Hyojin Lee and Juho Lee and Boon Loong Ng and Jianzhong Zhang}, title = {Evolution beyond LTE-advanced with Full Dimension {MIMO}}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013, Workshops Proceedings}, pages = {111--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCW.2013.6649211}, doi = {10.1109/ICCW.2013.6649211}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimJLLN013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jist/NamALEJK13, author = {Sejin Nam and Jinhyun Ahn and Jin{-}Muk Lim and Jae{-}Hong Eom and Ju{-}Hong Jeon and Hong{-}Gee Kim}, editor = {Wooju Kim and Ying Ding and Hong{-}Gee Kim}, title = {Federating Heterogeneous Biological Resources on the Web: {A} Case Study on {TRP} Channel Ontology Construction}, booktitle = {Semantic Technology - Third Joint International Conference, {JIST} 2013, Seoul, South Korea, November 28-30, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8388}, pages = {103--109}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-06826-8\_9}, doi = {10.1007/978-3-319-06826-8\_9}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jist/NamALEJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/KimZACMBMD13, author = {Juho Kim and Haoqi Zhang and Paul Andr{\'{e}} and Lydia B. Chilton and Wendy E. Mackay and Michel Beaudouin{-}Lafon and Robert C. Miller and Steven P. Dow}, editor = {Shahram Izadi and Aaron J. Quigley and Ivan Poupyrev and Takeo Igarashi}, title = {Cobi: a community-informed conference scheduling tool}, booktitle = {The 26th Annual {ACM} Symposium on User Interface Software and Technology, UIST'13, St. Andrews, United Kingdom, October 8-11, 2013}, pages = {173--182}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2501988.2502034}, doi = {10.1145/2501988.2502034}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/KimZACMBMD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JungKMKLC13, author = {Minchae Jung and Taehyung Kim and Kyungsik Min and Younsun Kim and Juho Lee and Sooyong Choi}, title = {Asymptotic Distribution of System Capacity in Multiuser {MIMO} Systems with Large Number of Antennas}, booktitle = {Proceedings of the 77th {IEEE} Vehicular Technology Conference, {VTC} Spring 2013, Dresden, Germany, June 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCSpring.2013.6692462}, doi = {10.1109/VTCSPRING.2013.6692462}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/JungKMKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MinJKKLC13, author = {Kyungsik Min and Minchae Jung and Taehyung Kim and Younsun Kim and Juho Lee and Sooyong Choi}, title = {Pilot Power Ratio for Uplink Sum-Rate Maximization in Zero-Forcing Based {MU-MIMO} Systems with Large Number of Antennas}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692364}, doi = {10.1109/VTCFALL.2013.6692364}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MinJKKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimKFCT13, author = {Juhoon Kim and Ramin Khalili and Anja Feldmann and Yung{-}Chih Chen and Don Towsley}, title = {Multi-Source Multi-Path {HTTP} (mHTTP): {A} Proposal}, journal = {CoRR}, volume = {abs/1310.2748}, year = {2013}, url = {http://arxiv.org/abs/1310.2748}, eprinttype = {arXiv}, eprint = {1310.2748}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimKFCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-0707, author = {Juhoon Kim and Nikolaos Chatzis and Matthias Siebke and Anja Feldmann}, title = {Tigers vs Lions: Towards Characterizing Solitary and Group User Behavior in {MMORPG}}, journal = {CoRR}, volume = {abs/1302.0707}, year = {2013}, url = {http://arxiv.org/abs/1302.0707}, eprinttype = {arXiv}, eprint = {1302.0707}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-0707.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LeeKLNMLXZ12, author = {Juho Lee and Younsun Kim and Hyojin Lee and Boon Loong Ng and David Mazzarese and Jianghua Liu and Weimin Xiao and Yongxing Zhou}, title = {Coordinated multipoint transmission and reception in LTE-advanced systems}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {11}, pages = {44--50}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6353681}, doi = {10.1109/MCOM.2012.6353681}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LeeKLNMLXZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YooPK12, author = {Sang Guun Yoo and Keunyoung Park and Juho Kim}, title = {A Security-Performance-Balanced User Authentication Scheme for Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/382810}, doi = {10.1155/2012/382810}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YooPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/KwonYSJKSJP12, author = {Dongseop Kwon and Jong Hwan Yoon and Soo{-}Yong Shin and Tae{-}Ho Jang and Hong{-}Gee Kim and Insuk So and Ju{-}Hong Jeon and Hyun Ho Park}, title = {A comprehensive manually curated protein-protein interaction database for the Death Domain superfamily}, journal = {Nucleic Acids Res.}, volume = {40}, number = {Database-Issue}, pages = {331--336}, year = {2012}, url = {https://doi.org/10.1093/nar/gkr1149}, doi = {10.1093/NAR/GKR1149}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/KwonYSJKSJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/YooPK12, author = {Sang Guun Yoo and Keunyoung Park and Juho Kim}, title = {Confidential information protection system for mobile devices}, journal = {Secur. Commun. Networks}, volume = {5}, number = {12}, pages = {1452--1461}, year = {2012}, url = {https://doi.org/10.1002/sec.516}, doi = {10.1002/SEC.516}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/YooPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/RautiainenLPVDKKS12, author = {Kimmo Rautiainen and Juha Lemmetyinen and Jouni Pulliainen and Juho Vehvilainen and Matthias Drusch and Anna Kontu and Juha Kainulainen and Jaakko Sepp{\"{a}}nen}, title = {L-Band Radiometer Observations of Soil Processes in Boreal and Subarctic Environments}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {50}, number = {5-1}, pages = {1483--1497}, year = {2012}, url = {https://doi.org/10.1109/TGRS.2011.2167755}, doi = {10.1109/TGRS.2011.2167755}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/RautiainenLPVDKKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkSKP12, author = {Juho Park and Youngchul Sung and Donggun Kim and H. Vincent Poor}, title = {Outage Probability and Outage-Based Robust Beamforming for {MIMO} Interference Channels with Imperfect Channel State Information}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {10}, pages = {3561--3573}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.072512.111899}, doi = {10.1109/TWC.2012.072512.111899}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ParkSKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/KimMBDJGM12, author = {Juho Kim and Benjamin Malley and Joel Brandt and Mira Dontcheva and Diana M. Joseph and Krzysztof Z. Gajos and Robert C. Miller}, editor = {Steven E. Poltrock and Carla Simone and Jonathan Grudin and Gloria Mark and John Riedl}, title = {Photoshop with friends: a synchronous learning community for graphic design}, booktitle = {{CSCW} '12 Computer Supported Cooperative Work, Seattle, WA, USA, February 11-15, 2012 - Companion Volume}, pages = {271--272}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2141512.2141598}, doi = {10.1145/2141512.2141598}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/KimMBDJGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/NowakKKN12, author = {Michael Nowak and Juho Kim and Nam Wook Kim and Clifford Nass}, editor = {Steven E. Poltrock and Carla Simone and Jonathan Grudin and Gloria Mark and John Riedl}, title = {Social visualization and negotiation: effects of feedback configuration and status}, booktitle = {{CSCW} '12 Computer Supported Cooperative Work, Seattle, WA, USA, February 11-15, 2012}, pages = {1081--1090}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2145204.2145365}, doi = {10.1145/2145204.2145365}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/NowakKKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeCKCL12, author = {Changwoo Lee and Chan{-}Byoung Chae and Taehyung Kim and Sooyong Choi and Juho Lee}, title = {Network massive {MIMO} for cell-boundary users: From a precoding normalization perspective}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2012, 3-7 December 2012, Anaheim, California, {USA}}, pages = {233--237}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOMW.2012.6477575}, doi = {10.1109/GLOCOMW.2012.6477575}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LeeCKCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NgKLLNZS12, author = {Boon Loong Ng and Younsun Kim and Juho Lee and Yang Li and Young{-}Han Nam and Jianzhong (Charlie) Zhang and Krishna Sayana}, title = {Fulfilling the promise of massive {MIMO} with 2D active antenna array}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2012, 3-7 December 2012, Anaheim, California, {USA}}, pages = {691--696}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOMW.2012.6477658}, doi = {10.1109/GLOCOMW.2012.6477658}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/NgKLLNZS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/ParkKK12, author = {Keunyoung Park and Yong{-}Soo Kim and Juho Kim}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak}, title = {Selective Application of {VPN} by Service Using Port Number in SSL-Based Host-to-Gateway {VPN} Environment}, booktitle = {Convergence and Hybrid Information Technology - 6th International Conference, {ICHIT} 2012, Daejeon, Korea, August 23-25, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7425}, pages = {598--607}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32645-5\_75}, doi = {10.1007/978-3-642-32645-5\_75}, timestamp = {Sun, 02 Jun 2019 21:21:29 +0200}, biburl = {https://dblp.org/rec/conf/ichit/ParkKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/SaucezKIBF12, author = {Damien Saucez and Juhoon Kim and Luigi Iannone and Olivier Bonaventure and Clarence Filsfils}, editor = {Robert Bestak and Lukas Kencl and Li Erran Li and Joerg Widmer and Hao Yin}, title = {A Local Approach to Fast Failure Recovery of {LISP} Ingress Tunnel Routers}, booktitle = {{NETWORKING} 2012 - 11th International {IFIP} {TC} 6 Networking Conference, Prague, Czech Republic, May 21-25, 2012, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7289}, pages = {397--408}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-30045-5\_30}, doi = {10.1007/978-3-642-30045-5\_30}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/networking/SaucezKIBF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1206-6172, author = {Juho Park and Youngchul Sung and Donggun Kim and H. Vincent Poor}, title = {Outage Probability and Outage-Based Robust Beamforming for {MIMO} Interference Channels with Imperfect Channel State Information}, journal = {CoRR}, volume = {abs/1206.6172}, year = {2012}, url = {http://arxiv.org/abs/1206.6172}, eprinttype = {arXiv}, eprint = {1206.6172}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1206-6172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1209-4145, author = {Changwoo Lee and Chan{-}Byoung Chae and Taehyung Kim and Sooyong Choi and Juho Lee}, title = {Network Massive {MIMO} for Cell-Boundary Users: From a Precoding Normalization Perspective}, journal = {CoRR}, volume = {abs/1209.4145}, year = {2012}, url = {http://arxiv.org/abs/1209.4145}, eprinttype = {arXiv}, eprint = {1209.4145}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1209-4145.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BackSJK11, author = {Juhoon Back and Hyungbo Shim and Nam Hoon Jo and Jung{-}Su Kim}, title = {Robust tracking by reduced-order disturbance observer: Linear case}, booktitle = {50th {IEEE} Conference on Decision and Control and European Control Conference, 11th European Control Conference, {CDC/ECC} 2011, Orlando, FL, USA, December 12-15, 2011}, pages = {3514--3519}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CDC.2011.6161399}, doi = {10.1109/CDC.2011.6161399}, timestamp = {Wed, 24 Feb 2021 08:49:08 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BackSJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KimSBS11, author = {Hongkeun Kim and Hyungbo Shim and Juhoon Back and Jin Heon Seo}, title = {Stabilizability of a group of single integrators and its application to decentralized formation problem}, booktitle = {50th {IEEE} Conference on Decision and Control and European Control Conference, 11th European Control Conference, {CDC/ECC} 2011, Orlando, FL, USA, December 12-15, 2011}, pages = {4829--4834}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CDC.2011.6161139}, doi = {10.1109/CDC.2011.6161139}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/KimSBS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KandoganKMP11, author = {Eser Kandogan and Juho Kim and Thomas P. Moran and Pablo Pedemonte}, editor = {Desney S. Tan and Saleema Amershi and Bo Begole and Wendy A. Kellogg and Manas Tungare}, title = {How a freeform spatial interface supports simple problem solving tasks}, booktitle = {Proceedings of the International Conference on Human Factors in Computing Systems, {CHI} 2011, Vancouver, BC, Canada, May 7-12, 2011}, pages = {925--934}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1978942.1979079}, doi = {10.1145/1978942.1979079}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KandoganKMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ParkKS11, author = {Juho Park and Donggun Kim and Youngchul Sung}, title = {Sum Outage-Rate Maximization for {MIMO} Interference Channels}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134030}, doi = {10.1109/GLOCOM.2011.6134030}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ParkKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HanCKLCK11, author = {Sangwoo Han and Joohee Choung and Byung{-}Su Kim and Bong Hyun Lee and Hungbok Choi and Juho Kim}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Statistical aging analysis with process variation consideration}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {412--419}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105362}, doi = {10.1109/ICCAD.2011.6105362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HanCKLCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/LeeYKL11, author = {Yunho Lee and Sang Guun Yoo and Juho Kim and Soojin Lee}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Specification-Based Intrusion Detection System for WiBro}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6935}, pages = {445--455}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24082-9\_55}, doi = {10.1007/978-3-642-24082-9\_55}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ichit/LeeYKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/ParkYK11, author = {Keunyoung Park and Sang Guun Yoo and Juho Kim}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Security Requirements Prioritization Based on Threat Modeling and Valuation Graph}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {142--152}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_19}, doi = {10.1007/978-3-642-24106-2\_19}, timestamp = {Thu, 28 Dec 2017 16:02:42 +0100}, biburl = {https://dblp.org/rec/conf/ichit/ParkYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LemmetyinenPAKRVWNRDSK11, author = {Juha Lemmetyinen and Jouni Pulliainen and Ali Nadir Arslan and Anna Kontu and Kimmo Rautiainen and Juho Vehvilainen and Andreas Wiesmann and Thomas Nagler and Helmut Rott and Malcolm Davidson and Dirk Schuettemeyer and Michael Kern}, title = {Analysis of active and passive microwave observations from the NoSREx campaign}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {2737--2740}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049780}, doi = {10.1109/IGARSS.2011.6049780}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LemmetyinenPAKRVWNRDSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/KimIF11, author = {Juhoon Kim and Luigi Iannone and Anja Feldmann}, editor = {Jordi Domingo{-}Pascual and Pietro Manzoni and Sergio Palazzo and Ana Pont and Caterina M. Scoglio}, title = {A Deep Dive into the {LISP} Cache and What ISPs Should Know about It}, booktitle = {{NETWORKING} 2011 - 10th International {IFIP} {TC} 6 Networking Conference, Valencia, Spain, May 9-13, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6640}, pages = {367--378}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20757-0\_29}, doi = {10.1007/978-3-642-20757-0\_29}, timestamp = {Fri, 09 Apr 2021 18:42:27 +0200}, biburl = {https://dblp.org/rec/conf/networking/KimIF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/ShimKKB10, author = {Hyungbo Shim and Jung{-}Su Kim and Hongkeun Kim and Juhoon Back}, title = {A note on the differential regulator equation for non-minimum phase linear systems with time-varying exosystems}, journal = {Autom.}, volume = {46}, number = {3}, pages = {605--609}, year = {2010}, url = {https://doi.org/10.1016/j.automatica.2009.12.007}, doi = {10.1016/J.AUTOMATICA.2009.12.007}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/ShimKKB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/ParkYKK10, author = {Keunyoung Park and Sang Guun Yoo and Taejun Kim and Juho Kim}, title = {{JTAG} Security System Based on Credentials}, journal = {J. Electron. Test.}, volume = {26}, number = {5}, pages = {549--557}, year = {2010}, url = {https://doi.org/10.1007/s10836-010-5170-y}, doi = {10.1007/S10836-010-5170-Y}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/ParkYKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/KimLBM10, author = {Kyung{-}Rog Kim and Ju{-}Ho Lee and Jae{-}Hee Byeon and Nam{-}Mee Moon}, title = {Recommender System Using the Movie Genre Similarity in Mobile Service}, booktitle = {4th International Conference on Multimedia and Ubiquitous Engineering, {MUE} 2010, Cebu, Philippines, 11-13 August, 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/MUE.2010.5575081}, doi = {10.1109/MUE.2010.5575081}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/mue/KimLBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HanK10, author = {Sangwoo Han and Juho Kim}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {NBTI-aware statistical timing analysis framework}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {158--163}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784734}, doi = {10.1109/SOCC.2010.5784734}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/HanK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/WooSKY09, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices}, journal = {{IEEE} Computer Graphics and Applications}, volume = {29}, number = {5}, pages = {82--90}, year = {2009}, url = {https://doi.org/10.1109/MCG.2009.88}, doi = {10.1109/MCG.2009.88}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cga/WooSKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LeePAK09, author = {Ju{-}Hong Lee and Sun Park and Chan{-}Min Ahn and Daeho Kim}, title = {Automatic generic document summarization based on non-negative matrix factorization}, journal = {Inf. Process. Manag.}, volume = {45}, number = {1}, pages = {20--34}, year = {2009}, url = {https://doi.org/10.1016/j.ipm.2008.06.002}, doi = {10.1016/J.IPM.2008.06.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LeePAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LimAJPKVCJPY09, author = {Kyung{-}Hoon Lim and Gunhyun Ahn and Sung{-}Chan Jung and Hyun{-}Chul Park and Min{-}Su Kim and Ju{-}Ho Van and Hanjin Cho and Jonghyuk Jeong and Cheon{-}Seok Park and Youngoo Yang}, title = {A 60-W Multicarrier {WCDMA} Power Amplifier Using an {RF} Predistorter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {4}, pages = {265--269}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2015385}, doi = {10.1109/TCSII.2009.2015385}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LimAJPKVCJPY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WooSKY09, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and {MPEG4/H.264/JPEG}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {9}, pages = {1260--1266}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2002431}, doi = {10.1109/TVLSI.2008.2002431}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WooSKY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KumarKK09, author = {Ranjitha Kumar and Juho Kim and Scott R. Klemmer}, editor = {Dan R. Olsen Jr. and Richard B. Arthur and Ken Hinckley and Meredith Ringel Morris and Scott E. Hudson and Saul Greenberg}, title = {Automatic retargeting of web page content}, booktitle = {Proceedings of the 27th International Conference on Human Factors in Computing Systems, {CHI} 2009, Extended Abstracts Volume, Boston, MA, USA, April 4-9, 2009}, pages = {4237--4242}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1520340.1520646}, doi = {10.1145/1520340.1520646}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KumarKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icar/KimPP09, author = {Soohwan Kim and Ju{-}Hong Park and Sung{-}Kee Park}, title = {Vision-based human augmented mapping for indoor environments}, booktitle = {14th International Conference on Advanced Robotics, {ICAR} 2009, 22-26 June 2009, Munich, Germany}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/document/5174667/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icar/KimPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimCPP09, author = {Soohwan Kim and Howon Cheong and Ju{-}Hong Park and Sung{-}Kee Park}, title = {Human augmented mapping for indoor environments using a stereo camera}, booktitle = {2009 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, {USA}}, pages = {5609--5614}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IROS.2009.5354128}, doi = {10.1109/IROS.2009.5354128}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimCPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChoiLK08, author = {Bumghi Choi and Ju{-}Hong Lee and Deok{-}Hwan Kim}, title = {Solving local minima problem with large number of hidden nodes on two-layered feed-forward artificial neural networks}, journal = {Neurocomputing}, volume = {71}, number = {16-18}, pages = {3640--3643}, year = {2008}, url = {https://doi.org/10.1016/j.neucom.2008.04.004}, doi = {10.1016/J.NEUCOM.2008.04.004}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ChoiLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooSKY08, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 195 mW/152 mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and {MPEG4/H.264/JPEG}}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {9}, pages = {2047--2056}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2001911}, doi = {10.1109/JSSC.2008.2001911}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooSKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WooSKY08a, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Hoi{-}Jun Yoo}, title = {A 195 mW, 9.1 MVertices/s Fully Programmable 3-D Graphics Processor for Low-Power Mobile Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {11}, pages = {2370--2380}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2004525}, doi = {10.1109/JSSC.2008.2004525}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WooSKY08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/KimBSS08, author = {Hongkeun Kim and Juhoon Back and Hyungbo Shim and Jin Heon Seo}, title = {Locally optimal and globally inverse optimal controller for multi-input nonlinear systems}, booktitle = {American Control Conference, {ACC} 2008, Seattle, WA, USA, 11-13 June 2008}, pages = {4486--4491}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACC.2008.4587202}, doi = {10.1109/ACC.2008.4587202}, timestamp = {Fri, 03 Dec 2021 13:02:23 +0100}, biburl = {https://dblp.org/rec/conf/amcc/KimBSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ZangPK08, author = {Naeun Zang and Eunsuk Park and Juho Kim}, title = {Efficient cell characterization for {SSTA}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1012--1015}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746196}, doi = {10.1109/APCCAS.2008.4746196}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ZangPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MinHYKK08, author = {Juhong Min and Jihun Ha and Sangki Yun and Inhye Kang and Hyogon Kim}, title = {Secure Vehicular Communication for Safety Applications - {A} Measurement Study}, booktitle = {Proceedings of the 67th {IEEE} Vehicular Technology Conference, {VTC} Spring 2008, 11-14 May 2008, Singapore}, pages = {3016--3020}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECS.2008.323}, doi = {10.1109/VETECS.2008.323}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MinHYKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ParkLK07, author = {Sang Ho Park and Ju{-}Hong Lee and Deok{-}Hwan Kim}, editor = {Kotagiri Ramamohanarao and P. Radha Krishna and Mukesh K. Mohania and Ekawit Nantajeewarawat}, title = {Spatial Clustering Based on Moving Distance in the Presence of Obstacles}, booktitle = {Advances in Databases: Concepts, Systems and Applications, 12th International Conference on Database Systems for Advanced Applications, {DASFAA} 2007, Bangkok, Thailand, April 9-12, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4443}, pages = {1024--1027}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71703-4\_96}, doi = {10.1007/978-3-540-71703-4\_96}, timestamp = {Wed, 26 May 2021 08:40:06 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/ParkLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/AhnYLK07, author = {Chan{-}Min Ahn and Jae{-}Hyun You and Ju{-}Hong Lee and Deok{-}Hwan Kim}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Effective Spatial Characterization System Using Density-Based Clustering}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4488}, pages = {515--522}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72586-2\_76}, doi = {10.1007/978-3-540-72586-2\_76}, timestamp = {Tue, 08 Nov 2022 08:34:34 +0100}, biburl = {https://dblp.org/rec/conf/iccS/AhnYLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/KimLSK07, author = {Tae{-}Soon Kim and Ju{-}Hong Lee and Jae{-}Won Song and Deok{-}Hwan Kim}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Similarity Measurement of {XML} Documents Based on Structure and Contents}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4489}, pages = {902--905}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72588-6\_144}, doi = {10.1007/978-3-540-72588-6\_144}, timestamp = {Tue, 08 Nov 2022 08:34:35 +0100}, biburl = {https://dblp.org/rec/conf/iccS/KimLSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WooSKJJLY07, author = {Jeong{-}Ho Woo and Ju{-}Ho Sohn and Hyejung Kim and Jongcheol Jeong and Euljoo Jeong and Suk Joong Lee and Hoi{-}Jun Yoo}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {A low power multimedia SoC with fully programmable 3D graphics and {MPEG4/H.264/JPEG} for mobile devices}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {238--243}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283832}, doi = {10.1145/1283780.1283832}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/WooSKJJLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimZK07, author = {Youse Kim and Naeun Zang and Juho Kim}, title = {Stochastic glitch elimination considering path correlation}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {169--172}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545451}, doi = {10.1109/SOCC.2007.4545451}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimZK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sofsem/KimSL07, author = {Deok{-}Hwan Kim and Jae{-}Won Song and Ju{-}Hong Lee}, editor = {Jan van Leeuwen and Giuseppe F. Italiano and Wiebe van der Hoek and Christoph Meinel and Harald Sack and Frantisek Pl{\'{a}}sil}, title = {A Hybrid Region Weighting Approach for Relevance Feedback in Region-Based Image Search on the Web}, booktitle = {{SOFSEM} 2007: Theory and Practice of Computer Science, 33rd Conference on Current Trends in Theory and Practice of Computer Science, Harrachov, Czech Republic, January 20-26, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4362}, pages = {705--715}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-69507-3\_61}, doi = {10.1007/978-3-540-69507-3\_61}, timestamp = {Fri, 21 Oct 2022 16:47:28 +0200}, biburl = {https://dblp.org/rec/conf/sofsem/KimSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sofsem/ParkLKA07, author = {Sun Park and Ju{-}Hong Lee and Deok{-}Hwan Kim and Chan{-}Min Ahn}, editor = {Jan van Leeuwen and Giuseppe F. Italiano and Wiebe van der Hoek and Christoph Meinel and Harald Sack and Frantisek Pl{\'{a}}sil}, title = {Multi-document Summarization Based on Cluster Using Non-negative Matrix Factorization}, booktitle = {{SOFSEM} 2007: Theory and Practice of Computer Science, 33rd Conference on Current Trends in Theory and Practice of Computer Science, Harrachov, Czech Republic, January 20-26, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4362}, pages = {761--770}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-69507-3\_66}, doi = {10.1007/978-3-540-69507-3\_66}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sofsem/ParkLKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/ParkLKA07, author = {Sun Park and Ju{-}Hong Lee and Deok{-}Hwan Kim and Chan{-}Min Ahn}, editor = {Guozhu Dong and Xuemin Lin and Wei Wang and Yun Yang and Jeffrey Xu Yu}, title = {Multi-document Summarization Using Weighted Similarity Between Topic and Clustering-Based Non-negative Semantic Feature}, booktitle = {Advances in Data and Web Management, Joint 9th Asia-Pacific Web Conference, APWeb 2007, and 8th International Conference, on Web-Age Information Management, {WAIM} 2007, Huang Shan, China, June 16-18, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4505}, pages = {108--115}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72524-4\_14}, doi = {10.1007/978-3-540-72524-4\_14}, timestamp = {Mon, 16 Mar 2020 17:44:09 +0100}, biburl = {https://dblp.org/rec/conf/waim/ParkLKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimNSWY06, author = {Hyejung Kim and Byeong{-}Gyu Nam and Ju{-}Ho Sohn and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 231-MHz, 2.18-mW 32-bit Logarithmic Arithmetic Unit for Fixed-Point 3-D Graphics System}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {11}, pages = {2373--2381}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.882887}, doi = {10.1109/JSSC.2006.882887}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimNSWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnWLKWY06, author = {Ju{-}Ho Sohn and Jeong{-}Ho Woo and Min{-}wuk Lee and Hyejung Kim and Ramchan Woo and Hoi{-}Jun Yoo}, title = {A 155-mW 50-m vertices/s graphics processor with fixed-point programmable vertex shader for mobile applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {5}, pages = {1081--1091}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.872869}, doi = {10.1109/JSSC.2006.872869}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnWLKWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciarp/ParkLPCK06, author = {Tae{-}Su Park and Ju{-}Hong Lee and Sang Ho Park and Bumghi Choi and Deok{-}Hwan Kim}, editor = {Jos{\'{e}} Francisco Mart{\'{\i}}nez Trinidad and Jes{\'{u}}s Ariel Carrasco{-}Ochoa and Josef Kittler}, title = {Search Method of Time Sensitive Frequent Itemsets in Data Streams}, booktitle = {Progress in Pattern Recognition, Image Analysis and Applications, 11th Iberoamerican Congress in Pattern Recognition, {CIARP} 2006, Cancun, Mexico, November 14-17, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4225}, pages = {511--518}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892755\_53}, doi = {10.1007/11892755\_53}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ciarp/ParkLPCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/KimLY06, author = {Ho Joon Kim and Juho Lee and Hyun Seung Yang}, editor = {De{-}Shuang Huang and Kang Li and George W. Irwin}, title = {Robust Real-Time Face Detection Using Hybrid Neural Networks}, booktitle = {Computational Intelligence and Bioinformatics, International Conference on Intelligent Computing, {ICIC} 2006, Kunming, China, August 16-19, 2006. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4115}, pages = {721--730}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11816102\_76}, doi = {10.1007/11816102\_76}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/KimLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/KimLY06, author = {Ho Joon Kim and Juho Lee and Hyun Seung Yang}, editor = {Irwin King and Jun Wang and Laiwan Chan and DeLiang L. Wang}, title = {A Weighted {FMM} Neural Network and Its Application to Face Detection}, booktitle = {Neural Information Processing, 13th International Conference, {ICONIP} 2006, Hong Kong, China, October 3-6, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4233}, pages = {177--186}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11893257\_20}, doi = {10.1007/11893257\_20}, timestamp = {Fri, 16 Aug 2024 07:48:25 +0200}, biburl = {https://dblp.org/rec/conf/iconip/KimLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/KimRLY06, author = {Ho Joon Kim and Tae{-}Wan Ryu and Juho Lee and Hyun Seung Yang}, editor = {Qiang Yang and Geoffrey I. Webb}, title = {Face Detection Using an Adaptive Skin-Color Filter and {FMM} Neural Networks}, booktitle = {{PRICAI} 2006: Trends in Artificial Intelligence, 9th Pacific Rim International Conference on Artificial Intelligence, Guilin, China, August 7-11, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4099}, pages = {1171--1175}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11801603\_155}, doi = {10.1007/11801603\_155}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/pricai/KimRLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShinZK06, author = {Hosun Shin and Naeun Zang and Juho Kim}, title = {Stochastic Glitch Estimation and Path Balancing for Statistical Optimization}, booktitle = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September 24-27, 2006}, pages = {85--88}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SOCC.2006.283851}, doi = {10.1109/SOCC.2006.283851}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShinZK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sofsem/KimPKLP06, author = {Young Kim and Sang Ho Park and Tae{-}Soon Kim and Ju{-}Hong Lee and Tae{-}Su Park}, editor = {Jir{\'{\i}} Wiedermann and Gerard Tel and Jaroslav Pokorn{\'{y}} and M{\'{a}}ria Bielikov{\'{a}} and Julius Stuller}, title = {An Efficient Index Scheme for {XML} Databases}, booktitle = {{SOFSEM} 2006: Theory and Practice of Computer Science, 32nd Conference on Current Trends in Theory and Practice of Computer Science, Mer{\'{\i}}n, Czech Republic, January 21-27, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3831}, pages = {370--378}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11611257\_35}, doi = {10.1007/11611257\_35}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/sofsem/KimPKLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/BangLKLK05, author = {Young{-}Bong Bang and Kyung{-}min Lee and Juho Kook and Wonseok Lee and In{-}su Kim}, title = {Micro parts assembly system with micro gripper and {RCC} unit}, journal = {{IEEE} Trans. Robotics}, volume = {21}, number = {3}, pages = {465--470}, year = {2005}, url = {https://doi.org/10.1109/TRO.2004.838028}, doi = {10.1109/TRO.2004.838028}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/BangLKLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeNSCKKY05, author = {Min{-}wuk Lee and Byeong{-}Gyu Nam and Ju{-}Ho Sohn and Namjun Cho and Hyejung Kim and Kwanho Kim and Hoi{-}Jun Yoo}, title = {A fixed-point 3D graphics library with energy-efficient cache architecture for mobile multimedia systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {4602--4605}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465657}, doi = {10.1109/ISCAS.2005.1465657}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeNSCKKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoKKDJ04, author = {Hyeyoung Cho and Daeyoung Kim and Juhong Kim and Yoonmee Doh and Jongsoo Jang}, editor = {Hyun{-}Kook Kahng}, title = {Network Processor Based Network Intrusion Detection System}, booktitle = {Information Networking, Networking Technologies for Broadband and Mobile Networks, International Conference {ICOIN} 2004, Busan, Korea, February 18-20, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3090}, pages = {973--982}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25978-7\_98}, doi = {10.1007/978-3-540-25978-7\_98}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoKKDJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/HaZKLS04, author = {Juhong Ha and Yu Zheng and Byeongchang Kim and Gary Geunbae Lee and Yoon{-}Suk Seong}, editor = {Keh{-}Yih Su and Jun'ichi Tsujii and Jong{-}Hyeok Lee and Oi Yee Kwong}, title = {High Speed Unknown Word Prediction Using Support Vector Machine for Chinese Text-to-Speech Systems}, booktitle = {Natural Language Processing - {IJCNLP} 2004, First International Joint Conference, Hainan Island, China, March 22-24, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3248}, pages = {509--517}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30211-7\_54}, doi = {10.1007/978-3-540-30211-7\_54}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/HaZKLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HaZLSK04, author = {Juhong Ha and Yu Zheng and Gary Geunbae Lee and Yoon{-}Suk Seong and Byeongchang Kim}, title = {High quality text-to-pinyin conversion using two-phase unknown word prediction}, booktitle = {8th International Conference on Spoken Language Processing, {INTERSPEECH-ICSLP} 2004, Jeju Island, Korea, October 4-8, 2004}, pages = {2565--2568}, publisher = {{ISCA}}, year = {2004}, url = {https://doi.org/10.21437/Interspeech.2004-482}, doi = {10.21437/INTERSPEECH.2004-482}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HaZLSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/ChoiBKLKKKSS04, author = {Key{-}Sun Choi and Hee{-}Sook Bae and Wonseok Kang and Juho Lee and Eunhe Kim and Hekyeong Kim and Donghee Kim and Youngbin Song and Hyosik Shin}, title = {Korean-Chinese-Japanese Multilingual Wordnet with Shared Semantic Hierarchy}, booktitle = {Proceedings of the Fourth International Conference on Language Resources and Evaluation, {LREC} 2004, May 26-28, 2004, Lisbon, Portugal}, publisher = {European Language Resources Association}, year = {2004}, url = {http://www.lrec-conf.org/proceedings/lrec2004/summaries/787.htm}, timestamp = {Mon, 19 Aug 2019 15:22:43 +0200}, biburl = {https://dblp.org/rec/conf/lrec/ChoiBKLKKKSS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/LeeKJHL03, author = {Jung{-}sik Lee and Jin{-}Hee Kim and Dong{-}kun Jee and Jae{-}Jeong Hwang and Ju{-}Hong Lee}, editor = {Jiming Liu and Yiu{-}ming Cheung and Hujun Yin}, title = {Blind Equalization Using {RBF} and {HOS}}, booktitle = {Intelligent Data Engineering and Automated Learning, 4th International Conference, {IDEAL} 2003, Hong Kong, China, March 21-23, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2690}, pages = {442--446}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45080-1\_59}, doi = {10.1007/978-3-540-45080-1\_59}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/LeeKJHL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/KimLK02, author = {Sungjae Kim and Hyungwoo Lee and Juho Kim}, title = {Concurrent Gate Re-Sizing and Buffer Insertion to Reduce Glitch Power in {CMOS} Digital Circuit Design}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {85-A}, number = {1}, pages = {234--240}, year = {2002}, url = {http://search.ieice.org/bin/summary.php?id=e85-a\_1\_234}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/KimLK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OnoderaKDNKTH01, author = {Hidetoshi Onodera and Andrew B. Kahng and Wayne Wei{-}Ming Dai and Sani R. Nassif and Juho Kim and Akira Tanabe and Toshihiro Hattori}, editor = {Satoshi Goto}, title = {Beyond the red brick wall (panel): challenges and solutions in 50nm physical design}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {267--268}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370344}, doi = {10.1145/370155.370344}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OnoderaKDNKTH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/LeeKLCC00, author = {Ju{-}Hong Lee and Deok{-}Hwan Kim and Seok{-}Lyong Lee and Chin{-}Wan Chung and Guang{-}Ho Cha}, title = {Distributed similarity search algorithm in distributed heterogeneous multimedia databases}, journal = {Inf. Process. Lett.}, volume = {75}, number = {1-2}, pages = {35--42}, year = {2000}, url = {https://doi.org/10.1016/S0020-0190(00)00068-5}, doi = {10.1016/S0020-0190(00)00068-5}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/LeeKLCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LeeCKLC00, author = {Seok{-}Lyong Lee and Seok{-}Ju Chun and Deok{-}Hwan Kim and Ju{-}Hong Lee and Chin{-}Wan Chung}, editor = {David B. Lomet and Gerhard Weikum}, title = {Similarity Search for Multidimensional Data Sequences}, booktitle = {Proceedings of the 16th International Conference on Data Engineering, San Diego, California, USA, February 28 - March 3, 2000}, pages = {599--608}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICDE.2000.839473}, doi = {10.1109/ICDE.2000.839473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/LeeCKLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwan/JuholaAML00, author = {Arto Juhola and Kimmo Ahola and Jarmo M{\"{o}}ls{\"{a}} and Sami Lehtonen}, editor = {Hiroshi Yasuda}, title = {Mobile Active Overlay}, booktitle = {Active Networks, Second International Working Conference, {IWAN} 2000, Tokyo, Japan, October 16-18, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1942}, pages = {416--422}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-40057-5\_30}, doi = {10.1007/3-540-40057-5\_30}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwan/JuholaAML00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/LeeKC99, author = {Ju{-}Hong Lee and Deok{-}Hwan Kim and Chin{-}Wan Chung}, editor = {Alex Delis and Christos Faloutsos and Shahram Ghandeharizadeh}, title = {Multi-dimensional Selectivity Estimation Using Compressed Histogram Information}, booktitle = {{SIGMOD} 1999, Proceedings {ACM} {SIGMOD} International Conference on Management of Data, June 1-3, 1999, Philadelphia, Pennsylvania, {USA}}, pages = {205--214}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/304182.304200}, doi = {10.1145/304182.304200}, timestamp = {Fri, 12 Mar 2021 14:14:34 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/LeeKC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KimD98, author = {Juho Kim and David Hung{-}Chang Du}, title = {Performance optimization by gate sizing and path sensitization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {5}, pages = {459--462}, year = {1998}, url = {https://doi.org/10.1109/43.703945}, doi = {10.1109/43.703945}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KimD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JiangSBK98, author = {Yanbin Jiang and Sachin S. Sapatnekar and Cyrus Bamji and Juho Kim}, title = {Interleaving buffer insertion and transistor sizing into a single optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {4}, pages = {625--633}, year = {1998}, url = {https://doi.org/10.1109/92.736136}, doi = {10.1109/92.736136}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JiangSBK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JiangSBK98, author = {Yanbin Jiang and Sachin S. Sapatnekar and Cyrus Bamji and Juho Kim}, title = {Combined transistor sizing with buffer insertion for timing optimization}, booktitle = {Proceedings of the {IEEE} 1998 Custom Integrated Circuits Conference, {CICC} 1998, Santa Clara, CA, USA, May 11-14, 1998}, pages = {605--608}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/CICC.1998.695051}, doi = {10.1109/CICC.1998.695051}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/JiangSBK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeKSK98, author = {Dong{-}Eun Lee and Seung{-}Il Kang and Jae{-}Hong Song and Juho Kim}, title = {{CADIC:} computer-aided design on internet with cryptosystem}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 1998, Hyatt Regency La Jolla, San Diego, California, USA, October 11-14,1998}, pages = {2670--2674}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICSMC.1998.725063}, doi = {10.1109/ICSMC.1998.725063}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeKSK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KimBJS97, author = {Juho Kim and Cyrus Bamji and Yanbin Jiang and Sachin S. Sapatnekar}, editor = {Andrew B. Kahng and Majid Sarrafzadeh}, title = {Concurrent transistor sizing and buffer insertion by considering cost-delay tradeoffs}, booktitle = {Proceedings of the 1997 International Symposium on Physical Design, {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997}, pages = {130--135}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/267665.267703}, doi = {10.1145/267665.267703}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KimBJS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/TangS94, author = {Ju{-}Ho Tang and Kimming So}, title = {Performance and Design Choices of Level-Two Caches}, booktitle = {27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, {USA}}, pages = {422--430}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/TangS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PeirST93, author = {Jih{-}Kwon Peir and Kimming So and Ju{-}Ho Tang}, editor = {C. Y. Roger Chen and P. Bruce Berra}, title = {Techniques to Enhance Cache Performance Across Parallel Program Sections}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {I:} Architecture}, pages = {12--19}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.173}, doi = {10.1109/ICPP.1993.173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/PeirST93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/PeirST91, author = {Jih{-}Kwon Peir and Kimming So and Ju{-}Ho Tang}, title = {Inter-Section Locality of Shared Data in Parallel Programs}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {278--286}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/PeirST91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.