default search action
Search dblp for Publications
export results for "Jin-Hong Kim"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChoKKKKK24, author = {Yonghyeon Cho and Yoochan Kim and Kihyun Kim and Jinwoo Kim and Hong{-}Yeon Kim and Youngjae Kim}, title = {Optimizing Multi-Level Checkpointing for Distributed Deep Learning Workloads on Cloud Spot {VM} Clusters}, journal = {{IEEE} Access}, volume = {12}, pages = {116891--116904}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3446770}, doi = {10.1109/ACCESS.2024.3446770}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoKKKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangHHPCK24, author = {Pooreum Jang and Byong Jo Hyon and Dae Yeon Hwang and Joon Sung Park and Jun{-}Hyuk Choi and Jin{-}Hong Kim}, title = {The Seamless Transition From Discrete Frequency Control to Phase Control Method Using Soft Starter}, journal = {{IEEE} Access}, volume = {12}, pages = {13469--13476}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3352635}, doi = {10.1109/ACCESS.2024.3352635}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangHHPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimBLK24, author = {Jin{-}Woo Kim and Jun{-}Seok Beom and Hong{-}Sub Lee and Nam{-}Seog Kim}, title = {A Compact Model for Interface-Type Self-Rectifying Resistive Memory With Experiment Verification}, journal = {{IEEE} Access}, volume = {12}, pages = {5081--5091}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3349463}, doi = {10.1109/ACCESS.2024.3349463}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimBLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSKCLBLKL24, author = {Chanyoung Park and Hongbum Kim and Jungwon Suh and Jinhee Ko and Jun Hwan Choi and Sang Yoon Lee and Jaewon Beom and Jae{-}Young Lim and Bo Ryun Kim and Hyo Kyung Lee}, title = {Multivariate Time-Series Cluster Analysis for Multiple Functional Domains to Identify Recovery Patterns of Patients With Fragility Hip Fracture After Surgery}, journal = {{IEEE} Access}, volume = {12}, pages = {48699--48712}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383786}, doi = {10.1109/ACCESS.2024.3383786}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKSKCLBLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/JinKH24, author = {Suyeong Jin and Sunwoo Kim and Jung{-}Wuk Hong}, title = {Parallelized plastic coupling of non-ordinary state-based peridynamics and finite element method}, journal = {Adv. Eng. Softw.}, volume = {196}, pages = {103718}, year = {2024}, url = {https://doi.org/10.1016/j.advengsoft.2024.103718}, doi = {10.1016/J.ADVENGSOFT.2024.103718}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aes/JinKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChowdhuryAGKC24, author = {A. M. Masum Bulbul Chowdhury and Sarmad Ahmad Abbasi and Nader Latifi Gharamaleki and Jin{-}young Kim and Hongsoo Choi}, title = {Virtual Reality-Enabled Intuitive Magnetic Manipulation of Microrobots and Nanoparticles}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {7}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300793}, doi = {10.1002/AISY.202300793}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/ChowdhuryAGKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimHKKC24, author = {Sungjoon Kim and Kyungho Hong and Hyungjin Kim and Min{-}Hwi Kim and Woo Young Choi}, title = {Overshoot-Suppressed Memristor Array with AlN Oxygen Barrier for Low-Power Operation in the Intelligent Neuromorphic Systems}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {8}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300797}, doi = {10.1002/AISY.202300797}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KimHKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/BatchuluunHKKP24, author = {Ganbayar Batchuluun and Jin Seong Hong and Seung Gu Kim and Jung Soo Kim and Kang Ryoung Park}, title = {Deep learning-based restoration of nonlinear motion blurred images for plant classification using multi-spectral images}, journal = {Appl. Soft Comput.}, volume = {162}, pages = {111866}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2024.111866}, doi = {10.1016/J.ASOC.2024.111866}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/BatchuluunHKKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/JungYKRK24, author = {Sumin Jung and Hyun Yang and Hyun Jeong Kim and Hong Gee Roh and Jin Tae Kwak}, title = {3D mobile regression vision transformer for collateral imaging in acute ischemic stroke}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {10}, pages = {2043--2054}, year = {2024}, url = {https://doi.org/10.1007/s11548-024-03229-5}, doi = {10.1007/S11548-024-03229-5}, timestamp = {Tue, 15 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/JungYKRK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/KimJJLL24, author = {Seon Kwon Kim and Hongjoo Jin and Kyungho Joo and Jiwon Lee and Dong Hoon Lee}, title = {{DROPSYS:} Detection of {ROP} attacks using system information}, journal = {Comput. Secur.}, volume = {140}, pages = {103813}, year = {2024}, url = {https://doi.org/10.1016/j.cose.2024.103813}, doi = {10.1016/J.COSE.2024.103813}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/KimJJLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/HongKKP24, author = {Jin Seong Hong and Seung Gu Kim and Jung Soo Kim and Kang Ryoung Park}, title = {Deep learning-based restoration of multi-degraded finger-vein image by non-uniform illumination and noise}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108036}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108036}, doi = {10.1016/J.ENGAPPAI.2024.108036}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/HongKKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/MahmoodWHKP24, author = {Tahir Mahmood and Abdul Wahid and Jin Seong Hong and Seung Gu Kim and Kang Ryoung Park}, title = {A novel convolution transformer-based network for histopathology-image classification using adaptive convolution and dynamic attention}, journal = {Eng. Appl. Artif. Intell.}, volume = {135}, pages = {108824}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108824}, doi = {10.1016/J.ENGAPPAI.2024.108824}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/MahmoodWHKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/UsmanSHKAGTP24, author = {Muhammad Usman and Haseeb Sultan and Jin Seong Hong and Seung Gu Kim and Rehan Akram and Hafiz Ali Hamza Gondal and Muhammad Hamza Tariq and Kang Ryoung Park}, title = {Dilated multilevel fused network for virus classification using transmission electron microscopy images}, journal = {Eng. Appl. Artif. Intell.}, volume = {138}, pages = {109348}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.109348}, doi = {10.1016/J.ENGAPPAI.2024.109348}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/UsmanSHKAGTP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WahidMHKUAP24, author = {Abdul Wahid and Tahir Mahmood and Jin Seong Hong and Seung Gu Kim and Nadeem Ullah and Rehan Akram and Kang Ryoung Park}, title = {Multi-path residual attention network for cancer diagnosis robust to a small number of training data of microscopic hyperspectral pathological images}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108288}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108288}, doi = {10.1016/J.ENGAPPAI.2024.108288}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/WahidMHKUAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/JinKCS24, author = {Xiaoxiang Jin and Gangsan Kim and Sangwon Chae and Hong{-}Yeop Song}, title = {Some Constructions and Mathematical Properties of Zero-Correlation-Zone Sonar Sequences}, journal = {Entropy}, volume = {26}, number = {4}, pages = {317}, year = {2024}, url = {https://doi.org/10.3390/e26040317}, doi = {10.3390/E26040317}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/JinKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ImranDZHTS24, author = {Muhammad Imran and Hong{-}Liang Dai and Fatima Sehar Zaidi and Xuelong Hu and Kim Phuc Tran and Jinsheng Sun}, title = {Analyzing out-of-control signals of T\({}^{\mbox{2}}\) control chart for compositional data using artificial neural networks}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {E}}, pages = {122165}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122165}, doi = {10.1016/J.ESWA.2023.122165}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ImranDZHTS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/SimSMMYKH24, author = {Yuna Sim and Seungseok Sin and Jina Ma and Sangmi Moon and Young{-}Hwan You and Cheol Hong Kim and Intae Hwang}, title = {Deep neural network-based clustering algorithm for multiple flying reconfigurable intelligent surfaces-supported bulk systems}, journal = {{ICT} Express}, volume = {10}, number = {3}, pages = {583--587}, year = {2024}, url = {https://doi.org/10.1016/j.icte.2023.12.009}, doi = {10.1016/J.ICTE.2023.12.009}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/SimSMMYKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/HongCKLKKK24, author = {Hyeonseok Hong and Dahun Choi and Namjoon Kim and Haein Lee and Beom Jin Kang and Huibeom Kang and Hyun Kim}, title = {Survey of convolutional neural network accelerators on field-programmable gate array platforms: architectures and optimization techniques}, journal = {J. Real Time Image Process.}, volume = {21}, number = {3}, pages = {64}, year = {2024}, url = {https://doi.org/10.1007/s11554-024-01442-8}, doi = {10.1007/S11554-024-01442-8}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/HongCKLKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinLMJLYLCKHJPKLKK24, author = {Jahoon Jin and Soo{-}Min Lee and Kyunghwan Min and Sodam Ju and Jihoon Lim and Jisu Yook and Jihoon Lee and Hyunsu Chae and Kwonwoo Kang and Yunji Hong and Yeongcheol Jeong and Sungsik Park and Sang{-}Ho Kim and Jongwoo Lee and Joonsuk Kim and Sung{-}Ung Kwak}, title = {A 4-nm 16-Gb/s/pin Single-Ended {PAM-4} Parallel Transceiver With Switching-Jitter Compensation and Transmitter Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {184--195}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3319637}, doi = {10.1109/JSSC.2023.3319637}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JinLMJLYLCKHJPKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKHCY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{C-DNN:} An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous {CNN/SNN} Core Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {157--172}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3330483}, doi = {10.1109/JSSC.2023.3330483}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKHCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/UmKHKY24, author = {Soyeon Um and Sangjin Kim and Seongyon Hong and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{LOG-CIM:} An Energy-Efficient Logarithmic Quantization Computing-In-Memory Processor With Exponential Parallel Data Mapping and Zero-Aware 6T Dual-WL Cell}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3330--3341}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3399706}, doi = {10.1109/JSSC.2024.3399706}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/UmKHKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/JhinSKHJPPLMJ24, author = {Sheo Yon Jhin and Heejoo Shin and Sujie Kim and Seoyoung Hong and Minju Jo and Solhee Park and Noseong Park and Seungbeom Lee and Hwiyoung Maeng and Seungmin Jeon}, title = {Attentive neural controlled differential equations for time-series classification and forecasting}, journal = {Knowl. Inf. Syst.}, volume = {66}, number = {3}, pages = {1885--1915}, year = {2024}, url = {https://doi.org/10.1007/s10115-023-01977-5}, doi = {10.1007/S10115-023-01977-5}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kais/JhinSKHJPPLMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKHKCHY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Jiwon Choi and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {28--37}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3330169}, doi = {10.1109/MM.2023.3330169}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimKHKCHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/OhBKJKHKCKHH24, author = {Seol Whan Oh and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning models for predicting the onset of chronic kidney disease after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {85}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02473-8}, doi = {10.1186/S12911-024-02473-8}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/OhBKJKHKCKHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/AbbasiANGKCKPNC24, author = {Sarmad Ahmad Abbasi and Awais Ahmed and Seungmin Noh and Nader Latifi Gharamaleki and Seonhyoung Kim and A. M. Masum Bulbul Chowdhury and Jin{-}young Kim and Salvador Pan{\'{e}} and Bradley J. Nelson and Hongsoo Choi}, title = {Autonomous 3D positional control of a magnetic microrobot using reinforcement learning}, journal = {Nat. Mac. Intell.}, volume = {6}, number = {1}, pages = {92--105}, year = {2024}, url = {https://doi.org/10.1038/s42256-023-00779-2}, doi = {10.1038/S42256-023-00779-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/AbbasiANGKCKPNC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/HanLYKB24, author = {Jinzhen Han and Seung Jun Lee and Hong Sik Yun and Kwang Bae Kim and Sang Won Bae}, title = {PyRINEX: a new multi-purpose Python package for {GNSS} {RINEX} data}, journal = {PeerJ Comput. Sci.}, volume = {10}, pages = {e1800}, year = {2024}, url = {https://doi.org/10.7717/peerj-cs.1800}, doi = {10.7717/PEERJ-CS.1800}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/HanLYKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimKPJ24, author = {Hongmin Kim and Dongchan Kim and Su Hyeon Park and Sangrok Jin}, title = {Hysteresis Compensation of Tendon-Sheath Mechanism Using Nonlinear Programming Based on Preisach Model}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {6}, pages = {5246--5253}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3390539}, doi = {10.1109/LRA.2024.3390539}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimKPJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/KimJMKJ24, author = {Seoyeon Kim and Young{-}Hoon Jung and Hong Min and Taesik Kim and Jinman Jung}, title = {Adaptive sensor management for {UGV} monitoring based on risk maps}, journal = {Robotics Auton. Syst.}, volume = {172}, pages = {104605}, year = {2024}, url = {https://doi.org/10.1016/j.robot.2023.104605}, doi = {10.1016/J.ROBOT.2023.104605}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ras/KimJMKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JungKMKJ24, author = {Jinman Jung and Taesik Kim and Hong Min and Seongmin Kim and Young{-}Hoon Jung}, title = {Intricacies of Opening Geometry Detection in Terrestrial Laser Scanning: An Analysis Using Point Cloud Data from {BLK360}}, journal = {Remote. Sens.}, volume = {16}, number = {5}, pages = {759}, year = {2024}, url = {https://doi.org/10.3390/rs16050759}, doi = {10.3390/RS16050759}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JungKMKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiHSJK24, author = {Jin{-}Young Choi and Eunju Ha and Minji Son and Jean{-}Hong Jeon and Jong{-}Wook Kim}, title = {Human Joint Angle Estimation Using Deep Learning-Based Three-Dimensional Human Pose Estimation for Application in a Real Environment}, journal = {Sensors}, volume = {24}, number = {12}, pages = {3823}, year = {2024}, url = {https://doi.org/10.3390/s24123823}, doi = {10.3390/S24123823}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiHSJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/WuASLPLPKMC24, author = {Jiajia Wu and Abraham Akinin and Jonathan Somayajulu and Min Suk Lee and Akshay Paul and Hongyu Lu and Yongjae Park and Seong{-}Jin Kim and Patrick P. Mercier and Gert Cauwenberghs}, title = {A Low-Noise Low-Power 0.001Hz-1kHz Neural Recording System-on-Chip With Sample-Level Duty-Cycling}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {18}, number = {2}, pages = {263--273}, year = {2024}, url = {https://doi.org/10.1109/TBCAS.2024.3368068}, doi = {10.1109/TBCAS.2024.3368068}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/WuASLPLPKMC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoiJKKPPY24, author = {Suhyeong Choi and Jinwook Jung and Andrew B. Kahng and Minsoo Kim and Chul{-}Hong Park and Bodhisatta Pramanik and Dooseok Yoon}, title = {{PROBE3.0:} {A} Systematic Framework for Design-Technology Pathfinding With Improved Design Enablement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {4}, pages = {1218--1231}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3334591}, doi = {10.1109/TCAD.2023.3334591}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoiJKKPPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeHSKH24, author = {Sangwon Lee and Jin{-}Su Hong and Jaehoon Shim and Minha Kim and Jung{-}Ik Ha}, title = {Magnetic Field Synthesis of Electromagnetic Navigation Systems in Current Limits}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {7}, pages = {7477--7487}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3306399}, doi = {10.1109/TIE.2023.3306399}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeHSKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HaRKH24, author = {Jihun Ha and J. Prasanth Ram and Young{-}Jin Kim and Junho Hong}, title = {Data-Driven Two-Stage Fault Detection and Diagnosis Method for Photovoltaic Power Generation}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--11}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3351249}, doi = {10.1109/TIM.2024.3351249}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/HaRKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeiYLAKT24, author = {Hongjiang Lei and Fangtao Yang and Hongwu Liu and Imran Shafique Ansari and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {On Secure NOMA-Aided Semi-Grant-Free Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {1}, pages = {74--90}, year = {2024}, url = {https://doi.org/10.1109/TWC.2023.3275946}, doi = {10.1109/TWC.2023.3275946}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/LeiYLAKT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/uais/KimLKH24, author = {JooYeong Kim and ChungHa Lee and JuYeon Kim and Jin{-}Hyuk Hong}, title = {Interactive description to enhance accessibility and experience of deaf and hard-of-hearing individuals in museums}, journal = {Univers. Access Inf. Soc.}, volume = {23}, number = {2}, pages = {913--926}, year = {2024}, url = {https://doi.org/10.1007/s10209-023-00983-2}, doi = {10.1007/S10209-023-00983-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/uais/KimLKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimMKAKKSS24, author = {Seungnyun Kim and Jihoon Moon and Jinhong Kim and Yongjun Ahn and Donghoon Kim and Sunwoo Kim and Kyuhong Shim and Byonghyo Shim}, title = {Role of Sensing and Computer Vision in 6G Wireless Communications}, journal = {{IEEE} Wirel. Commun.}, volume = {31}, number = {5}, pages = {264--271}, year = {2024}, url = {https://doi.org/10.1109/MWC.016.2300526}, doi = {10.1109/MWC.016.2300526}, timestamp = {Tue, 15 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/KimMKAKKSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/LaiWKDNYKX24, author = {Bingkun Lai and Jinbo Wen and Jiawen Kang and Hongyang Du and Jiangtian Nie and Changyan Yi and Dong In Kim and Shengli Xie}, title = {Resource-Efficient Generative Mobile Edge Networks in 6G Era: Fundamentals, Framework and Case Study}, journal = {{IEEE} Wirel. Commun.}, volume = {31}, number = {4}, pages = {66--74}, year = {2024}, url = {https://doi.org/10.1109/MWC.007.2300582}, doi = {10.1109/MWC.007.2300582}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/LaiWKDNYKX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/HongLKCYLLK24, author = {Jihyeong Hong and Yokyung Lee and Dae Hyun Kim and Daeun Choi and Yeo{-}Jin Yoon and Gyu{-}cheol Lee and Zucheul Lee and Juho Kim}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {A Context-Aware Onboarding Agent for Metaverse Powered by Large Language Models}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3661579}, doi = {10.1145/3643834.3661579}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/HongLKCYLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SongJL0KL24, author = {Jaeyong Song and Hongsun Jang and Hunseong Lim and Jaewon Jung and Youngsok Kim and Jinho Lee}, title = {GraNNDis: Fast Distributed Graph Neural Network Training Framework for Multi-Server Clusters}, booktitle = {Proceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2024, Long Beach, CA, USA, October 14-16, 2024}, pages = {91--107}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3656019.3676892}, doi = {10.1145/3656019.3676892}, timestamp = {Mon, 14 Oct 2024 14:14:29 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/SongJL0KL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/WuHWKCZW24, author = {Zhaolong Wu and Abul Hasan and Jinge Wu and Yunsoo Kim and Jason Pui Yin Cheung and Teng Zhang and Honghan Wu}, editor = {Tristan Naumann and Asma Ben Abacha and Steven Bethard and Kirk Roberts and Danielle S. Bitterman}, title = {KnowLab{\_}AIMed at {MEDIQA-CORR} 2024: Chain-of-Though (CoT) prompting strategies for medical error detection and correction}, booktitle = {Proceedings of the 6th Clinical Natural Language Processing Workshop, ClinicalNLP@NAACL 2024, Mexico City, Mexico, June 21, 2024}, pages = {353--359}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.clinicalnlp-1.33}, doi = {10.18653/V1/2024.CLINICALNLP-1.33}, timestamp = {Thu, 26 Sep 2024 17:06:35 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/WuHWKCZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ParkKRKHYR24, author = {Se Jin Park and Chae Won Kim and Hyeongseop Rha and Minsu Kim and Joanna Hong and Jeong Hun Yeo and Yong Man Ro}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Let's Go Real Talk: Spoken Dialogue Model for Face-to-Face Conversation}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {16334--16348}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.acl-long.860}, doi = {10.18653/V1/2024.ACL-LONG.860}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ParkKRKHYR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amc/JoeHSKJY24, author = {Beom{-}Jin Joe and Suk{-}Yoon Hong and Jee{-}Hun Song and Hyung{-}Taek Kim and Jee{-}Yeon Jeon and Sang{-}Jae Yeo}, title = {Incipient Fault Motion Signal Generation for Electric Motor Drives Considering Structure-Electric Interactions}, booktitle = {18th {IEEE} International Conference on Advanced Motion Control, {AMC} 2024, Kyoto, Japan, February 28 - March 1, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AMC58169.2024.10505662}, doi = {10.1109/AMC58169.2024.10505662}, timestamp = {Thu, 02 May 2024 22:05:02 +0200}, biburl = {https://dblp.org/rec/conf/amc/JoeHSKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/HongYJLYHJMJLLJ24, author = {Sunghoon Hong and Deunsol Yoon and Whiyoung Jung and Jinsang Lee and Hyundam Yoo and Jiwon Ham and Suhyun Jung and Chanwoo Moon and Yeontae Jung and Kanghoon Lee and Woohyung Lim and Somin Jeon and Myounggu Lee and Sohui Hong and Jaesang Lee and Hangyoul Jang and Changhyun Kwak and Jeonghyeon Park and Changhoon Kang and Jungki Kim}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Naphtha Cracking Center Scheduling Optimization using Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {2806--2808}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems / {ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3663294}, doi = {10.5555/3635637.3663294}, timestamp = {Wed, 26 Jun 2024 14:06:50 +0200}, biburl = {https://dblp.org/rec/conf/atal/HongYJLYHJMJLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionlp/KimWAW24, author = {Yunsoo Kim and Jinge Wu and Yusuf Abdulle and Honghan Wu}, editor = {Dina Demner{-}Fushman and Sophia Ananiadou and Makoto Miwa and Kirk Roberts and Junichi Tsujii}, title = {MedExQA: Medical Question Answering Benchmark with Multiple Explanations}, booktitle = {Proceedings of the 23rd Workshop on Biomedical Natural Language Processing, BioNLP@ACL 2024, Bangkok, Thailand, August 16, 2024}, pages = {167--181}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.bionlp-1.14}, timestamp = {Thu, 26 Sep 2024 17:06:35 +0200}, biburl = {https://dblp.org/rec/conf/bionlp/KimWAW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoePJKYHS24, author = {Kiroong Choe and Seokhyeon Park and Seokweon Jung and Hyeok Kim and Ji Won Yang and Hwajung Hong and Jinwook Seo}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Supporting Novice Researchers to Write Literature Review using Language Models}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {307:1--307:9}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3650787}, doi = {10.1145/3613905.3650787}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoePJKYHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/CaoZLXLK24, author = {Zhixiong Cao and Hai{-}Tao Zheng and Yangning Li and Jin Xu and Rongsheng Li and Hong{-}Gee Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Depth Aware Hierarchical Replay Continual Learning for Knowledge Based Question Answering}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {4654--4664}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.416}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/CaoZLXLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LeeK24, author = {Joosung Lee and Jinhong Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Enhanced Facet Generation with {LLM} Editing}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {5856--5865}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.519}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/LeeK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeLLKTYLWYDZ22, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Ioannis Katsavounidis and Radu Timofte and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Zhiyuan Li and Hao Wei and Chenyang Ge and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Menghan Zhou and Yiqiang Yan and Kihwan Yoon and Ganzorig Gankhuyag and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Hyeon{-}Cheol Moon and Tae Hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {5838--5856}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00592}, doi = {10.1109/CVPRW63382.2024.00592}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeLLKTYLWYDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeVTZLWLLPSK22, author = {Marcos V. Conde and Florin{-}Alexandru Vasluianu and Radu Timofte and Jianxing Zhang and Jia Li and Fan Wang and Xiaopeng Li and Zikun Liu and Hyunhee Park and Sejun Song and Changho Kim and Zhijuan Huang and Hongyuan Yu and Cheng Wan and Wending Xiang and Jiamin Lin and Hang Zhong and Qiaosong Zhang and Yue Sun and Xuanwu Yin and Kunlong Zuo and Senyan Xu and Siyuan Jiang and Zhijing Sun and Jiaying Zhu and Liangyan Li and Ke Chen and Yunzhe Li and Yimo Ning and Guanhua Zhao and Jun Chen and Jinyang Yu and Kele Xu and Qisheng Xu and Yong Dou and Jianxing Zhang and Jia Li and Fan Wang and Xiaopeng Li and Zikun Liu and Hyunhee Park and Sejun Song and Changho Kim and Zhijuan Huang and Hongyuan Yu and Cheng Wan and Wending Xiang and Jiamin Lin and Hang Zhong and Qiaosong Zhang and Yue Sun and Xuanwu Yin and Kunlong Zuo and Senyan Xu and Siyuan Jiang and Zhijing Sun and Jiaying Zhu and Liangyan Li and Ke Chen and Yunzhe Li and Yimo Ning and Guanhua Zhao and Jun Chen and Jinyang Yu and Kele Xu and Qisheng Xu and Yong Dou}, title = {Deep {RAW} Image Super-Resolution. {A} {NTIRE} 2024 Challenge Survey}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {6745--6759}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00668}, doi = {10.1109/CVPRW63382.2024.00668}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeVTZLWLLPSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimAKLMSKHLLBWG22, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Wooyoung Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {7356--7365}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00731}, doi = {10.1109/CVPRW63382.2024.00731}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimAKLMSKHLLBWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuWLVZGZZTJWWL22, author = {Xiaoning Liu and Zongwei Wu and Ao Li and Florin{-}Alexandru Vasluianu and Yulun Zhang and Shuhang Gu and Le Zhang and Ce Zhu and Radu Timofte and Zhi Jin and Hongjun Wu and Chenxi Wang and Haitao Ling and Yuanhao Cai and Hao Bian and Yuxin Zheng and Jing Lin and Alan L. Yuille and Ben Shao and Jin Guo and Tianli Liu and Mohao Wu and Yixu Feng and Shuo Hou and Haotian Lin and Yu Zhu and Peng Wu and Wei Dong and Jinqiu Sun and Yanning Zhang and Qingsen Yan and Wenbin Zou and Weipeng Yang and Yunxiang Li and Qiaomu Wei and Tian Ye and Sixiang Chen and Zhao Zhang and Suiyi Zhao and Bo Wang and Yan Luo and Zhichao Zuo and Mingshen Wang and Junhu Wang and Yanyan Wei and Xiaopeng Sun and Yu Gao and Jiancheng Huang and Hongming Chen and Xiang Chen and Hui Tang and Yuanbin Chen and Yuanbo Zhou and Xinwei Dai and Xintao Qiu and Wei Deng and Qinquan Gao and Tong Tong and Mingjia Li and Jin Hu and Xinyu He and Xiaojie Guo and Sabarinathan and K. Uma and A. Sasithradevi and B. Sathya Bama and S. Mohamed Mansoor Roomi and V. Srivatsav and Jinjuan Wang and Long Sun and Qiuying Chen and Jiahong Shao and Yizhi Zhang and Marcos V. Conde and Daniel Feijoo and Juan C. Benito and Alvaro Garc{\'{\i}}a and Jaeho Lee and Seongwan Kim and Sharif S. M. A and Nodirkhuja Khujaev and Roman Tsoy and Ali Murtaza and Uswah Khairuddin and Ahmad 'Athif Mohd Faudzi and Sampada Malagi and Amogh Joshi and Nikhil Akalwadi and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudenagudi and Wenyi Lian and Wenjing Lian and Jagadeesh Kalyanshetti and Vijayalaxmi Ashok Aralikatti and Palani Yashaswini and Nitish Upasi and Dikshit Hegde and Ujwala Patil and Sujata C and Xingzhuo Yan and Wei Hao and Minghan Fu and Pooja Choksy and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Hailong Yan and Yunkai Zhang and Baiang Li and Jingyi Zhang and Huan Zheng}, title = {{NTIRE} 2024 Challenge on Low Light Image Enhancement: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {6571--6594}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00655}, doi = {10.1109/CVPRW63382.2024.00655}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuWLVZGZZTJWWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NoorJKHP22, author = {Nadhira Noor and Fabianaugie Jametoni and Jinbeom Kim and Hyunsu Hong and In Kyu Park}, title = {Efficient Skeleton-Based Action Recognition for Real-Time Embedded Systems}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {5889--5897}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00596}, doi = {10.1109/CVPRW63382.2024.00596}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/NoorJKHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YangTLLGZZCZAAC22, author = {Ren Yang and Radu Timofte and Bingchen Li and Xin Li and Mengxi Guo and Shijie Zhao and Li Zhang and Zhibo Chen and Dongyang Zhang and Yash Arora and Aditya Arora and Yuanbin Chen and Hui Tang and Tao Wang and Longxuan Zhao and Bin Chen and Tong Tong and Qiao Mo and Jingwei Bao and Jinhua Hao and Yukang Ding and Hantang Li and Ming Sun and Chao Zhou and Shuyuan Zhu and Zhi Jin and Wei Wang and Dandan Zhan and Jiawei Wu and Jiahao Wu and Luwei Tu and Hongyu An and Xinfeng Zhang and Woon{-}Ha Yeo and Wang{-}Taek Oh and Young{-}Il Kim and Han{-}Cheol Ryu and Long Sun and Mingjun Zhen and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Yapeng Du and Ao Li and Ziyang He and Lei Luo and Ce Zhu and Xin Yao and Sunder Ali Khowaja and Ikhyun Lee and Jaeho Lee and Seongwan Kim and Sharif S. M. A and Nodirkhuja Khujaev and Roman Tsoy}, title = {{NTIRE} 2024 Challenge on Blind Enhancement of Compressed Image: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {6524--6535}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00652}, doi = {10.1109/CVPRW63382.2024.00652}, timestamp = {Fri, 11 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YangTLLGZZCZAAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/LiWCYYRKLP24, author = {Jian{-}Sian Li and Hsiao{-}Hsuan Wan and Chao{-}Ching Chiang and Timothy Jinsoo Yoo and Meng{-}Hsun Yu and Fan Ren and Honggyu Kim and Yu{-}Te Liao and Stephen J. Pearton}, title = {Demonstration of Record Breakdown up to 13.5 kV in NiO/{\(\beta\)}-Ga2O3 Vertical Rectifiers}, booktitle = {Device Research Conference, {DRC} 2024, College Park, MD, USA, June 24-26, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/DRC61706.2024.10605351}, doi = {10.1109/DRC61706.2024.10605351}, timestamp = {Fri, 16 Aug 2024 11:14:11 +0200}, biburl = {https://dblp.org/rec/conf/drc/LiWCYYRKLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/MoonWPJMCLKK24, author = {Seokha Moon and Hyun Woo and Hongbeen Park and Haeji Jung and Reza Mahjourian and Hyung{-}Gun Chi and Hyerin Lim and Sangpil Kim and Jinkyu Kim}, editor = {Ales Leonardis and Elisa Ricci and Stefan Roth and Olga Russakovsky and Torsten Sattler and G{\"{u}}l Varol}, title = {VisionTrap: Vision-Augmented Trajectory Prediction Guided by Textual Descriptions}, booktitle = {Computer Vision - {ECCV} 2024 - 18th European Conference, Milan, Italy, September 29-October 4, 2024, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {15064}, pages = {361--379}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-72658-3\_21}, doi = {10.1007/978-3-031-72658-3\_21}, timestamp = {Thu, 10 Oct 2024 11:14:01 +0200}, biburl = {https://dblp.org/rec/conf/eccv/MoonWPJMCLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HongKCK24, author = {Yeona Hong and Miseul Kim and Woo{-}Jin Chung and Hong{-}Goo Kang}, title = {Contextual Learning for Missing Speech Automatic Speech Recognition}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457193}, doi = {10.1109/ICEIC61013.2024.10457193}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/HongKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKJKHLY24, author = {Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Soyeon Kim and Seongyon Hong and Nayeong Lee and Hoi{-}Jun Yoo}, title = {A Low-Power Large-Language-Model Processor with Big-Little Network and Implicit-Weight-Generation for On-Device {AI}}, booktitle = {36th {IEEE} Hot Chips Symposium, {HCS} 2024, Stanford, CA, USA, August 25-27, 2024}, pages = {1}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HCS61935.2024.10665074}, doi = {10.1109/HCS61935.2024.10665074}, timestamp = {Wed, 09 Oct 2024 16:56:39 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKJKHLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/JangSJPKL24, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {345--360}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00034}, doi = {10.1109/HPCA57654.2024.00034}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/JangSJPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/BaekCKL24, author = {Jang{-}Woon Baek and Yun Won Choi and Jinhong Kim and Joon{-}Goo Lee}, title = {An Optimization Tool for Local Customized Object Detector in Edge Devices}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {702--704}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463403}, doi = {10.1109/ICAIIC60209.2024.10463403}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/BaekCKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/TariqAJSPKK24, author = {Muhammad Ashar Tariq and Mahnoor Ajmal and Euiri Jo and Malik Muhammad Saad and Seri Park and Jinhong Kim and Dongkyun Kim}, title = {Revolutionizing Surveillance: {A} Brief Survey of Edge {AI} Terminals in Road Infrastructure}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {281--285}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463313}, doi = {10.1109/ICAIIC60209.2024.10463313}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/TariqAJSPKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/WuKW24, author = {Jinge Wu and Yunsoo Kim and Honghan Wu}, title = {Hallucination Benchmark in Medical Visual Question Answering}, booktitle = {The Second Tiny Papers Track at {ICLR} 2024, Tiny Papers @ {ICLR} 2024, Vienna, Austria, May 11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=vxlXqOj4zv}, timestamp = {Fri, 26 Jul 2024 10:05:23 +0200}, biburl = {https://dblp.org/rec/conf/iclr/WuKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JangKKKLL24, author = {Youngsoo Jang and Geon{-}Hyeong Kim and Byoungjip Kim and Yu Jin Kim and Honglak Lee and Moontae Lee}, title = {Degeneration-free Policy Optimization: {RL} Fine-Tuning for Language Models without Degeneration}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=lwTshcWlmB}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/JangKKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ShinJKHCC24, author = {Hong{-}Gi Shin and Sukhyun Jeong and Eui{-}Yeon Kim and Sungho Hong and Young{-}Jin Cho and Yong{-}Hoon Choi}, title = {Synergistic Formulaic Alpha Generation for Quantitative Trading based on Reinforcement Learning}, booktitle = {International Conference on Information Networking, {ICOIN} 2024, Ho Chi Minh City, Vietnam, January 17-19, 2024}, pages = {42--46}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICOIN59985.2024.10572120}, doi = {10.1109/ICOIN59985.2024.10572120}, timestamp = {Mon, 22 Jul 2024 15:48:05 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ShinJKHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MoonPLK24, author = {Seokha Moon and Hongbeen Park and Jaekoo Lee and Jinkyu Kim}, title = {Learning Temporal Cues by Predicting Objects Move for Multi-camera 3D Object Detection}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6607--6613}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610934}, doi = {10.1109/ICRA57147.2024.10610934}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/MoonPLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Mon, 14 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KangWK24, author = {Hong Jin Kang and Kevin Wang and Miryung Kim}, title = {Scaling Code Pattern Inference with Interactive What-If Analysis}, booktitle = {Proceedings of the 46th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2024, Lisbon, Portugal, April 14-20, 2024}, pages = {234:1--234:12}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3597503.3639193}, doi = {10.1145/3597503.3639193}, timestamp = {Mon, 24 Jun 2024 15:20:25 +0200}, biburl = {https://dblp.org/rec/conf/icse/KangWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KimPSKLLKHKKCRCLKYC24, author = {Jihun Kim and Sangsu Park and Hongju Suh and Youngjae Kwon and Seonghun Lee and Yubin Lee and Kayoung Kim and Eungu Han and Jongil Kim and Kyu Sung Kim and Hyejung Choi and Seungwook Ryu and Su Jin Chae and Seho Lee and Soo Gil Kim and Jaeyun Yi and Seonyong Cha}, title = {Realistic Noise-aware Training as a Component of the Holistic ACiM Development Platform}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536981}, doi = {10.1109/IMW59701.2024.10536981}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KimPSKLLKHKKCRCLKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isace/MoonHK24, author = {KwangTae Moon and Jin{-}i Hong and Jongbae Kim}, editor = {Jin Song Dong and Masoumeh Izadi and Zhe Hou}, title = {Data-Driven Smart Living Lab: Enhancing Community-Based Rehabilitation and Sports Participation for Individuals with Disabilities}, booktitle = {Sports Analytics - First International Conference, {ISACE} 2024, Paris, France, July 12-13, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14794}, pages = {137--148}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-69073-0\_12}, doi = {10.1007/978-3-031-69073-0\_12}, timestamp = {Wed, 09 Oct 2024 23:04:01 +0200}, biburl = {https://dblp.org/rec/conf/isace/MoonHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/NohHLPKKKL24, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {245--260}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00027}, doi = {10.1109/ISCA59077.2024.00027}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/NohHLPKKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangKPSHK24, author = {Jung{-}Hye Hwang and Jubin Kang and Yongjae Park and Insang Son and Kieop Hong and Seong{-}Jin Kim}, title = {An Indirect Time-of-Flight Sensor with Adaptive Multiple Sampling for High Depth Precision}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10557959}, doi = {10.1109/ISCAS58744.2024.10557959}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangKPSHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLJPKWHJH24, author = {Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Hyeonho Park and Jeong{-}Hun Kim and Young{-}Jin Woo and Ju{-}Pyo Hong and Haifeng Jin and Sung{-}Wan Hong}, title = {8.7 {A} 92.7{\%} Peak Efficiency 12V-to-60V Input to 1.2V Output Hybrid {DC-DC} Converter Based on a Series-Parallel-Connected Switched Capacitor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {156--158}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454344}, doi = {10.1109/ISSCC49657.2024.10454344}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLJPKWHJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKJKHY24, author = {Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Soyeon Kim and Seongyon Hong and Hoi{-}Jun Yoo}, title = {20.5 C-Transformer: {A} 2.6-18.1{\(\mu\)}J/Token Homogeneous DNN-Transformer/Spiking-Transformer Processor with Big-Little Network and Implicit Weight Generation for Large Language Models}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {368--370}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454330}, doi = {10.1109/ISSCC49657.2024.10454330}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimKJKHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBCK24, author = {Yong{-}Jin Lee and Woojin Jang and Hong{-}Hyun Bae and Jeong{-}Hyun Cho and Hyun{-}Sik Kim}, title = {14.10 34.7A/mm\({}^{\mbox{2}}\) Scalable Distributed All-Digital 6{\texttimes}6 Dot-LDOs Featuring Freely Linkable Current-Sharing Network: {A} Fine-Grained On-Chip Power Delivery Solution in 28nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {272--274}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454454}, doi = {10.1109/ISSCC49657.2024.10454454}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/KimLLKHK24, author = {Hyunwoo Kim and Khanh{-}Duy Le and Gionnieve Lim and Dae Hyun Kim and Yoo Jin Hong and Juho Kim}, title = {DataDive: Supporting Readers' Contextualization of Statistical Statements with Data Exploration}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {623--639}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645155}, doi = {10.1145/3640543.3645155}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/KimLLKHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/llm4eval/KimCYLPLKK24, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, editor = {Clemencia Siro and Mohammad Aliannejadi and Hossein A. Rahmani and Nick Craswell and Charles L. A. Clarke and Guglielmo Faggioli and Bhaskar Mitra and Paul Thomas and Emine Yilmaz}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, booktitle = {Proceedings of The First Workshop on Large Language Models for Evaluation in Information Retrieval (LLM4Eval 2024) co-located with 10th International Conference on Online Publishing {(SIGIR} 2024), Washington D.C., USA, July 18, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3752}, pages = {66--91}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3752/paper5.pdf}, timestamp = {Wed, 16 Oct 2024 17:10:41 +0200}, biburl = {https://dblp.org/rec/conf/llm4eval/KimCYLPLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KimWAGW24, author = {Yunsoo Kim and Jinge Wu and Yusuf Abdulle and Yue Gao and Honghan Wu}, editor = {Marius George Linguraru and Qi Dou and Aasa Feragen and Stamatia Giannarou and Ben Glocker and Karim Lekadir and Julia A. Schnabel}, title = {Enhancing Human-Computer Interaction in Chest X-Ray Analysis Using Vision and Language Model with Eye Gaze Patterns}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2024 - 27th International Conference, Marrakesh, Morocco, October 6-10, 2024, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {15003}, pages = {184--194}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-72384-1\_18}, doi = {10.1007/978-3-031-72384-1\_18}, timestamp = {Thu, 10 Oct 2024 10:51:29 +0200}, biburl = {https://dblp.org/rec/conf/miccai/KimWAGW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/KangHGPK24, author = {Hong Jin Kang and Fabrice Harel{-}Canada and Muhammad Ali Gulzar and Nanyun Peng and Miryung Kim}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {Human-in-the-Loop Synthetic Text Data Inspection with Provenance Tracking}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {3118--3129}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-naacl.197}, doi = {10.18653/V1/2024.FINDINGS-NAACL.197}, timestamp = {Thu, 12 Sep 2024 13:29:32 +0200}, biburl = {https://dblp.org/rec/conf/naacl/KangHGPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/RyuBLC024, author = {Junghyun Ryu and Hongsu Byun and Myungcheol Lee and Jinchun Choi and Youngjae Kim}, title = {Evaluation of Erasure Coding and Opportunistic Offloading Algorithms Using {DPU} in Distributed Storage Systems}, booktitle = {13th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2024, Gangwon-do, Korea, Republic of, August 21-23, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/NVMSA63038.2024.10693658}, doi = {10.1109/NVMSA63038.2024.10693658}, timestamp = {Wed, 16 Oct 2024 14:33:06 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/RyuBLC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/ParkHSKKL24, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, editor = {Michel Steuwer and I{-}Ting Angelina Lee and Milind Chabbi}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, booktitle = {Proceedings of the 29th {ACM} {SIGPLAN} Annual Symposium on Principles and Practice of Parallel Programming, PPoPP 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {431--444}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627535.3638474}, doi = {10.1145/3627535.3638474}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/ParkHSKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tai4h/KimWAGW24, author = {Yunsoo Kim and Jinge Wu and Yusuf Abdulle and Yue Gao and Honghan Wu}, editor = {Hao Chen and Yuyin Zhou and Daguang Xu and Varut Vince Vardhanabhuti}, title = {Human-in-the-Loop Chest X-Ray Diagnosis: Enhancing Large Multimodal Models with Eye Fixation Inputs}, booktitle = {Trustworthy Artificial Intelligence for Healthcare - Second International Workshop, {TAI4H} 2024, Jeju, South Korea, August 4, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14812}, pages = {66--80}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-67751-9\_6}, doi = {10.1007/978-3-031-67751-9\_6}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tai4h/KimWAGW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KimGHSJ24, author = {Minchul Kim and Shangqian Gao and Yen{-}Chang Hsu and Yilin Shen and Hongxia Jin}, title = {Token Fusion: Bridging the Gap between Token Pruning and Token Merging}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {1372--1381}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00141}, doi = {10.1109/WACV57701.2024.00141}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KimGHSJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ShinKYXKGKYC24, author = {Inkyu Shin and Dahun Kim and Qihang Yu and Jun Xie and Hong{-}Seok Kim and Bradley Green and In So Kweon and Kuk{-}Jin Yoon and Liang{-}Chieh Chen}, title = {Video-kMaX: {A} Simple Unified Approach for Online and Near-Online Video Panoptic Segmentation}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {228--238}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00030}, doi = {10.1109/WACV57701.2024.00030}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ShinKYXKGKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisec/KwaoLPHKB24, author = {Edward Kwao and Jaehun Lee and Jinmo Park and Byeongdo Hong and Taehoon Kim and Inkyu Bang}, editor = {Yongdae Kim and Jong Kim and Farinaz Koushanfar and Kasper Rasmussen}, title = {Random Access Failure Attack on Cellular Networks: Forcing Timing Advance Misalignment}, booktitle = {Proceedings of the 17th {ACM} Conference on Security and Privacy in Wireless and Mobile Networks, WiSec 2024, Seoul, Republic of Korea, May 27-29, 2024}, pages = {248--253}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643833.3656125}, doi = {10.1145/3643833.3656125}, timestamp = {Thu, 27 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisec/KwaoLPHKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-02656, author = {SeokHyun Seo and Jinwoo Hong and Jungwoo Chae and Kyungyul Kim and Sangheum Hwang}, title = {{GTA:} Guided Transfer of Spatial Attention from Object-Centric Representations}, journal = {CoRR}, volume = {abs/2401.02656}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.02656}, doi = {10.48550/ARXIV.2401.02656}, eprinttype = {arXiv}, eprint = {2401.02656}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-02656.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-02710, author = {Hong{-}Gi Shin and Sukhyun Jeong and Eui{-}Yeon Kim and Sungho Hong and Young{-}Jin Cho and Yong{-}Hoon Choi}, title = {Synergistic Formulaic Alpha Generation for Quantitative Trading based on Reinforcement Learning}, journal = {CoRR}, volume = {abs/2401.02710}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.02710}, doi = {10.48550/ARXIV.2401.02710}, eprinttype = {arXiv}, eprint = {2401.02710}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-02710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05827, author = {Jinge Wu and Yunsoo Kim and Honghan Wu}, title = {Hallucination Benchmark in Medical Visual Question Answering}, journal = {CoRR}, volume = {abs/2401.05827}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05827}, doi = {10.48550/ARXIV.2401.05827}, eprinttype = {arXiv}, eprint = {2401.05827}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-17736, author = {Esla Timothy Anzaku and Hyesoo Hong and Jin{-}Woo Park and Wonjun Yang and Kangmin Kim and Jongbum Won and Deshika Vinoshani Kumari Herath and Arnout Van Messem and Wesley De Neve}, title = {Leveraging Human-Machine Interactions for Computer Vision Dataset Quality Enhancement}, journal = {CoRR}, volume = {abs/2401.17736}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.17736}, doi = {10.48550/ARXIV.2401.17736}, eprinttype = {arXiv}, eprint = {2401.17736}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-17736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05131, author = {Joseph Cho and Fachrina Dewi Puspitasari and Sheng Zheng and Jingyao Zheng and Lik{-}Hang Lee and Tae{-}Ho Kim and Choong Seon Hong and Chaoning Zhang}, title = {Sora as an {AGI} World Model? {A} Complete Survey on Text-to-Video Generation}, journal = {CoRR}, volume = {abs/2403.05131}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05131}, doi = {10.48550/ARXIV.2403.05131}, eprinttype = {arXiv}, eprint = {2403.05131}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05131.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05861, author = {Yoochan Kim and Kihyun Kim and Yonghyeon Cho and Jinwoo Kim and Awais Khan and Ki{-}Dong Kang and Baik{-}Song An and Myung{-}Hoon Cha and Hong{-}Yeon Kim and Youngjae Kim}, title = {DeepVM: Integrating Spot and On-Demand VMs for Cost-Efficient Deep Learning Clusters in the Cloud}, journal = {CoRR}, volume = {abs/2403.05861}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05861}, doi = {10.48550/ARXIV.2403.05861}, eprinttype = {arXiv}, eprint = {2403.05861}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06478, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, journal = {CoRR}, volume = {abs/2403.06478}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06478}, doi = {10.48550/ARXIV.2403.06478}, eprinttype = {arXiv}, eprint = {2403.06478}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06664, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, journal = {CoRR}, volume = {abs/2403.06664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06664}, doi = {10.48550/ARXIV.2403.06664}, eprinttype = {arXiv}, eprint = {2403.06664}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14140, author = {Jinyung Hong and Eun Som Jeon and Changhoon Kim and Keun Hee Park and Utkarsh Nath and Yezhou Yang and Pavan K. Turaga and Theodore P. Pavlic}, title = {Learning Decomposable and Debiased Representations via Attribute-Centric Information Bottlenecks}, journal = {CoRR}, volume = {abs/2403.14140}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14140}, doi = {10.48550/ARXIV.2403.14140}, eprinttype = {arXiv}, eprint = {2403.14140}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-16345, author = {Joosung Lee and Jinhong Kim}, title = {Enhanced Facet Generation with {LLM} Editing}, journal = {CoRR}, volume = {abs/2403.16345}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.16345}, doi = {10.48550/ARXIV.2403.16345}, eprinttype = {arXiv}, eprint = {2403.16345}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-16345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17458, author = {Jake Hesford and Daniel Cheng and Alan Wan and Larry Huynh and Seungho Kim and Hyoungshick Kim and Jin B. Hong}, title = {Expectations Versus Reality: Evaluating Intrusion Detection Systems in Practice}, journal = {CoRR}, volume = {abs/2403.17458}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17458}, doi = {10.48550/ARXIV.2403.17458}, eprinttype = {arXiv}, eprint = {2403.17458}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01580, author = {Seokha Moon and Hongbeen Park and Jungphil Kwon and Jaekoo Lee and Jinkyu Kim}, title = {Learning Temporal Cues by Predicting Objects Move for Multi-camera 3D Object Detection}, journal = {CoRR}, volume = {abs/2404.01580}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01580}, doi = {10.48550/ARXIV.2404.01580}, eprinttype = {arXiv}, eprint = {2404.01580}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-02370, author = {Yunsoo Kim and Jinge Wu and Yusuf Abdulle and Yue Gao and Honghan Wu}, title = {Enhancing Human-Computer Interaction in Chest X-ray Analysis using Vision and Language Model with Eye Gaze Patterns}, journal = {CoRR}, volume = {abs/2404.02370}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.02370}, doi = {10.48550/ARXIV.2404.02370}, eprinttype = {arXiv}, eprint = {2404.02370}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-02370.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08871, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, journal = {CoRR}, volume = {abs/2404.08871}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08871}, doi = {10.48550/ARXIV.2404.08871}, eprinttype = {arXiv}, eprint = {2404.08871}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14248, author = {Xiaoning Liu and Zongwei Wu and Ao Li and Florin{-}Alexandru Vasluianu and Yulun Zhang and Shuhang Gu and Le Zhang and Ce Zhu and Radu Timofte and Zhi Jin and Hongjun Wu and Chenxi Wang and Haitao Ling and Yuanhao Cai and Hao Bian and Yuxin Zheng and Jing Lin and Alan L. Yuille and Ben Shao and Jin Guo and Tianli Liu and Mohao Wu and Yixu Feng and Shuo Hou and Haotian Lin and Yu Zhu and Peng Wu and Wei Dong and Jinqiu Sun and Yanning Zhang and Qingsen Yan and Wenbin Zou and Weipeng Yang and Yunxiang Li and Qiaomu Wei and Tian Ye and Sixiang Chen and Zhao Zhang and Suiyi Zhao and Bo Wang and Yan Luo and Zhichao Zuo and Mingshen Wang and Junhu Wang and Yanyan Wei and Xiaopeng Sun and Yu Gao and Jiancheng Huang and Hongming Chen and Xiang Chen and Hui Tang and Yuanbin Chen and Yuanbo Zhou and Xinwei Dai and Xintao Qiu and Wei Deng and Qinquan Gao and Tong Tong and Mingjia Li and Jin Hu and Xinyu He and Xiaojie Guo and Sabarinathan and K. Uma and A. Sasithradevi and B. Sathya Bama and S. Mohamed Mansoor Roomi and V. Srivatsav and Jinjuan Wang and Long Sun and Qiuying Chen and Jiahong Shao and Yizhi Zhang and Marcos V. Conde and Daniel Feijoo and Juan C. Benito and Alvaro Garc{\'{\i}}a and Jaeho Lee and Seongwan Kim and Sharif S. M. A and Nodirkhuja Khujaev and Roman Tsoy and Ali Murtaza and Uswah Khairuddin and Ahmad 'Athif Mohd Faudzi and Sampada Malagi and Amogh Joshi and Nikhil Akalwadi and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudenagudi and Wenyi Lian and Wenjing Lian and Jagadeesh Kalyanshetti and Vijayalaxmi Ashok Aralikatti and Palani Yashaswini and Nitish Upasi and Dikshit Hegde and Ujwala Patil and Sujata C}, title = {{NTIRE} 2024 Challenge on Low Light Image Enhancement: Methods and Results}, journal = {CoRR}, volume = {abs/2404.14248}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14248}, doi = {10.48550/ARXIV.2404.14248}, eprinttype = {arXiv}, eprint = {2404.14248}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14248.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16223, author = {Marcos V. Conde and Florin{-}Alexandru Vasluianu and Radu Timofte and Jianxing Zhang and Jia Li and Fan Wang and Xiaopeng Li and Zikun Liu and Hyunhee Park and Sejun Song and Changho Kim and Zhijuan Huang and Hongyuan Yu and Cheng Wan and Wending Xiang and Jiamin Lin and Hang Zhong and Qiaosong Zhang and Yue Sun and Xuanwu Yin and Kunlong Zuo and Senyan Xu and Siyuan Jiang and Zhijing Sun and Jiaying Zhu and Liangyan Li and Ke Chen and Yunzhe Li and Yimo Ning and Guanhua Zhao and Jun Chen and Jinyang Yu and Kele Xu and Qisheng Xu and Yong Dou}, title = {Deep {RAW} Image Super-Resolution. {A} {NTIRE} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16223}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16223}, doi = {10.48550/ARXIV.2404.16223}, eprinttype = {arXiv}, eprint = {2404.16223}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16484, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Cosmin Stejerean and Ioannis Katsavounidis and Radu Timofte and Kihwan Yoon and Ganzorig Gankhuyag and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhiyuan Li and Hao Wei and Chenyang Ge and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin and Menghan Zhou and Yiqiang Yan and Si Gao and Biao Wu and Shaoli Liu and Chengjian Zheng and Diankai Zhang and Ning Wang and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Hyeon{-}Cheol Moon and Tae Hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16484}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16484}, doi = {10.48550/ARXIV.2404.16484}, eprinttype = {arXiv}, eprint = {2404.16484}, timestamp = {Tue, 08 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16947, author = {Ben Limpanukorn and Jiyuan Wang and Hong Jin Kang and Eric Zitong Zhou and Miryung Kim}, title = {Fuzzing {MLIR} by Synthesizing Custom Mutations}, journal = {CoRR}, volume = {abs/2404.16947}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16947}, doi = {10.48550/ARXIV.2404.16947}, eprinttype = {arXiv}, eprint = {2404.16947}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16947.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-17179, author = {Dooyoung Kim and Taewook Ha and Jinseok Hong and Seonji Kim and Selin Choi and Heejeong Ko and Woontack Woo}, title = {Meta-Object: Interactive and Multisensory Virtual Object Learned from the Real World for the Post-Metaverse}, journal = {CoRR}, volume = {abs/2404.17179}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.17179}, doi = {10.48550/ARXIV.2404.17179}, eprinttype = {arXiv}, eprint = {2404.17179}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-17179.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18881, author = {Hong Jin Kang and Fabrice Harel{-}Canada and Muhammad Ali Gulzar and Violet Peng and Miryung Kim}, title = {Human-in-the-Loop Synthetic Text Data Inspection with Provenance Tracking}, journal = {CoRR}, volume = {abs/2404.18881}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18881}, doi = {10.48550/ARXIV.2404.18881}, eprinttype = {arXiv}, eprint = {2404.18881}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18881.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-19381, author = {Hyungkyu Ham and Jeongmin Hong and Geonwoo Park and Yunseon Shin and Okkyun Woo and Wonhyuk Yang and Jinhoon Bae and Eunhyeok Park and Hyojin Sung and Euicheol Lim and Gwangsun Kim}, title = {Low-overhead General-purpose Near-Data Processing in {CXL} Memory Expanders}, journal = {CoRR}, volume = {abs/2404.19381}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.19381}, doi = {10.48550/ARXIV.2404.19381}, eprinttype = {arXiv}, eprint = {2404.19381}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-19381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03945, author = {Seungnyun Kim and Jihoon Moon and Jinhong Kim and Yongjun Ahn and Donghoon Kim and Sunwoo Kim and Kyuhong Shim and Byonghyo Shim}, title = {Role of Sensing and Computer Vision in 6G Wireless Communications}, journal = {CoRR}, volume = {abs/2405.03945}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03945}, doi = {10.48550/ARXIV.2405.03945}, eprinttype = {arXiv}, eprint = {2405.03945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18602, author = {Tae{-}Wook Kim and Han{-}jin Lee and Hyeon{-}Jin Jung and Ji{-}Woong Yang and Ellen J. Hong}, title = {{SST-GCN:} The Sequential based Spatio-Temporal Graph Convolutional networks for Minute-level and Road-level Traffic Accident Risk Prediction}, journal = {CoRR}, volume = {abs/2405.18602}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18602}, doi = {10.48550/ARXIV.2405.18602}, eprinttype = {arXiv}, eprint = {2405.18602}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18602.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06331, author = {Yunsoo Kim and Jinge Wu and Yusuf Abdulle and Honghan Wu}, title = {MedExQA: Medical Question Answering Benchmark with Multiple Explanations}, journal = {CoRR}, volume = {abs/2406.06331}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06331}, doi = {10.48550/ARXIV.2406.06331}, eprinttype = {arXiv}, eprint = {2406.06331}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07867, author = {Se Jin Park and Chae Won Kim and Hyeongseop Rha and Minsu Kim and Joanna Hong and Jeong Hun Yeo and Yong Man Ro}, title = {Let's Go Real Talk: Spoken Dialogue Model for Face-to-Face Conversation}, journal = {CoRR}, volume = {abs/2406.07867}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07867}, doi = {10.48550/ARXIV.2406.07867}, eprinttype = {arXiv}, eprint = {2406.07867}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09103, author = {Zhaolong Wu and Abul Hasan and Jinge Wu and Yunsoo Kim and Jason Pui Yin Cheung and Teng Zhang and Honghan Wu}, title = {Chain-of-Though (CoT) prompting strategies for medical error detection and correction}, journal = {CoRR}, volume = {abs/2406.09103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09103}, doi = {10.48550/ARXIV.2406.09103}, eprinttype = {arXiv}, eprint = {2406.09103}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09905, author = {Lingni Ma and Yuting Ye and Fangzhou Hong and Vladimir Guzov and Yifeng Jiang and Rowan Postyeni and Luis Pesqueira and Alexander Gamino and Vijay Baiyya and Hyo Jin Kim and Kevin Bailey and David Soriano Fosas and C. Karen Liu and Ziwei Liu and Jakob Engel and Renzo {De Nardi} and Richard A. Newcombe}, title = {Nymeria: {A} Massive Collection of Multimodal Egocentric Daily Motion in the Wild}, journal = {CoRR}, volume = {abs/2406.09905}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09905}, doi = {10.48550/ARXIV.2406.09905}, eprinttype = {arXiv}, eprint = {2406.09905}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11672, author = {Junha Hyung and Susung Hong and Sungwon Hwang and Jaeseong Lee and Jaegul Choo and Jin{-}Hwa Kim}, title = {Effective Rank Analysis and Regularization for Enhanced 3D Gaussian Splatting}, journal = {CoRR}, volume = {abs/2406.11672}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11672}, doi = {10.48550/ARXIV.2406.11672}, eprinttype = {arXiv}, eprint = {2406.11672}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-15045, author = {Jinge Wu and Zhaolong Wu and Abul Hasan and Yunsoo Kim and Jason Pui Yin Cheung and Teng Zhang and Honghan Wu}, title = {Harnessing Knowledge Retrieval with Large Language Models for Clinical Report Error Correction}, journal = {CoRR}, volume = {abs/2406.15045}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.15045}, doi = {10.48550/ARXIV.2406.15045}, eprinttype = {arXiv}, eprint = {2406.15045}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-15045.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-01214, author = {Jinwoo Kim and Olga Zaghen and Ayhan Suleymanzade and Youngmin Ryou and Seunghoon Hong}, title = {Revisiting Random Walks for Learning on Graphs}, journal = {CoRR}, volume = {abs/2407.01214}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.01214}, doi = {10.48550/ARXIV.2407.01214}, eprinttype = {arXiv}, eprint = {2407.01214}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-01214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-12345, author = {Seokha Moon and Hyun Woo and Hongbeen Park and Haeji Jung and Reza Mahjourian and Hyung{-}Gun Chi and Hyerin Lim and Sangpil Kim and Jinkyu Kim}, title = {VisionTrap: Vision-Augmented Trajectory Prediction Guided by Textual Descriptions}, journal = {CoRR}, volume = {abs/2407.12345}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.12345}, doi = {10.48550/ARXIV.2407.12345}, eprinttype = {arXiv}, eprint = {2407.12345}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-12345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13166, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, journal = {CoRR}, volume = {abs/2407.13166}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13166}, doi = {10.48550/ARXIV.2407.13166}, eprinttype = {arXiv}, eprint = {2407.13166}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-03541, author = {Soyoung An and Kyunghoon Bae and Eunbi Choi and Stanley Jungkyu Choi and Yemuk Choi and Seokhee Hong and Yeonjung Hong and Junwon Hwang and Hyojin Jeon and Gerrard Jeongwon Jo and Hyunjik Jo and Jiyeon Jung and Yountae Jung and Euisoon Kim and Hyosang Kim and Joonkee Kim and Seonghwan Kim and Soyeon Kim and Sunkyoung Kim and Yireun Kim and Youchul Kim and Edward Hwayoung Lee and Haeju Lee and Honglak Lee and Jinsik Lee and Kyungmin Lee and Moontae Lee and Seungjun Lee and Woohyung Lim and Sangha Park and Sooyoun Park and Yongmin Park and Boseong Seo and Sihoon Yang and Heuiyeen Yeen and Kyungjae Yoo and Hyeongu Yun}, title = {{EXAONE} 3.0 7.8B Instruction Tuned Language Model}, journal = {CoRR}, volume = {abs/2408.03541}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.03541}, doi = {10.48550/ARXIV.2408.03541}, eprinttype = {arXiv}, eprint = {2408.03541}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-03541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-07326, author = {Seungjae Moon and Jung{-}Hoon Kim and Junsoo Kim and Seongmin Hong and Junseo Cha and Minsu Kim and Sukbin Lim and Gyubin Choi and Dongjin Seo and Jongho Kim and Hunjong Lee and Hyunjun Park and Ryeowook Ko and Soongyu Choi and Jongse Park and Jinwon Lee and Joo{-}Young Kim}, title = {{LPU:} {A} Latency-Optimized and Highly Scalable Processor for Large Language Model Inference}, journal = {CoRR}, volume = {abs/2408.07326}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.07326}, doi = {10.48550/ARXIV.2408.07326}, eprinttype = {arXiv}, eprint = {2408.07326}, timestamp = {Fri, 27 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-07326.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-09343, author = {Yijing Liu and Hongyang Du and Dusit Niyato and Jiawen Kang and Zehui Xiong and Yonggang Wen and Dong In Kim}, title = {Generative {AI} in Data Center Networking: Fundamentals, Perspectives, and Case Study}, journal = {CoRR}, volume = {abs/2409.09343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.09343}, doi = {10.48550/ARXIV.2409.09343}, eprinttype = {arXiv}, eprint = {2409.09343}, timestamp = {Sat, 12 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-09343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-10587, author = {Anthony Cioppa and Silvio Giancola and Vladimir Somers and Victor Joos and Floriane Magera and Jan Held and Seyed Abolfazl Ghasemzadeh and Xin Zhou and Karolina Seweryn and Mateusz Kowalczyk and Zuzanna Mr{\'{o}}z and Szymon Lukasik and Michal Halon and Hassan Mkhallati and Adrien Deli{\`{e}}ge and Carlos Hinojosa and Karen Sanchez and Amir M. Mansourian and Pierre Miralles and Olivier Barnich and Christophe De Vleeschouwer and Alexandre Alahi and Bernard Ghanem and Marc Van Droogenbroeck and Adam Gorski and Albert Clap{\'{e}}s and Andrei Boiarov and Anton Afanasiev and Artur Xarles and Atom Scott and Byoungkwon Lim and Calvin Yeung and Cristian Gonzalez and Dominic R{\"{u}}fenacht and Enzo Pacilio and Fabian Deuser and Faisal Sami Altawijri and Francisco Cach{\'{o}}n and Hankyul Kim and Haobo Wang and Hyeonmin Choe and Hyunwoo J. Kim and Il{-}Min Kim and Jae{-}Mo Kang and Jamshid Tursunboev and Jian Yang and Jihwan Hong and Jimin Lee and Jing Zhang and Junseok Lee and Kexin Zhang and Konrad Habel and Licheng Jiao and Linyi Li and Marc Guti{\'{e}}rrez{-}P{\'{e}}rez and Marcelo Ortega and Menglong Li and Milosz Lopatto and Nikita Kasatkin and Nikolay Nemtsev and Norbert Oswald and Oleg Udin and Pavel Kononov and Pei Geng and Saad Ghazai Alotaibi and Sehyung Kim and Sergei Ulasen and Sergio Escalera and Shanshan Zhang and Shuyuan Yang and Sunghwan Moon and Thomas B. Moeslund and Vasyl Shandyba and Vladimir Golovkin and Wei Dai and WonTaek Chung and Xinyu Liu and Yongqiang Zhu and Youngseo Kim and Yuan Li and Yuting Yang and Yuxuan Xiao and Zehua Cheng and Zhihao Li}, title = {SoccerNet 2024 Challenges Results}, journal = {CoRR}, volume = {abs/2409.10587}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.10587}, doi = {10.48550/ARXIV.2409.10587}, eprinttype = {arXiv}, eprint = {2409.10587}, timestamp = {Mon, 14 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-10587.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GharamalekiHAAKKC23, author = {Nader Latifi Gharamaleki and Junsun Hwang and Awais Ahmed and Sarmad Ahmad Abbasi and Soo{-}Il Kim and Jin{-}young Kim and Hongsoo Choi}, title = {Electromagnetic Manipulation System for Semi-Autonomous Control of Small-Scale Magnetic Objects With Sequential Programming}, journal = {{IEEE} Access}, volume = {11}, pages = {35327--35335}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3264464}, doi = {10.1109/ACCESS.2023.3264464}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GharamalekiHAAKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonHLKY23, author = {Jaeseung Jeon and Seokjin Hong and Hookyung Lee and Jeesu Kim and Jinwoo Yoo}, title = {PMHA-Net: Positional Multi-Head Attention Network for Point-Cloud Part Segmentation and Classification}, journal = {{IEEE} Access}, volume = {11}, pages = {117920--117934}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3323428}, doi = {10.1109/ACCESS.2023.3323428}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeonHLKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JinCHK23, author = {Beomjin Jin and Jusop Choi and Jin B. Hong and Hyoungshick Kim}, title = {On the Effectiveness of Perturbations in Generating Evasive Malware Variants}, journal = {{IEEE} Access}, volume = {11}, pages = {31062--31074}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3262265}, doi = {10.1109/ACCESS.2023.3262265}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JinCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKJSHBJ23, author = {Kwang{-}Woo Jung and Jaeoh Kim and Ho{-}Jin Jung and Seung{-}Won Seo and Jiman Hong and Hyoung{-}Woo Bai and Seongil Jo}, title = {Curve Fitting Algorithm of Functional Radiation-Response Data Using Bayesian Hierarchical Gaussian Process Regression Model}, journal = {{IEEE} Access}, volume = {11}, pages = {7109--7116}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3237395}, doi = {10.1109/ACCESS.2023.3237395}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungKJSHBJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimWPKLKNJ23, author = {Ji{-}Wook Kim and Hong{-}In Won and Dong{-}Yong Park and In{-}Jae Kim and Jin{-}Woo Lee and Kyung{-}Duk Kim and Yoojeong Noh and Jin{-}Seok Jang}, title = {Study on Stochastic and Autoregressive Time Series Forecasting for Hydrogen Refueling Station}, journal = {{IEEE} Access}, volume = {11}, pages = {141598--141609}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3342857}, doi = {10.1109/ACCESS.2023.3342857}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimWPKLKNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiKKJLCB23, author = {Ji{-}Hong Li and Hyung{-}Joo Kang and Min{-}Gyu Kim and Hansol Jin and Munjik Lee and Gun Rae Cho and Chulhee Bae}, title = {Full Coverage of Confined Irregular Polygon Area for Marine Survey}, journal = {{IEEE} Access}, volume = {11}, pages = {92200--92208}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3308145}, doi = {10.1109/ACCESS.2023.3308145}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiKKJLCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSH23, author = {Jinuk Park and Geunhwan Kim and Jongwon Seok and Jungpyo Hong}, title = {Pulsed Active Sonar Using Generalized Sinusoidal Frequency Modulation for High-Speed Underwater Target Detection and Tracking}, journal = {{IEEE} Access}, volume = {11}, pages = {143081--143091}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3344290}, doi = {10.1109/ACCESS.2023.3344290}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YoonBPKKYCH23, author = {Jaeyoung Yoon and Junghwan Byun and Minjo Park and Hayun Kim and Woongbae Kim and Jinsu Yoon and Kyu{-}Jin Cho and Yongtaek Hong}, title = {Reconfigurable Innervation of Modular Soft Machines via Soft, Sticky, and Instant Electronic Adhesive Interlocking}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {8}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300013}, doi = {10.1002/AISY.202300013}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YoonBPKKYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HanHYKK23, author = {Seokjin Han and Jinhee Hong and So Jeong Yun and Hee Jung Koo and Tae Yong Kim}, title = {{PWN:} enhanced random walk on a warped network for disease target prioritization}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {105}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05227-x}, doi = {10.1186/S12859-023-05227-X}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HanHYKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeSJKYKNCKSBY23, author = {Sungyoung Lee and Choong{-}Hyun Sun and Heejun Jang and Daeyoon Kim and Sung{-}Soo Yoon and Youngil Koh and Seung Chan Na and Sung Im Cho and Man Jin Kim and Moon{-}Woo Seong and Ja Min Byun and Hongseok Yun}, title = {ITDetect: a method to detect internal tandem duplication of FMS-like tyrosine kinase {(FLT3)} from next-generation sequencing data with high sensitivity and clinical application}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {62}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05173-8}, doi = {10.1186/S12859-023-05173-8}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeSJKYKNCKSBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/JoAHKPKKGN23, author = {Gyeong Deok Jo and Chul Kyun Ahn and Jung Hee Hong and Da Som Kim and Jongsoo Park and Hyungjin Kim and Jong Hyo Kim and Jin Mo Goo and Ju Gang Nam}, title = {75{\%} radiation dose reduction using deep learning reconstruction on low-dose chest {CT}}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {121}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-01081-8}, doi = {10.1186/S12880-023-01081-8}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/JoAHKPKKGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/HongHJKHC23, author = {SeulGi Hong and Seungbum Hong and Junyoung Jang and Keunyoung Kim and Woo Jin Hyung and Min{-}Kook Choi}, title = {Amplifying action-context greater: image segmentation-guided intraoperative active bleeding detection}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {11}, number = {4}, pages = {1261--1270}, year = {2023}, url = {https://doi.org/10.1080/21681163.2022.2159533}, doi = {10.1080/21681163.2022.2159533}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/HongHJKHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/KimLWTOP23, author = {Kyeong Jin Kim and Hongwu Liu and Miaowen Wen and Theodoros A. Tsiftsis and Philip V. Orlik and H. Vincent Poor}, title = {{QR} Decomposition-Based Cyclic Prefixed Single-Carrier Transmissions for Cooperative Communications: Concepts and Research Landscape}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {25}, number = {1}, pages = {133--155}, year = {2023}, url = {https://doi.org/10.1109/COMST.2022.3194997}, doi = {10.1109/COMST.2022.3194997}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/KimLWTOP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcg/ChakrabortiKKKL23, author = {Debsoumya Chakraborti and Jaehoon Kim and Jinha Kim and Minki Kim and Hong Liu}, title = {Fractional Helly Theorem for Cartesian Products of Convex Sets}, journal = {Discret. Comput. Geom.}, volume = {70}, number = {4}, pages = {1632--1651}, year = {2023}, url = {https://doi.org/10.1007/s00454-022-00468-8}, doi = {10.1007/S00454-022-00468-8}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dcg/ChakrabortiKKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LeeOHKK23, author = {Dongwon Lee and Yongwoo Oh and Jin B. Hong and Hyoungshick Kim and Dan Dongseong Kim}, title = {{PP-GSM:} Privacy-preserving graphical security model for security assessment as a service}, journal = {Future Gener. Comput. Syst.}, volume = {142}, pages = {351--363}, year = {2023}, url = {https://doi.org/10.1016/j.future.2022.12.041}, doi = {10.1016/J.FUTURE.2022.12.041}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LeeOHKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/HongKPPK23, author = {Seok Woo Hong and Jeong{-}Hyun Kang and Jun Hyoung Park and Hee Jin Park and Eugene Kim}, title = {Quality and readability of online information on hand osteoarthritis}, journal = {Health Informatics J.}, volume = {29}, number = {1}, pages = {146045822311692}, year = {2023}, url = {https://doi.org/10.1177/14604582231169297}, doi = {10.1177/14604582231169297}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/HongKPPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/YuYGRLHK23, author = {Fan Yu and Xuefeng Yin and Mingqi Guo and Jos{\'{e}} Rodr{\'{\i}}guez{-}Pi{\~{n}}eiro and Juyul Lee and Jingxiang Hong and Myung Don Kim}, title = {An Improved High-Resolution Parameter Estimation Algorithm Incorporating Beamforming Techniques}, journal = {{IEEE} Commun. Lett.}, volume = {27}, number = {5}, pages = {1387--1391}, year = {2023}, url = {https://doi.org/10.1109/LCOMM.2023.3263646}, doi = {10.1109/LCOMM.2023.3263646}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/YuYGRLHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeiYALKT23, author = {Hongjiang Lei and Fangtao Yang and Imran Shafique Ansari and Hongwu Liu and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {Secrecy Outage Performance Analysis for Uplink {CR-NOMA} Systems With Hybrid {SIC}}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {15}, pages = {13181--13195}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3261308}, doi = {10.1109/JIOT.2023.3261308}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeiYALKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ParkLKPKH23, author = {Cheolhee Park and Jonghoon Lee and Youngsoo Kim and Jong{-}Geun Park and Hyunjin Kim and Dowon Hong}, title = {An Enhanced AI-Based Network Intrusion Detection System Using Generative Adversarial Networks}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {3}, pages = {2330--2345}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3211346}, doi = {10.1109/JIOT.2022.3211346}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ParkLKPKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/AhnJKHJ23, author = {Jisoo Ahn and Sewoong Jung and Hansom Kim and Ho{-}Jin Hwang and Hong{-}Bae Jun}, title = {A study on unmanned combat vehicle path planning for collision avoidance with enemy forces in dynamic situations}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {6}, pages = {2251--2270}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad099}, doi = {10.1093/JCDE/QWAD099}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcde/AhnJKHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/LeeLKKH23, author = {Jin{-}Kook Lee and Sanghoon Lee and Young{-}chae Kim and Sumin Kim and Seung{-}Wan Hong}, title = {Augmented virtual reality and 360 spatial visualization for supporting user-engaged design}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {3}, pages = {1047--1059}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad035}, doi = {10.1093/JCDE/QWAD035}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/LeeLKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/KimAKS23, author = {Wonjun Kim and Yongjun Ahn and Jinhong Kim and Byonghyo Shim}, title = {Towards deep learning-aided wireless channel estimation and channel state information feedback for 6G}, journal = {J. Commun. Networks}, volume = {25}, number = {1}, pages = {61--75}, year = {2023}, url = {https://doi.org/10.23919/jcn.2022.000037}, doi = {10.23919/JCN.2022.000037}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/KimAKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LimLKKLPHHK23, author = {Byungju Lim and Ju{-}Hyung Lee and Jae{-}Hong Kwon and Ki{-}Hun Kim and Jong{-}Man Lee and Hyun Park and Young{-}Seok Ha and Young{-}Jin Han and Young{-}Chai Ko}, title = {Joint association and resource allocation for multi-hop integrated access and backhaul {(IAB)} network}, journal = {J. Commun. Networks}, volume = {25}, number = {4}, pages = {440--455}, year = {2023}, url = {https://doi.org/10.23919/jcn.2022.000041}, doi = {10.23919/JCN.2022.000041}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LimLKKLPHHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LeeCNLYKAH23, author = {Kwang{-}Sig Lee and Eun Saem Choi and Young Jin Nam and Nae Won Liu and Yong Seok Yang and Ho Yeon Kim and Ki Hoon Ahn and Soon Cheol Hong}, title = {Real-time Classification of Fetal Status Based on Deep Learning and Cardiotocography Data}, journal = {J. Medical Syst.}, volume = {47}, number = {1}, pages = {82}, year = {2023}, url = {https://doi.org/10.1007/s10916-023-01960-1}, doi = {10.1007/S10916-023-01960-1}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/LeeCNLYKAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenLYYKT23, author = {Pengxu Chen and Hongwu Liu and Yinghui Ye and Liang Yang and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {Rate-Splitting Multiple Access Aided Mobile Edge Computing With Randomly Deployed Users}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {5}, pages = {1549--1565}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2023.3240786}, doi = {10.1109/JSAC.2023.3240786}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenLYYKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/BilicCLVBKSJMCL23, author = {Patrick Bilic and Patrick Ferdinand Christ and Hongwei Li and Eugene Vorontsov and Avi Ben{-}Cohen and Georgios Kaissis and Adi Szeskin and Colin Jacobs and Gabriel Efrain Humpire Mamani and Gabriel Chartrand and Fabian Loh{\"{o}}fer and Julian Walter Holch and Wieland H. Sommer and Felix Hofmann and Alexandre Hostettler and Naama Lev{-}Cohain and Michal Drozdzal and Michal Marianne Amitai and Refael Vivanti and Jacob Sosna and Ivan Ezhov and Anjany Sekuboyina and Fernando Navarro and Florian Kofler and Johannes C. Paetzold and Suprosanna Shit and Xiaobin Hu and Jana Lipkov{\'{a}} and Markus Rempfler and Marie Piraud and Jan Kirschke and Benedikt Wiestler and Zhiheng Zhang and Christian H{\"{u}}lsemeyer and Marcel Beetz and Florian Ettlinger and Michela Antonelli and Woong Bae and Miriam Bellver and Lei Bi and Hao Chen and Grzegorz Chlebus and Erik B. Dam and Qi Dou and Chi{-}Wing Fu and Bogdan Georgescu and Xavier Gir{\'{o}}{-}i{-}Nieto and Felix Gr{\"{u}}n and Xu Han and Pheng{-}Ann Heng and J{\"{u}}rgen Hesser and Jan Hendrik Moltz and Christian Igel and Fabian Isensee and Paul J{\"{a}}ger and Fucang Jia and Krishna Chaitanya Kaluva and Mahendra Khened and Ildoo Kim and Jae{-}Hun Kim and Sungwoong Kim and Simon Kohl and Tomasz K. Konopczynski and Avinash Kori and Ganapathy Krishnamurthi and Fan Li and Hongchao Li and Junbo Li and Xiaomeng Li and John S. Lowengrub and Jun Ma and Klaus H. Maier{-}Hein and Kevis{-}Kokitsi Maninis and Hans Meine and Dorit Merhof and Akshay Pai and Mathias Perslev and Jens Petersen and Jordi Pont{-}Tuset and Jin Qi and Xiaojuan Qi and Oliver Rippel and Karsten Roth and Ignacio Sarasua and Andrea Schenk and Zengming Shen and Jordi Torres and Christian Wachinger and Chunliang Wang and Leon Weninger and Jianrong Wu and Daguang Xu and Xiaoping Yang and Simon Chun{-}Ho Yu and Yading Yuan and Miao Yue and Liping Zhang and Manuel Jorge Cardoso and Spyridon Bakas and Rickmer Braren and Volker Heinemann and Christopher Pal and An Tang and Samuel Kadoury and Luc Soler and Bram van Ginneken and Hayit Greenspan and Leo Joskowicz and Bjoern H. Menze}, title = {The Liver Tumor Segmentation Benchmark (LiTS)}, journal = {Medical Image Anal.}, volume = {84}, pages = {102680}, year = {2023}, url = {https://doi.org/10.1016/j.media.2022.102680}, doi = {10.1016/J.MEDIA.2022.102680}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/BilicCLVBKSJMCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/PayetteLDLJSXMLPWPXZDFWRKKKGTOVLABB23, author = {Kelly Payette and Hongwei Bran Li and Priscille de Dumast and Roxane Licandro and Hui Ji and Md Mahfuzur Rahman Siddiquee and Daguang Xu and Andriy Myronenko and Hao Liu and Yuchen Pei and Lisheng Wang and Ying Peng and Juanying Xie and Huiquan Zhang and Guiming Dong and Hao Fu and Guotai Wang and ZunHyan Rieu and Donghyeon Kim and Hyun Gi Kim and Davood Karimi and Ali Gholipour and Helena R. Torres and Bruno Oliveira and Jo{\~{a}}o L. Vila{\c{c}}a and Yang Lin and Netanell Avisdris and Ori Ben{-}Zvi and Dafna Ben{-}Bashat and Lucas Fidon and Michael Aertsen and Tom Vercauteren and Daniel Sobotka and Georg Langs and Mireia Aleny{\`{a}} and Maria Inmaculada Villanueva and Oscar Camara and Bella Specktor{-}Fadida and Leo Joskowicz and Liao Weibin and Lv Yi and Xuesong Li and Moona Mazher and Abdul Qayyum and Domenec Puig and Hamza Kebiri and Zelin Zhang and Xinyi Xu and Dan Wu and KuanLun Liao and YiXuan Wu and JinTai Chen and Yunzhi Xu and Li Zhao and Lana Vasung and Bjoern H. Menze and Meritxell Bach Cuadra and Andr{\'{a}}s Jakab}, title = {Fetal brain tissue annotation and segmentation challenge results}, journal = {Medical Image Anal.}, volume = {88}, pages = {102833}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102833}, doi = {10.1016/J.MEDIA.2023.102833}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/PayetteLDLJSXMLPWPXZDFWRKKKGTOVLABB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/KongKKLLHKLPSLYKHCPK23, author = {JungHo Kong and Jinho Kim and Donghyo Kim and Kwanghwan Lee and Juhun Lee and Seong Kyu Han and Inhae Kim and Seongsu Lim and Minhyuk Park and Seungho Shin and Woo Yong Lee and Seong Hyeon Yun and Hee Cheol Kim and Hye Kyung Hong and Yong Beom Cho and Donghyun Park and Sanguk Kim}, title = {Information about immune cell proportions and tumor stage improves the prediction of recurrence in patients with colorectal cancer}, journal = {Patterns}, volume = {4}, number = {6}, pages = {100736}, year = {2023}, url = {https://doi.org/10.1016/j.patter.2023.100736}, doi = {10.1016/J.PATTER.2023.100736}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/KongKKLLHKLPSLYKHCPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimCLJ23, author = {Byung Hyung Kim and Jin Woo Choi and Honggu Lee and Sungho Jo}, title = {A discriminative {SPD} feature learning approach on Riemannian manifolds for {EEG} classification}, journal = {Pattern Recognit.}, volume = {143}, pages = {109751}, year = {2023}, url = {https://doi.org/10.1016/j.patcog.2023.109751}, doi = {10.1016/J.PATCOG.2023.109751}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/KimCLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/KimPMHKJKSJ23, author = {Taeyoon Kim and Chanho Park and Mansur Mukimbekov and Heelim Hong and Minseok Kim and Ze Jin and Changdae Kim and Ji{-}Yong Shin and Myeongjae Jeon}, title = {FusionFlow: Accelerating Data Preparation for Machine Learning with Hybrid {CPU-GPU} Processing}, journal = {Proc. {VLDB} Endow.}, volume = {17}, number = {4}, pages = {863--876}, year = {2023}, url = {https://www.vldb.org/pvldb/vol17/p863-kim.pdf}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/KimPMHKJKSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ChoeKHLP23, author = {Jonghun Choe and Joon{-}Ha Kim and Seungwoo Hong and Jinoh Lee and Hae{-}Won Park}, title = {Seamless Reaction Strategy for Bipedal Locomotion Exploiting Real-Time Nonlinear Model Predictive Control}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {8}, pages = {5031--5038}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3291273}, doi = {10.1109/LRA.2023.3291273}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ChoeKHLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HongKJ23, author = {Sang{-}Hoon Hong and Jinsoo Kim and Hyung{-}Sup Jung}, title = {Special Issue on Selected Papers from "International Symposium on Remote Sensing 2021"}, journal = {Remote. Sens.}, volume = {15}, number = {12}, pages = {2993}, year = {2023}, url = {https://doi.org/10.3390/rs15122993}, doi = {10.3390/RS15122993}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HongKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeRCPKCGHPJCL23, author = {Seunguk Lee and Kwangsun Ryu and Dooyoung Choi and Seongog Park and Jinkyu Kim and Wonho Cha and Bonju Gu and Jimin Hong and Suhwan Park and Eunjin Jang and Cheongrim Choi and Daeyoung Lee}, title = {Design and Testing of an Adaptive In-phase Magnetometer (AIMAG), the Equatorial-Electrojet-Detecting Fluxgate Magnetometer, for the {CAS500-3} Satellite}, journal = {Remote. Sens.}, volume = {15}, number = {19}, pages = {4829}, year = {2023}, url = {https://doi.org/10.3390/rs15194829}, doi = {10.3390/RS15194829}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeRCPKCGHPJCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeSKPPL23, author = {Soo{-}Jin Lee and Eunha Sohn and Mija Kim and Ki{-}Hong Park and Kyungwon Park and Yang{-}Won Lee}, title = {Real-Time Retrieval of Daily Soil Moisture Using {IMERG} and {GK2A} Satellite Images with {NWP} and Topographic Data: {A} Machine Learning Approach for South Korea}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4168}, year = {2023}, url = {https://doi.org/10.3390/rs15174168}, doi = {10.3390/RS15174168}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeSKPPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnCLLK23, author = {Junghoon Ahn and Hongtaek Choi and Heehwa Lee and Jinyoung Lee and Hyeong{-}Dong Kim}, title = {Novel Multi-View {RGB} Sensor for Continuous Motion Analysis in Kinetic Chain Exercises: {A} Pilot Study for Simultaneous Validity and Intra-Test Reliability}, journal = {Sensors}, volume = {23}, number = {24}, pages = {9635}, year = {2023}, url = {https://doi.org/10.3390/s23249635}, doi = {10.3390/S23249635}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnCLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongKH23, author = {Jin{-}Woo Hong and Seong{-}Hoon Kim and Gi{-}Tae Han}, title = {Detection of Multiple Respiration Patterns Based on 1D {SNN} from Continuous Human Breathing Signals and the Range Classification Method for Each Respiration Pattern}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5275}, year = {2023}, url = {https://doi.org/10.3390/s23115275}, doi = {10.3390/S23115275}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinHSKK23, author = {Wenquan Jin and Yong{-}Geun Hong and Jaeseung Song and Jaeho Kim and Dohyeun Kim}, title = {Transparent Rule Enablement Based on Commonization Approach in Heterogeneous IoT Edge Networks}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8282}, year = {2023}, url = {https://doi.org/10.3390/s23198282}, doi = {10.3390/S23198282}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JinHSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJHKY23, author = {Hookyung Lee and Jaeseung Jeon and Seokjin Hong and Jeesu Kim and Jinwoo Yoo}, title = {TransNet: Transformer-Based Point Cloud Sampling Network}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4675}, year = {2023}, url = {https://doi.org/10.3390/s23104675}, doi = {10.3390/S23104675}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJHKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RezaJJOKK23, author = {Mohammad Shamim Reza and Lu Jin and You Jeong Jeong and Tong In Oh and Hongdoo Kim and Kap Jin Kim}, title = {Electrospun Rubber Nanofiber Web-Based Dry Electrodes for Biopotential Monitoring}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7377}, year = {2023}, url = {https://doi.org/10.3390/s23177377}, doi = {10.3390/S23177377}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RezaJJOKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/HongHKK23, author = {Hyukpyo Hong and Bryan S. Hernandez and Jinsu Kim and Jae Kyoung Kim}, title = {Computational Translation Framework Identifies Biochemical Reaction Networks with Special Topologies and Their Long-Term Dynamics}, journal = {{SIAM} J. Appl. Math.}, volume = {83}, number = {3}, pages = {1025--1048}, year = {2023}, url = {https://doi.org/10.1137/22m150469x}, doi = {10.1137/22M150469X}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamam/HongHKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/NhlabatsiKHKFF23, author = {Armstrong Nhlabatsi and Khaled Md. Khan and Jin B. Hong and Dong Seong Kim and Rachael Fernandez and Noora Fetais}, title = {Quantifying Satisfaction of Security Requirements of Cloud Software Systems}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {1}, pages = {426--444}, year = {2023}, url = {https://doi.org/10.1109/TCC.2021.3097770}, doi = {10.1109/TCC.2021.3097770}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/NhlabatsiKHKFF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/FanHKWNZCC23, author = {Wenjun Fan and Hsiang{-}Jen Hong and Jinoh Kim and Simeon Wuthier and Makiya Nakashima and Xiaobo Zhou and Ching{-}Hua Chow and Sang{-}Yoon Chang}, title = {Lightweight and Identifier-Oblivious Engine for Cryptocurrency Networking Anomaly Detection}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {2}, pages = {1302--1318}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2022.3152937}, doi = {10.1109/TDSC.2022.3152937}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/FanHKWNZCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/AhmedAGKC23, author = {Awais Ahmed and Sarmad Ahmad Abbasi and Nader Latifi Gharamaleki and Jin{-}young Kim and Hongsoo Choi}, title = {MagMaps: An Economical, Lego-Like Approach for Real-Time Magnetic Field Mapping Using Commercial Magnetic Sensors}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--9}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3232168}, doi = {10.1109/TIM.2022.3232168}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/AhmedAGKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HongLLBJBKA23, author = {Kee Suk Hong and Hee{-}Jin Lim and Dong Hoon Lee and In{-}Ho Bae and Kwang{-}Yong Jeong and Christoph Becher and Sejeong Kim and Igor Aharonovich}, title = {Toward the Realization of Single-Photon Sources for Radiometry Applications at Room Temperature}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--7}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3289550}, doi = {10.1109/TIM.2023.3289550}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HongLLBJBKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkWKJKKLHK23, author = {Chang Hyun Park and Seong{-}Woo Woo and Nayoung Kim and Hansol Jang and Hyung{-}Hoi Kim and Yeong Jin Kim and Young{-}Min Lee and Keum{-}Shik Hong and Chang{-}Seok Kim}, title = {Simultaneous Discrimination of Multiple Chromophores With Frequency Division Multiplexed Four-Color Functional Near-Infrared Spectroscopy}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--13}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3279877}, doi = {10.1109/TIM.2023.3279877}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkWKJKKLHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimAS23, author = {Jinhong Kim and Yongjun Ahn and Byonghyo Shim}, title = {Massive Data Generation for Deep Learning-Aided Wireless Systems Using Meta Learning and Generative Adversarial Network}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {1}, pages = {1302--1306}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3204835}, doi = {10.1109/TVT.2022.3204835}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KimAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/AhnKKSKKS23, author = {Yongjun Ahn and Jinhong Kim and Seungnyun Kim and Kyuhong Shim and Jiyoung Kim and Sangtae Kim and Byonghyo Shim}, title = {Toward Intelligent Millimeter and Terahertz Communication for 6G: Computer Vision-Aided Beamforming}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {5}, pages = {179--186}, year = {2023}, url = {https://doi.org/10.1109/MWC.007.2200155}, doi = {10.1109/MWC.007.2200155}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/AhnKKSKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKCCKKLLOPH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created through Human-Machine Collaboration}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6692--6712}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.370}, doi = {10.18653/V1/2023.ACL-LONG.370}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKCCKKLLOPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SongYJJKKL23, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {560--573}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575693.3575712}, doi = {10.1145/3575693.3575712}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SongYJJKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/UmKHKY23, author = {Soyeon Um and Sangjin Kim and Seongyon Hong and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {{LOG-CIM:} {A} 116.4 {TOPS/W} Digital Computing-In-Memory Processor Supporting a Wide Range of Logarithmic Quantization with Zero-Aware 6T Dual-WL Cell}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347959}, doi = {10.1109/A-SSCC58667.2023.10347959}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/UmKHKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimAH23, author = {JooYeong Kim and Sooyeon Ahn and Jin{-}Hyuk Hong}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Visible Nuances: {A} Caption System to Visualize Paralinguistic Speech Cues for Deaf and Hard-of-Hearing Individuals}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {54:1--54:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581130}, doi = {10.1145/3544548.3581130}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/KimKKDS23, author = {Byeongjin Kim and Ye Jin Kim and Myoung Suk Kim and Hong Seung Do and Hyeon{-}Jeong Suk}, title = {Image color-based preset light matching algorithm for an electric vitrine}, booktitle = {Color Imaging {XXVIII:} Displaying, Processing, Hardcopy, and Applications, San Francisco, CA, USA, January 15-19, 2023}, pages = {193:1--193:4}, publisher = {Society for Imaging Science and Technology}, year = {2023}, url = {https://doi.org/10.2352/EI.2023.35.15.COLOR-193}, doi = {10.2352/EI.2023.35.15.COLOR-193}, timestamp = {Tue, 18 Jul 2023 16:44:23 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/KimKKDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimKHKHCY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit with {CNN-SNN} Heterogeneous Core and Event-driven Backpropagation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10121940}, doi = {10.1109/COOLCHIPS57690.2023.10121940}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimKHKHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JangJSYKL23, author = {Hongsun Jang and Jaewon Jung and Jaeyong Song and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {Pipe-BD: Pipelined Parallel Blockwise Distillation}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137044}, doi = {10.23919/DATE56975.2023.10137044}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JangJSYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkJKPHMKL23, author = {Jaeu Park and Jinwoong Jeong and Donggun Kim and Changsik Pak and Joon Pio Hong and Sungjoon Min and Byungchae Kim and Sanghoon Lee}, title = {Flexible {\&} Stretchable {EMG} Sensor for Lower Extremity Amputee}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341075}, doi = {10.1109/EMBC40787.2023.10341075}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/ParkJKPHMKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AhnKKS23, author = {Yongjun Ahn and Jinhong Kim and Sunwoo Kim and Byonghyo Shim}, title = {Computer Vision-Aided Proactive Mobility Management for 6G Terahertz Communications}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {1513--1518}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437835}, doi = {10.1109/GLOBECOM54140.2023.10437835}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/AhnKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimBKKH23, author = {Seongwook Kim and Gwangeun Byeon and Sihyung Kim and Hyungjin Kim and Seokin Hong}, title = {Conveyor: Towards Asynchronous Dataflow in Systolic Array to Exploit Unstructured Sparsity}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {423--431}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00070}, doi = {10.1109/ICCD58817.2023.00070}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KimBKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimKCLH23, author = {Donggyun Kim and Jinwoo Kim and Seongwoong Cho and Chong Luo and Seunghoon Hong}, title = {Universal Few-shot Learning of Dense Prediction Tasks with Visual Token Matching}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=88nT0j5jAn}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimKCLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimPSLAL23, author = {Changyeon Kim and Jongjin Park and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {Preference Transformer: Modeling Human Preferences using Transformers for {RL}}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=Peot1SFDX0}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimPSLAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkKPH23a, author = {JinSeon Park and Ki Tae Kim and Seong{-}Bae Park and Choong Seon Hong}, title = {Abnormal Client Detection Federated Learning Using Image Vectors}, booktitle = {International Conference on Information Networking, {ICOIN} 2023, Bangkok, Thailand, January 11-14, 2023}, pages = {742--745}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICOIN56518.2023.10048907}, doi = {10.1109/ICOIN56518.2023.10048907}, timestamp = {Fri, 24 Feb 2023 15:04:55 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkKPH23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/AnHKY23, author = {Gabin An and Jingun Hong and Naryeong Kim and Shin Yoo}, title = {Fonte: Finding Bug Inducing Commits from Failures}, booktitle = {45th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2023, Melbourne, Australia, May 14-20, 2023}, pages = {589--601}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSE48619.2023.00059}, doi = {10.1109/ICSE48619.2023.00059}, timestamp = {Wed, 19 Jul 2023 10:09:12 +0200}, biburl = {https://dblp.org/rec/conf/icse/AnHKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKKKL23, author = {Pyo Min Hong and So Hyun Kang and Jinhyeon Kim and Ji Hoo Kim and Youn Kyu Lee}, title = {Adversarial2Adversarial: Defending against Adversarial Fingerprint Attacks without Clean Images}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1278--1282}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392544}, doi = {10.1109/ICTC58733.2023.10392544}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongKKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonJYKHK23, author = {Jintack Jeon and Hoseung Jang and Changju Yang and Kyoung{-}Do Kwon and Youngki Hong and Gookhwan Kim}, title = {Analysis of Traveling Performance for Orchard Weeding Robot based on {GNSS}}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {254--256}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393364}, doi = {10.1109/ICTC58733.2023.10393364}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeonJYKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKBCKKK23, author = {Tayhyeong Kim and Yongwan Kim and Wonkuk Boo and Jinsung Choi and Ryongha Kim and Ki{-}Hong Kim and Daehwan Kim}, title = {A study on visual attention for measuring responsiveness in online performance environment}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1528--1530}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393027}, doi = {10.1109/ICTC58733.2023.10393027}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKBCKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiBKL23, author = {Yun Won Choi and Jang{-}Woon Baek and Jin Hong Kim and Joon{-}Goo Lee}, title = {Development of Edge Camera System for Vehicle Detection System Using Local {AI} Optimizer Based on Minimum Network Resource}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {602--607}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200213}, doi = {10.1109/ICUFN57995.2023.10200213}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiBKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/AnzakuHPYKWHMN23, author = {Esla Timothy Anzaku and Hyesoo Hong and Jin{-}Woo Park and Wonjun Yang and Kangmin Kim and Jongbum Won and Deshika Vinoshani Kumari Herath and Arnout Van Messem and Wesley De Neve}, editor = {Bong Jun Choi and Dhananjay Singh and Uma Shanker Tiwary and Wan{-}Young Chung}, title = {Leveraging Human-Machine Interactions for Computer Vision Dataset Quality Enhancement}, booktitle = {Intelligent Human Computer Interaction - 15th International Conference, {IHCI} 2023, Daegu, South Korea, November 8-10, 2023, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14531}, pages = {295--309}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53827-8\_27}, doi = {10.1007/978-3-031-53827-8\_27}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihci/AnzakuHPYKWHMN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/HuangSHZLWLXYYC23, author = {Meng Huang and Shufang Si and Zheng He and Ying Zhou and Sijia Li and Hong Wang and Jinying Liu and Dongsheng Xie and Mengmeng Yang and Kang You and Chris Choi and Yi Tang and Xiaojie Li and Shibing Qian and Xiaodong Yang and Long Hou and Weiping Bai and Zhongming Liu and Yanzhe Tang and Qiong Wu and Yanqin Wang and Tao Dou and Jake Kim and Guilei Wang and Jie Baisp and Adachi Takao and Chao Zhao and Abraham Yoo}, title = {A 3D Stackable 1T1C {DRAM:} Architecture, Process Integration and Circuit Simulation}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145931}, doi = {10.1109/IMW56887.2023.10145931}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/HuangSHZLWLXYYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChungKCK23, author = {Woo{-}Jin Chung and Doyeon Kim and Soo{-}Whan Chung and Hong{-}Goo Kang}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {{MF-PAM:} Accurate Pitch Estimation through Periodicity Analysis and Multi-level Feature Fusion}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {4499--4503}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-2487}, doi = {10.21437/INTERSPEECH.2023-2487}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChungKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongUKKJY23, author = {Seongyon Hong and Soyeon Um and Sangjin Kim and Sangyeob Kim and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {A 332 {TOPS/W} Input/Weight-Parallel Computing-in-Memory Processor with Voltage-Capacitance-Ratio Cell and Time-Based {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181902}, doi = {10.1109/ISCAS46773.2023.10181902}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongUKKJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuALPLPFKMC23, author = {Jiajia Wu and Abraham Akinin and Min Lee and Akshay Paul and Hongyu Lu and Yongjae Park and Preston Fowler and Seong{-}Jin Kim and Patrick P. Mercier and Gert Cauwenberghs}, title = {A Low-Noise 0.001Hz-lkHz Sample-Level Duty-Cycling Neural Recording System-on-Chip}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181680}, doi = {10.1109/ISCAS46773.2023.10181680}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuALPLPFKMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/JinCCCKS23, author = {Xiaoxiang Jin and Sangwon Chae and Hyunwoo Cho and Hyojeong Choi and Gangsan Kim and Hong{-}Yeop Song}, title = {Zero-Correlation-Zone Sonar Sequences}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2023, Taipei, Taiwan, June 25-30, 2023}, pages = {892--896}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIT54713.2023.10206889}, doi = {10.1109/ISIT54713.2023.10206889}, timestamp = {Mon, 28 Aug 2023 17:20:14 +0200}, biburl = {https://dblp.org/rec/conf/isit/JinCCCKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/KimCKKJS23, author = {Gangsan Kim and Hyojeong Choi and Daekyeong Kim and Won Jun Kim and Xiaoxiang Jin and Hong{-}Yeop Song}, title = {Optimal Uncorrelated Polyphase {ZCZ} Sequences over an Alphabet of Minimum size}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2023, Taipei, Taiwan, June 25-30, 2023}, pages = {897--902}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIT54713.2023.10206924}, doi = {10.1109/ISIT54713.2023.10206924}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/KimCKKJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JinLMJLCKHJKLK23, author = {Jahoon Jin and Soo{-}Min Lee and Kyunghwan Min and Sodam Ju and Jihoon Lim and Hyunsu Chae and Kwonwoo Kang and Yunji Hong and Yeongcheol Jeong and Sang{-}Ho Kim and Jongwoo Lee and Joonsuk Kim}, title = {A 4nm 16Gb/s/pin Single-Ended {PAM4} Parallel Transceiver with Switching-Jitter Compensation and Transmitter Optimization}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {404--405}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067738}, doi = {10.1109/ISSCC42615.2023.10067738}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JinLMJLCKHJKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKHKHY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{C-DNN:} {A} 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous {CNN/SNN} Core Architecture and Forward-Gradient-Based Sparsity Generation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {334--335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067497}, doi = {10.1109/ISSCC42615.2023.10067497}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKHKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JeongKHJCR23, author = {Heeyoung Jeong and Hyeonjin Kim and Helen Hong and Dae Chul Jung and Kidon Chang and Koon Ho Rha}, editor = {Khan M. Iftekharuddin and Weijie Chen}, title = {Renal parenchyma segmentation based on a cascaded self-adaptive framework with local context-aware mix-up regularization in abdominal {MR} images}, booktitle = {Medical Imaging 2023: Computer-Aided Diagnosis, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12465}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654510}, doi = {10.1117/12.2654510}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/JeongKHJCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimLHH23, author = {Yoon Jo Kim and Min Jin Lee and Helen Hong and Sung Il Hwang}, editor = {Khan M. Iftekharuddin and Weijie Chen}, title = {Deep regression model with ordinal and triplet loss for the prediction of prostate cancer aggressiveness in multi-parametric {MR} images}, booktitle = {Medical Imaging 2023: Computer-Aided Diagnosis, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12465}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2652266}, doi = {10.1117/12.2652266}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimLHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/SunLKWWWLS23, author = {Yihua Sun and Dawei Li and Seongho Kim and Ya Xing Wang and Jinyuan Wang and Tien Yin Wong and Hongen Liao and Su Jeong Song}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Retinal Thickness Prediction from Multi-modal Fundus Photography}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {14226}, pages = {585--595}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43990-2\_55}, doi = {10.1007/978-3-031-43990-2\_55}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/SunLKWWWLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimNSAH23, author = {Jinwoo Kim and Dat Nguyen and Ayhan Suleymanzade and Hyeokjun An and Seunghoon Hong}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Learning Probabilistic Symmetrization for Architecture Agnostic Equivariance}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/3b5c7c9c5c7bd77eb73d0baec7a07165-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimNSAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimSLLSLL23, author = {Changyeon Kim and Younggyo Seo and Hao Liu and Lisa Lee and Jinwoo Shin and Honglak Lee and Kimin Lee}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Guide Your Agent with Adaptive Multimodal Rewards}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/aa933b5abc1be30baece1d230ec575a7-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimSLLSLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeJLJPKKKK23, author = {Jinhoon Lee and Yeonwoo Jung and Suyeon Lee and Safdar Jamil and Sungyong Park and Kwangwon Koh and Hongyeon Kim and Youngjae Kim and Kangho Kim}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {MFence: Defending Against Memory Access Interference in a Disaggregated Cloud Memory Platform}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {1309--1317}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577714}, doi = {10.1145/3555776.3577714}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeJLJPKKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/LeeAKHK23, author = {Dongwon Lee and Myeonghwan Ahn and Hyesun Kwak and Jin B. Hong and Hyoungshick Kim}, title = {BlindFilter: Privacy-Preserving Spam Email Detection Using Homomorphic Encryption}, booktitle = {42nd International Symposium on Reliable Distributed Systems, {SRDS} 2023, Marrakesh, Morocco, September 25-29, 2023}, pages = {35--45}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SRDS60354.2023.00014}, doi = {10.1109/SRDS60354.2023.00014}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/srds/LeeAKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/YiCSKKCYL23, author = {Seunghoon Yi and Youngwoo Cho and Jinhwan Sul and Seung Woo Ko and Soo Kyung Kim and Jaegul Choo and Hongkee Yoon and Joonseok Lee}, editor = {Robin J. Evans and Ilya Shpitser}, title = {Towards Physically Reliable Molecular Representation Learning}, booktitle = {Uncertainty in Artificial Intelligence, {UAI} 2023, July 31 - 4 August 2023, Pittsburgh, PA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {216}, pages = {2433--2443}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v216/yi23a.html}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uai/YiCSKKCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1\({}^{\mbox{st}}\) Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {265--302}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00033}, doi = {10.1109/WACVW58289.2023.00033}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/YooKLKLK23, author = {Jinsu Yoo and Taehoon Kim and Sihaeng Lee and Seung Hwan Kim and Honglak Lee and Tae Hyun Kim}, title = {Enriched CNN-Transformer Feature Aggregation Networks for Super-Resolution}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {4945--4954}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00493}, doi = {10.1109/WACV56688.2023.00493}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/YooKLKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09312, author = {Deokki Hong and Kanghyun Choi and Hyeyoon Lee and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {Enabling Hard Constraints in Differentiable Neural Network and Accelerator Co-Exploration}, journal = {CoRR}, volume = {abs/2301.09312}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09312}, doi = {10.48550/ARXIV.2301.09312}, eprinttype = {arXiv}, eprint = {2301.09312}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09312.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09830, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, journal = {CoRR}, volume = {abs/2301.09830}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09830}, doi = {10.48550/ARXIV.2301.09830}, eprinttype = {arXiv}, eprint = {2301.09830}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12443, author = {Hongsun Jang and Jaewon Jung and Jaeyong Song and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {Pipe-BD: Pipelined Parallel Blockwise Distillation}, journal = {CoRR}, volume = {abs/2301.12443}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12443}, doi = {10.48550/ARXIV.2301.12443}, eprinttype = {arXiv}, eprint = {2301.12443}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00957, author = {Changyeon Kim and Jongjin Park and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {Preference Transformer: Modeling Human Preferences using Transformers for {RL}}, journal = {CoRR}, volume = {abs/2303.00957}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00957}, doi = {10.48550/ARXIV.2303.00957}, eprinttype = {arXiv}, eprint = {2303.00957}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00957.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-06274, author = {Simon Graham and Quoc Dang Vu and Mostafa Jahanifar and Martin Weigert and Uwe Schmidt and Wenhua Zhang and Jun Zhang and Sen Yang and Jinxi Xiang and Xiyue Wang and Josef Lorenz Rumberger and Elias Baumann and Peter Hirsch and Lihao Liu and Chenyang Hong and Angelica I. Avil{\'{e}}s{-}Rivero and Ayushi Jain and Heeyoung Ahn and Yiyu Hong and Hussam Azzuni and Min Xu and Mohammad Yaqub and Marie{-}Claire Blache and Beno{\^{\i}}t Pi{\'{e}}gu and Bertrand Vernay and Tim Scherr and Moritz B{\"{o}}hland and Katharina L{\"{o}}ffler and Jiachen Li and Weiqin Ying and Chixin Wang and Dagmar Kainmueller and Carola{-}Bibiane Sch{\"{o}}nlieb and Shuolin Liu and Dhairya Talsania and Yughender Meda and Prakash Mishra and Muhammad Ridzuan and Oliver Neumann and Marcel P. Schilling and Markus Reischl and Ralf Mikut and Banban Huang and Hsiang{-}Chin Chien and Ching{-}Ping Wang and Chia{-}Yen Lee and Hong{-}Kun Lin and Zaiyi Liu and Xipeng Pan and Chu Han and Jijun Cheng and Muhammad Dawood and Srijay Deshpande and Raja Muhammad Saad Bashir and Adam Shephard and Pedro Costa and Jo{\~{a}}o D. Nunes and Aur{\'{e}}lio Campilho and Jaime S. Cardoso and Hrishikesh P. S and Densen Puthussery and Devika R. G and Jiji C V and Ye Zhang and Zijie Fang and Zhifan Lin and Yongbing Zhang and Chunhui Lin and Liukun Zhang and Lijian Mao and Min Wu and Thi Tuong Vi Vo and Soo{-}Hyung Kim and Taebum Lee and Satoshi Kondo and Satoshi Kasai and Pranay Dumbhare and Vedant Phuse and Yash Dubey and Ankush Jamthikar and Trinh Thi Le Vuong and Jin Tae Kwak and Dorsa Ziaei and Hyun Jung and Tianyi Miao and David R. J. Snead and Shan{-}E{-}Ahmed Raza and Fayyaz Minhas and Nasir M. Rajpoot}, title = {CoNIC Challenge: Pushing the Frontiers of Nuclear Detection, Segmentation, Classification and Counting}, journal = {CoRR}, volume = {abs/2303.06274}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.06274}, doi = {10.48550/ARXIV.2303.06274}, eprinttype = {arXiv}, eprint = {2303.06274}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-06274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09240, author = {Jiyeon Oh and Daun Kim and Jae{-}Yeop Jeong and Yeong{-}Gi Hong and Jin{-}Woo Jeong}, title = {Human Reaction Intensity Estimation with Ensemble of Multi-task Networks}, journal = {CoRR}, volume = {abs/2303.09240}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09240}, doi = {10.48550/ARXIV.2303.09240}, eprinttype = {arXiv}, eprint = {2303.09240}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09240.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14969, author = {Donggyun Kim and Jinwoo Kim and Seongwoong Cho and Chong Luo and Seunghoon Hong}, title = {Universal Few-shot Learning of Dense Prediction Tasks with Visual Token Matching}, journal = {CoRR}, volume = {abs/2303.14969}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14969}, doi = {10.48550/ARXIV.2303.14969}, eprinttype = {arXiv}, eprint = {2303.14969}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14969.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-04694, author = {Inkyu Shin and Dahun Kim and Qihang Yu and Jun Xie and Hong{-}Seok Kim and Bradley Green and In So Kweon and Kuk{-}Jin Yoon and Liang{-}Chieh Chen}, title = {Video-kMaX: {A} Simple Unified Approach for Online and Near-Online Video Panoptic Segmentation}, journal = {CoRR}, volume = {abs/2304.04694}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.04694}, doi = {10.48550/ARXIV.2304.04694}, eprinttype = {arXiv}, eprint = {2304.04694}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-04694.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06237, author = {Chankyu Joung and Mijin Kim and Taejin Paik and Seong{-}Ho Kong and Seung{-}Young Oh and Won Kyeong Jeon and Jae{-}hu Jeon and Joong{-}Sik Hong and Wan{-}Joong Kim and Woong Kook and Myung{-}Jin Cha and Otto van Koert}, title = {An Arrhythmia Classification-Guided Segmentation Model for Electrocardiogram Delineation}, journal = {CoRR}, volume = {abs/2304.06237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06237}, doi = {10.48550/ARXIV.2304.06237}, eprinttype = {arXiv}, eprint = {2304.06237}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06488, author = {Chaoning Zhang and Chenshuang Zhang and Chenghao Li and Yu Qiao and Sheng Zheng and Sumit Kumar Dam and Mengchun Zhang and Jung Uk Kim and Seong Tae Kim and Jinwoo Choi and Gyeong{-}Moon Park and Sung{-}Ho Bae and Lik{-}Hang Lee and Pan Hui and In So Kweon and Choong Seon Hong}, title = {One Small Step for Generative AI, One Giant Leap for {AGI:} {A} Complete Survey on ChatGPT in {AIGC} Era}, journal = {CoRR}, volume = {abs/2304.06488}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06488}, doi = {10.48550/ARXIV.2304.06488}, eprinttype = {arXiv}, eprint = {2304.06488}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-13215, author = {Suhyeong Choi and Jinwook Jung and Andrew B. Kahng and Minsoo Kim and Chul{-}Hong Park and Bodhisatta Pramanik and Dooseok Yoon}, title = {{PROBE3.0:} {A} Systematic Framework for Design-Technology Pathfinding with Improved Design Enablement}, journal = {CoRR}, volume = {abs/2304.13215}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.13215}, doi = {10.48550/ARXIV.2304.13215}, eprinttype = {arXiv}, eprint = {2304.13215}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-13215.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17696, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created Through Human-Machine Collaboration}, journal = {CoRR}, volume = {abs/2305.17696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17696}, doi = {10.48550/ARXIV.2305.17696}, eprinttype = {arXiv}, eprint = {2305.17696}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02866, author = {Jinwoo Kim and Tien Dat Nguyen and Ayhan Suleymanzade and Hyeokjun An and Seunghoon Hong}, title = {Learning Probabilistic Symmetrization for Architecture Agnostic Equivariance}, journal = {CoRR}, volume = {abs/2306.02866}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02866}, doi = {10.48550/ARXIV.2306.02866}, eprinttype = {arXiv}, eprint = {2306.02866}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01961}, doi = {10.48550/ARXIV.2309.01961}, eprinttype = {arXiv}, eprint = {2309.01961}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04062, author = {Sungjun Cho and Dae{-}Woong Jeong and Sung Moon Ko and Jinwoo Kim and Sehui Han and Seunghoon Hong and Honglak Lee and Moontae Lee}, title = {3D Denoisers are Good 2D Teachers: Molecular Pretraining via Denoising and Cross-Modal Distillation}, journal = {CoRR}, volume = {abs/2309.04062}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04062}, doi = {10.48550/ARXIV.2309.04062}, eprinttype = {arXiv}, eprint = {2309.04062}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04655, author = {Jinwoo Lee and Kangkyu Kwon and Ira Soltis and Jared Matthews and Yoonjae Lee and Hojoong Kim and Lissette Romero and Nathan Zavanelli and Youngjin Kwon and Shinjae Kwon and Jimin Lee and Yewon Na and Sung Hoon Lee and Ki Jun Yu and Minoru Shinohara and Frank L. Hammond and Woon{-}Hong Yeo}, title = {Intelligent upper-limb exoskeleton using deep learning to predict human intention for sensory-feedback augmentation}, journal = {CoRR}, volume = {abs/2309.04655}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04655}, doi = {10.48550/ARXIV.2309.04655}, eprinttype = {arXiv}, eprint = {2309.04655}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-07152, author = {Kangkyu Kwon and Yoonjae Lee and Yeongju Jung and Ira Soltis and Chanyeong Choi and Yewon Na and Lissette Romero and Myung{-}Chul Kim and Nathan Rodeheaver and Hodam Kim and Michael S. Lloyd and Ziqing Zhuang and William King and Susan Xu and Seung Hwan Ko and Jinwoo Lee and Woon{-}Hong Yeo}, title = {Novel Smart {N95} Filtering Facepiece Respirator with Real-time Adaptive Fit Functionality and Wireless Humidity Monitoring for Enhanced Wearable Comfort}, journal = {CoRR}, volume = {abs/2309.07152}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.07152}, doi = {10.48550/ARXIV.2309.07152}, eprinttype = {arXiv}, eprint = {2309.07152}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-07152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10790, author = {Changyeon Kim and Younggyo Seo and Hao Liu and Lisa Lee and Jinwoo Shin and Honglak Lee and Kimin Lee}, title = {Guide Your Agent with Adaptive Multimodal Rewards}, journal = {CoRR}, volume = {abs/2309.10790}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10790}, doi = {10.48550/ARXIV.2309.10790}, eprinttype = {arXiv}, eprint = {2309.10790}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05934, author = {Se Jin Park and Joanna Hong and Minsu Kim and Yong Man Ro}, title = {DF-3DFace: One-to-Many Speech Synchronized 3D Face Animation with Diffusion}, journal = {CoRR}, volume = {abs/2310.05934}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05934}, doi = {10.48550/ARXIV.2310.05934}, eprinttype = {arXiv}, eprint = {2310.05934}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00364, author = {Woo{-}Jin Chung and Miseul Kim and Hong{-}Goo Kang}, title = {{C2C:} Cough to {COVID-19} Detection in {BHI} 2023 Data Challenge}, journal = {CoRR}, volume = {abs/2311.00364}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00364}, doi = {10.48550/ARXIV.2311.00364}, eprinttype = {arXiv}, eprint = {2311.00364}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00364.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-06837, author = {Jaeyong Song and Hongsun Jang and Jaewon Jung and Youngsok Kim and Jinho Lee}, title = {GraNNDis: Efficient Unified Distributed Training Framework for Deep GNNs on Large Clusters}, journal = {CoRR}, volume = {abs/2311.06837}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.06837}, doi = {10.48550/ARXIV.2311.06837}, eprinttype = {arXiv}, eprint = {2311.06837}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-06837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07143, author = {Tien Dat Nguyen and Jinwoo Kim and Hongseok Yang and Seunghoon Hong}, title = {Learning Symmetrization for Equivariance with Orbit Distance Minimization}, journal = {CoRR}, volume = {abs/2311.07143}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07143}, doi = {10.48550/ARXIV.2311.07143}, eprinttype = {arXiv}, eprint = {2311.07143}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01026, author = {Minchul Kim and Shangqian Gao and Yen{-}Chang Hsu and Yilin Shen and Hongxia Jin}, title = {Token Fusion: Bridging the Gap between Token Pruning and Token Merging}, journal = {CoRR}, volume = {abs/2312.01026}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01026}, doi = {10.48550/ARXIV.2312.01026}, eprinttype = {arXiv}, eprint = {2312.01026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01026.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09579, author = {Chaoning Zhang and Dongshen Han and Sheng Zheng and Jinwoo Choi and Tae{-}Ho Kim and Choong Seon Hong}, title = {MobileSAMv2: Faster Segment Anything to Everything}, journal = {CoRR}, volume = {abs/2312.09579}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09579}, doi = {10.48550/ARXIV.2312.09579}, eprinttype = {arXiv}, eprint = {2312.09579}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12063, author = {Bingkun Lai and Jinbo Wen and Jiawen Kang and Hongyang Du and Jiangtian Nie and Changyan Yi and Dong In Kim and Shengli Xie}, title = {Resource-efficient Generative Mobile Edge Networks in 6G Era: Fundamentals, Framework and Case Study}, journal = {CoRR}, volume = {abs/2312.12063}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12063}, doi = {10.48550/ARXIV.2312.12063}, eprinttype = {arXiv}, eprint = {2312.12063}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-13103, author = {Jinge Wu and Yunsoo Kim and Eva C. Keller and Jamie Chow and Adam P. Levine and Nikolas Pontikos and Zina M. Ibrahim and Paul Taylor and Michelle C. Williams and Honghan Wu}, title = {Exploring Multimodal Large Language Models for Radiology Report Error-checking}, journal = {CoRR}, volume = {abs/2312.13103}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.13103}, doi = {10.48550/ARXIV.2312.13103}, eprinttype = {arXiv}, eprint = {2312.13103}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-13103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonLPPHKLJKC22, author = {Hyunmok Son and Jae Woon Lim and Sangbae Park and Byeongjoo Park and Jinsub Han and Hong Bae Kim and Myung Chul Lee and Kyoung{-}Je Jang and Ghiseok Kim and Jong Hoon Chung}, title = {A Machine Learning Approach for the Classification of Falls and Activities of Daily Living in Agricultural Workers}, journal = {{IEEE} Access}, volume = {10}, pages = {77418--77431}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3190618}, doi = {10.1109/ACCESS.2022.3190618}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonLPPHKLJKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimPKHHPK22, author = {Sungjoon Kim and Jinwoo Park and Tae{-}Hyeon Kim and Kyungho Hong and Yeongjin Hwang and Byung{-}Gook Park and Hyungjin Kim}, title = {4-bit Multilevel Operation in Overshoot Suppressed Al2O3/TiOx Resistive Random-Access Memory Crossbar Array}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {9}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100273}, doi = {10.1002/AISY.202100273}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KimPKHHPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/ChungHKK22, author = {Jihye Chung and Seongjin Hong and Shinjin Kang and Changhun Kim}, title = {Sequential {UI} behaviour prediction system based on long short-term memory networks}, journal = {Behav. Inf. Technol.}, volume = {41}, number = {6}, pages = {1258--1269}, year = {2022}, url = {https://doi.org/10.1080/0144929x.2021.1871954}, doi = {10.1080/0144929X.2021.1871954}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/behaviourIT/ChungHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/JoJHSBKK22, author = {Hye{-}Jeong Jo and Young{-}Hoon Jung and Yeon{-}Ju Hong and Yu{-}Bin Shin and Ki{-}Dong Baek and Eunjoo Kim and Jae{-}Jin Kim}, title = {The Applicability of Virtual Reality-Based Training for Controlling Anger in Aggressive Individuals}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {25}, number = {5}, pages = {278--286}, year = {2022}, url = {https://doi.org/10.1089/cyber.2021.0193}, doi = {10.1089/CYBER.2021.0193}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbsn/JoJHSBKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeRKK22, author = {Hoang Long Le and Hong Gee Roh and Hyun Jeong Kim and Jin Tae Kwak}, title = {A 3D Multi-task Regression and Ordinal Regression Deep Neural Network for Collateral Imaging from Dynamic Susceptibility Contrast-Enhanced {MR} perfusion in Acute Ischemic Stroke}, journal = {Comput. Methods Programs Biomed.}, volume = {225}, pages = {107071}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.107071}, doi = {10.1016/J.CMPB.2022.107071}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeRKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeKKLMJJKL22, author = {Hyeong Jun Lee and Young Woo Kim and Jun Hong Kim and Yong{-}Joon Lee and Jinseok Moon and Peter Jeong and Joonhee Jeong and Jung{-}Sun Kim and Joon Sang Lee}, title = {Optimization of {FFR} prediction algorithm for gray zone by hemodynamic features with synthetic model and biometric data}, journal = {Comput. Methods Programs Biomed.}, volume = {220}, pages = {106827}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106827}, doi = {10.1016/J.CMPB.2022.106827}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeKKLMJJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YooRKLK22, author = {Tae Keun Yoo and Ik Hee Ryu and Jin Kuk Kim and In Sik Lee and Hong Kyu Kim}, title = {A deep learning approach for detection of shallow anterior chamber depth based on the hidden features of fundus photographs}, journal = {Comput. Methods Programs Biomed.}, volume = {219}, pages = {106735}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106735}, doi = {10.1016/J.CMPB.2022.106735}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YooRKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/EnochMHGK22, author = {Simon Yusuf Enoch and J{\'{u}}lio Mendon{\c{c}}a and Jin B. Hong and Mengmeng Ge and Dong Seong Kim}, title = {An integrated security hardening optimization for dynamic networks using security and availability modeling with multi-objective algorithm}, journal = {Comput. Networks}, volume = {208}, pages = {108864}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.108864}, doi = {10.1016/J.COMNET.2022.108864}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/EnochMHGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HongFWKCZC22, author = {Hsiang{-}Jen Hong and Wenjun Fan and Simeon Wuthier and Jinoh Kim and C. Edward Chow and Xiaobo Zhou and Sang{-}Yoon Chang}, title = {Robust {P2P} networking connectivity estimation engine for permissionless Bitcoin cryptocurrency}, journal = {Comput. Networks}, volume = {219}, pages = {109436}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.109436}, doi = {10.1016/J.COMNET.2022.109436}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/HongFWKCZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MoonCPCHK22, author = {JaeYoung Moon and Youjin Choi and TaeHwa Park and JunDoo Choi and Jin{-}Hyuk Hong and Kyung{-}Joong Kim}, title = {Diversifying dynamic difficulty adjustment agent by integrating player state models into Monte-Carlo tree search}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117677}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117677}, doi = {10.1016/J.ESWA.2022.117677}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/MoonCPCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/ParkCLJRKHSY22, author = {Bumhee Park and Byung Jin Choi and Heirim Lee and Jong{-}Hwan Jang and Hyunwoong Roh and Eun Young Kim and Changhyung Hong and Sang Joon Son and Dukyong Yoon}, title = {Modeling Brain Volume Using Deep Learning-Based Physical Activity Features in Patients With Dementia}, journal = {Frontiers Neuroinformatics}, volume = {16}, pages = {795171}, year = {2022}, url = {https://doi.org/10.3389/fninf.2022.795171}, doi = {10.3389/FNINF.2022.795171}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/ParkCLJRKHSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitt/OhJKPP22, author = {Soyoung Oh and Honggeun Ji and Jina Kim and Eunil Park and Angel P. del Pobil}, title = {Deep learning model based on expectation-confirmation theory to predict customer satisfaction in hospitality service}, journal = {J. Inf. Technol. Tour.}, volume = {24}, number = {1}, pages = {109--126}, year = {2022}, url = {https://doi.org/10.1007/s40558-022-00222-z}, doi = {10.1007/S40558-022-00222-Z}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jitt/OhJKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/KimCHP22, author = {Seung Gu Kim and Jiho Choi and Jin Seong Hong and Kang Ryoung Park}, title = {Spoof detection based on score fusion using ensemble networks robust against adversarial attacks of fake finger-vein images}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {34}, number = {10 Part {B}}, pages = {9343--9362}, year = {2022}, url = {https://doi.org/10.1016/j.jksuci.2022.09.012}, doi = {10.1016/J.JKSUCI.2022.09.012}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/KimCHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoKBLKCPK22, author = {Jeong{-}Hyun Cho and Dong{-}Kyu Kim and Hong{-}Hyun Bae and Yong{-}Jin Lee and Seok{-}Tae Koh and Young{-}Hwan Choo and Ji{-}Seon Paek and Hyun{-}Sik Kim}, title = {A Fully Integrated Multi-Phase Buck Converter With On-Chip Capacitor Dynamic Re-Allocation and Fine-Grained Phase-Shedding Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {12}, pages = {3840--3852}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3193712}, doi = {10.1109/JSSC.2022.3193712}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoKBLKCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/KimSHHL22, author = {Hyeong{-}Jin Kim and Min{-}Cheol Shin and Man{-}Wook Han and Chung{-}Pyo Hong and Ho{-}Woong Lee}, title = {An Efficient Scheme to Obtain Background Image in Video for YOLO-based Static Object Recognition}, journal = {J. Web Eng.}, volume = {21}, number = {5}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.21513}, doi = {10.13052/JWE1540-9589.21513}, timestamp = {Sat, 17 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/KimSHHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBKJKHKCKHH22, author = {Hyung Min Kim and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning-based prediction model for late recurrence after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {241}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01964-w}, doi = {10.1186/S12911-022-01964-W}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBKJKHKCKHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JiCSBSMPSLSOKL22, author = {Sooyeon Ji and Eun{-}Jung Choi and Beomseok Sohn and Kyoungwon Baik and Na{-}Young Shin and Won{-}Jin Moon and Seongbeom Park and Soohwa Song and Phil Hyu Lee and Dongmyung Shin and Se{-}Hong Oh and Eung{-}Yeop Kim and Jongho Lee}, title = {Sandwich spatial saturation for neuromelanin-sensitive {MRI:} Development and multi-center trial}, journal = {NeuroImage}, volume = {264}, pages = {119706}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119706}, doi = {10.1016/J.NEUROIMAGE.2022.119706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JiCSBSMPSLSOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HongKPUKKH22, author = {Woolim Hong and Namita Anil Kumar and Shawanee Patrick and Hui{-}Jin Um and Heon{-}Su Kim and Hak{-}Sung Kim and Pilwon Hur}, title = {Empirical Validation of an Auxetic Structured Foot With the Powered Transfemoral Prosthesis}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {11228--11235}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3194673}, doi = {10.1109/LRA.2022.3194673}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HongKPUKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimKJ22, author = {Dongchan Kim and Hongmin Kim and Sangrok Jin}, title = {Recurrent Neural Network With Preisach Model for Configuration-Specific Hysteresis Modeling of Tendon-Sheath Mechanism}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {2763--2770}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3144769}, doi = {10.1109/LRA.2022.3144769}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeJHLPKNLLOPH22, author = {Seongpung Lee and Sanghyun Joung and Ho{-}Gun Ha and Jin{-}Han Lee and Kyeong{-}Hyeon Park and Shinyeol Kim and Kwonsun Nam and Jongsuk Lee and Hyun{-}Joo Lee and Chang{-}Wug Oh and Ilhyung Park and Jaesung Hong}, title = {3D Image-Guided Robotic System for Bone Fracture Reduction}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {4353--4360}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3150880}, doi = {10.1109/LRA.2022.3150880}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeJHLPKNLLOPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimJHZLKHKB22, author = {Eunsol Kim and Geonhwa Jee and Young{-}Bae Ham and Nikolay Zabotin and Changsup Lee and Hyuck{-}Jin Kwon and Junseok Hong and Jeong{-}Han Kim and Terence Bullett}, title = {Assessment of Polar Ionospheric Observations by VIPIR/Dynasonde at Jang Bogo Station, Antarctica: Part 1 - Ionospheric Densities}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2785}, year = {2022}, url = {https://doi.org/10.3390/rs14122785}, doi = {10.3390/RS14122785}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimJHZLKHKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKKPNLKHJLK22, author = {Jae Won Choi and Dong Hyun Kim and Dae Lim Koo and Yangmi Park and Hyunwoo Nam and Ji Hyun Lee and Hyo Jin Kim and Seung{-}No Hong and Gwangsoo Jang and Sungmook Lim and Baekhyun Kim}, title = {Automated Detection of Sleep Apnea-Hypopnea Events Based on 60 GHz Frequency-Modulated Continuous-Wave Radar Using Convolutional Recurrent Neural Networks: {A} Preliminary Report of a Prospective Cohort Study}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7177}, year = {2022}, url = {https://doi.org/10.3390/s22197177}, doi = {10.3390/S22197177}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKKPNLKHJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinJKH22, author = {Sunghyun Jin and Philip Johansson and HeeSeok Kim and Seokhie Hong}, title = {Enhancing Time-Frequency Analysis with Zero-Mean Preprocessing}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2477}, year = {2022}, url = {https://doi.org/10.3390/s22072477}, doi = {10.3390/S22072477}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinJKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJMJ22, author = {Taesik Kim and Jinman Jung and Hong Min and Young{-}Hoon Jung}, title = {An Entropy Analysis-Based Window Size Optimization Scheme for Merging LiDAR Data Frames}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9293}, year = {2022}, url = {https://doi.org/10.3390/s22239293}, doi = {10.3390/S22239293}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimJMJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimOLYKKH22, author = {Soo{-}Wan Kim and Geum{-}Yoon Oh and Kang{-}In Lee and Young{-}Jin Yang and Jeong{-}Beom Ko and Young{-}Woo Kim and Young{-}Sun Hong}, title = {A Highly Sensitive and Flexible Capacitive Pressure Sensor Based on Alignment Airgap Dielectric}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7390}, year = {2022}, url = {https://doi.org/10.3390/s22197390}, doi = {10.3390/S22197390}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimOLYKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/ChoiKH22, author = {Youjin Choi and JooYeong Kim and Jin{-}Hyuk Hong}, title = {Immersion Measurement in Watching Videos Using Eye-tracking Data}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {13}, number = {4}, pages = {1759--1770}, year = {2022}, url = {https://doi.org/10.1109/TAFFC.2022.3209311}, doi = {10.1109/TAFFC.2022.3209311}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taffco/ChoiKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/JinCKH22, author = {Sunghyun Jin and Sung Min Cho and HeeSeok Kim and Seokhie Hong}, title = {Enhanced Side-Channel Analysis on {ECDSA} Employing Fixed-Base Comb Method}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {9}, pages = {2341--2350}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3191736}, doi = {10.1109/TC.2022.3191736}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/JinCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimLHH22, author = {Cheol{-}Jin Kim and Myung{-}Jae Lee and Kyu Hong Hwang and Young{-}Guk Ha}, title = {End-to-end deep learning-based autonomous driving control for high-speed environment}, journal = {J. Supercomput.}, volume = {78}, number = {2}, pages = {1961--1982}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03929-8}, doi = {10.1007/S11227-021-03929-8}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/KimLHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/DingFZCJ22, author = {Xiaofeng Ding and Hongbiao Fang and Zhilin Zhang and Kim{-}Kwang Raymond Choo and Hai Jin}, title = {Privacy-Preserving Feature Extraction via Adversarial Training}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {34}, number = {4}, pages = {1967--1979}, year = {2022}, url = {https://doi.org/10.1109/TKDE.2020.2997604}, doi = {10.1109/TKDE.2020.2997604}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/DingFZCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/KimHPR22, author = {Minsu Kim and Joanna Hong and Se Jin Park and Yong Man Ro}, title = {CroMM-VSR: Cross-Modal Memory Augmented Visual Speech Recognition}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {4342--4355}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3115626}, doi = {10.1109/TMM.2021.3115626}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/KimHPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosem/KimJHY22, author = {Jinhan Kim and Juyoung Jeon and Shin Hong and Shin Yoo}, title = {Predictive Mutation Analysis via the Natural Language Channel in Source Code}, journal = {{ACM} Trans. Softw. Eng. Methodol.}, volume = {31}, number = {4}, pages = {73:1--73:27}, year = {2022}, url = {https://doi.org/10.1145/3510417}, doi = {10.1145/3510417}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosem/KimJHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/ChaHBKLO22, author = {Sooyoung Cha and Seongjoon Hong and Jiseong Bak and Jingyoung Kim and Junhee Lee and Hakjoo Oh}, title = {Enhancing Dynamic Symbolic Execution by Automatically Learning Search Heuristics}, journal = {{IEEE} Trans. Software Eng.}, volume = {48}, number = {9}, pages = {3640--3663}, year = {2022}, url = {https://doi.org/10.1109/TSE.2021.3101870}, doi = {10.1109/TSE.2021.3101870}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/ChaHBKLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiuBLPKT22, author = {Hongwu Liu and Zhiquan Bai and Hongjiang Lei and Gaofeng Pan and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {A New Rate Splitting Strategy for Uplink {CR-NOMA} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {7}, pages = {7947--7951}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3166218}, doi = {10.1109/TVT.2022.3166218}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiuBLPKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HongPKC22, author = {Sung Hyuck Hong and Jaeyong Park and Sung{-}Jin Kim and Junil Choi}, title = {Hybrid Beamforming for Intelligent Reflecting Surface Aided Millimeter Wave {MIMO} Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {9}, pages = {7343--7357}, year = {2022}, url = {https://doi.org/10.1109/TWC.2022.3157880}, doi = {10.1109/TWC.2022.3157880}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HongPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimYLGONP22, author = {Kyeong Jin Kim and Phee Lep Yeoh and Hongwu Liu and Jianlin Guo and Philip V. Orlik and Yukimasa Nagai and H. Vincent Poor}, title = {A Multi-Cluster-Based Distributed {CDD} Scheme for Asynchronous Joint Transmissions in Local and Private Wireless Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {1}, pages = {80--94}, year = {2022}, url = {https://doi.org/10.1109/TWC.2021.3093815}, doi = {10.1109/TWC.2021.3093815}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/KimYLGONP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/KimCHKMKNOPBC22, author = {Won{-}Hyoung Kim and Seo{-}Eun Cho and Jin Pyo Hong and Hyeyoung Kim and Seri Maeng and Jae Myeong Kang and Kyoung{-}Sae Na and Seok Hee Oh and Jung Woon Park and Jae Nam Bae and Seong{-}Jin Cho}, title = {Effectiveness of virtual reality exposure treatment for posttraumatic stress disorder due to motor vehicle or industrial accidents}, journal = {Virtual Real.}, volume = {26}, number = {4}, pages = {1539--1549}, year = {2022}, url = {https://doi.org/10.1007/s10055-022-00623-9}, doi = {10.1007/S10055-022-00623-9}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/KimCHKMKNOPBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimKKLHY22, author = {Minju Kim and Beong{-}woo Kwak and Youngwook Kim and Hong{-}in Lee and Seung{-}won Hwang and Jinyoung Yeo}, title = {Dual Task Framework for Improving Persona-Grounded Dialogue Dataset}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {10912--10920}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i10.21338}, doi = {10.1609/AAAI.V36I10.21338}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimKKLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkKHCR22, author = {Se Jin Park and Minsu Kim and Joanna Hong and Jeongsoo Choi and Yong Man Ro}, title = {SyncTalkFace: Talking Face Generation with Precise Lip-Syncing via Audio-Lip Memory}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {2062--2070}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i2.20102}, doi = {10.1609/AAAI.V36I2.20102}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkKHCR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ChoHYCSOK22, author = {Eunbi Cho and Sungil Hong and Hyeseo Yoon and Eunsung Cho and Jinho Shim and Joohee Oh and Junghyun Kim}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Data-driven Approach using Unsupervised Learning for Detecting Anomalies in Facility Operations}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6613--6616}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020434}, doi = {10.1109/BIGDATA55660.2022.10020434}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ChoHYCSOK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/HongKPUKKH22, author = {Woolim Hong and Namita Anil Kumar and Shawanee Patrick and Hui{-}Jin Um and Heon{-}Su Kim and Hak{-}Sung Kim and Pilwon Hur}, title = {Empirical Validation of an Auxetic Structured Foot With the Powered Transfemoral Prosthesis}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925467}, doi = {10.1109/BIOROB52689.2022.9925467}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/HongKPUKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/KimNHK22, author = {Minsoo Kim and Gi Pyo Nam and Yu{-}Jin Hong and Ig{-}Jae Kim}, title = {{PPL:} Pairwise Prototype Learning for Masked Face Recognition}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {723}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/723/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/KimNHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/BangCHLK22, author = {Jae Sung Bang and Seok Hwan Choi and Dae Gi Hong and Dae Heung Lee and Jinsung Kim}, title = {Active Shift Control for Hybrid Electric Vehicles}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2022, Trieste, Italy, August 23-25, 2022}, pages = {1037--1042}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCTA49430.2022.9966018}, doi = {10.1109/CCTA49430.2022.9966018}, timestamp = {Tue, 20 Dec 2022 18:37:02 +0100}, biburl = {https://dblp.org/rec/conf/ccta/BangCHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiKPKYH22, author = {Youjin Choi and JooYeong Kim and Chan Woo Park and Jeongyoun Kim and Ji Hyun Yi and Jin{-}Hyuk Hong}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {We Play and Learn Rhythmically: Gesture-based Rhythm Game for Children with Intellectual Developmental Disabilities to Learn Manual Sign}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {539:1--539:13}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517456}, doi = {10.1145/3491102.3517456}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ChoiKPKYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShinPK0SH22, author = {Donghoon Shin and Subeen Park and Esther Hehsun Kim and Soomin Kim and Jinwook Seo and Hwajung Hong}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Exploring the Effects of AI-assisted Emotional Support Processes in Online Mental Health Community}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {300:1--300:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519854}, doi = {10.1145/3491101.3519854}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ShinPK0SH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChuKKLLJLKK22, author = {Hyeshin Chu and Joohee Kim and Seongouk Kim and Hongkyu Lim and Hyunwook Lee and Seungmin Jin and Jongeun Lee and Taehwan Kim and Sungahn Ko}, editor = {Mohammad Al Hasan and Li Xiong}, title = {An Empirical Study on How People Perceive AI-generated Music}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {304--314}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557235}, doi = {10.1145/3511808.3557235}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ChuKKLLJLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiLHYPKL22, author = {Kanghyun Choi and Hyeyoon Lee and Deokki Hong and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {It's All In the Teacher: Zero-Shot Quantization Brought Closer to the Teacher}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {8301--8311}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00813}, doi = {10.1109/CVPR52688.2022.00813}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiLHYPKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JeongHKJJK22, author = {Jae{-}Yeop Jeong and Yeong{-}Gi Hong and Daun Kim and Jin{-}Woo Jeong and Yuchul Jung and Sang{-}Ho Kim}, title = {Classification of Facial Expression In-the-Wild based on Ensemble of Multi-head Cross Attention Networks}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {2352--2357}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00262}, doi = {10.1109/CVPRW56347.2022.00262}, timestamp = {Mon, 29 Aug 2022 11:44:03 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/JeongHKJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JiLKHBJK22, author = {Seo{-}Won Ji and Jeongmin Lee and Seung{-}Wook Kim and Jun{-}Pyo Hong and Seung{-}Jin Baek and Seung{-}Won Jung and Sung{-}Jea Ko}, title = {XYDeblur: Divide and Conquer for Single Image Deblurring}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {17400--17409}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01690}, doi = {10.1109/CVPR52688.2022.01690}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/JiLKHBJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RivadeneiraSVKK22, author = {Rafael E. Rivadeneira and Angel Domingo Sappa and Boris Xavier Vintimilla and Jin Kim and Dogun Kim and Zhihao Li and Yingchun Jian and Bo Yan and Leilei Cao and Fengliang Qi and Hongbin Wang and Rongyuan Wu and Lingchen Sun and Yongqiang Zhao and Lin Li and Kai Wang and Yicheng Wang and Xuanming Zhang and Huiyuan Wei and Chonghua Lv and Qigong Sun and Xiaolin Tian and Zhuang Jia and Jiakui Hu and Chenyang Wang and Zhiwei Zhong and Xianming Liu and Junjun Jiang}, title = {Thermal Image Super-Resolution Challenge Results - {PBVS} 2022}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {417--425}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00057}, doi = {10.1109/CVPRW56347.2022.00057}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/RivadeneiraSVKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HongCLYPKL22, author = {Deokki Hong and Kanghyun Choi and Hyeyoon Lee and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, editor = {Rob Oshana}, title = {Enabling hard constraints in differentiable neural network and accelerator co-exploration}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {589--594}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530507}, doi = {10.1145/3489517.3530507}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HongCLYPKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTZZYMWKQTMWYLFCCKKQMLWL22, author = {Andrey Ignatov and Radu Timofte and Jin Zhang and Feng Zhang and Gaocheng Yu and Zhe Ma and Hongbin Wang and Minsu Kwon and Haotian Qian and Wentao Tong and Pan Mu and Ziping Wang and Guangjing Yan and Brian Lee and Lei Fei and Huaijin G. Chen and Hyebin Cho and Byeongjun Kwon and Munchurl Kim and Mingyang Qian and Huixin Ma and Yanan Li and Xiaotao Wang and Lei Lei}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Realistic Bokeh Effect Rendering on Mobile GPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {153--173}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_7}, doi = {10.1007/978-3-031-25066-8\_7}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTZZYMWKQTMWYLFCCKKQMLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/JeongHHOJKJ22, author = {Jae{-}Yeop Jeong and Yeong{-}Gi Hong and Sumin Hong and Jiyeon Oh and Yuchul Jung and Sang{-}Ho Kim and Jin{-}Woo Jeong}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Ensemble of Multi-task Learning Networks for Facial Expression Recognition In-the-Wild with Learning from Synthetic Data}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {13806}, pages = {60--75}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25075-0\_5}, doi = {10.1007/978-3-031-25075-0\_5}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/JeongHHOJKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimHYNPYHYHP22, author = {Geewook Kim and Teakgyu Hong and Moonbin Yim and JeongYeon Nam and Jinyoung Park and Jinyeong Yim and Wonseok Hwang and Sangdoo Yun and Dongyoon Han and Seunghyun Park}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {OCR-Free Document Understanding Transformer}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXVIII}}, series = {Lecture Notes in Computer Science}, volume = {13688}, pages = {498--517}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19815-1\_29}, doi = {10.1007/978-3-031-19815-1\_29}, timestamp = {Fri, 21 Oct 2022 19:54:56 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KimHYNPYHYHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimOCH22, author = {Jinwoo Kim and Saeyoon Oh and Sungjun Cho and Seunghoon Hong}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Equivariant Hypergraph Neural Networks}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXI}}, series = {Lecture Notes in Computer Science}, volume = {13681}, pages = {86--103}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19803-8\_6}, doi = {10.1007/978-3-031-19803-8\_6}, timestamp = {Wed, 26 Oct 2022 09:56:22 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KimOCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChungKHKC22, author = {Young Mo Chung and Songhee Kang and Eunyeong Hong and Dong Sik Kim and Beom Jin Chung}, title = {On Selecting Electricity Rates for Housing Based on Support Vector Machine}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748244}, doi = {10.1109/ICEIC54506.2022.9748244}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ChungKHKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etra/KimJHKJ22, author = {Daun Kim and Jae{-}Yeop Jeong and Sumin Hong and Namsub Kim and Jin{-}Woo Jeong}, editor = {Frederick Shic and Enkelejda Kasneci and Mohamed Khamis and Hans Gellersen and Krzysztof Krejtz and Daniel Weiskopf and Tanja Blascheck and Jessica Bradshaw and Hana Vrzakova and Kamran Binaee and Michael Burch and Peter Kiefer and Roman Bednarik and Diako Mardanbegi and Christopher Clarke and Rakshit Sunil Kothari and Vijay Rajanna and Sampath Jayarathna and Arantxa Villanueva and Adham Atyabi and Shahram Eivazi}, title = {Visualizing Instructor's Gaze Information for Online Video-based Learning: Preliminary Study}, booktitle = {{ETRA} 2022: Symposium on Eye Tracking Research and Applications, Seattle, WA, USA, June 8 - 11, 2022}, pages = {50:1--50:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3517031.3529238}, doi = {10.1145/3517031.3529238}, timestamp = {Mon, 28 Aug 2023 21:17:50 +0200}, biburl = {https://dblp.org/rec/conf/etra/KimJHKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KimKHK22, author = {Jinkwon Kim and Mincheol Kang and Jeongkyu Hong and Soontae Kim}, title = {Exploiting Inter-block Entropy to Enhance the Compressibility of Blocks with Diverse Data}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1100--1114}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00084}, doi = {10.1109/HPCA53966.2022.00084}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/KimKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimAKS22, author = {Jinhong Kim and Yongjun Ahn and Seungnyun Kim and Byonghyo Shim}, title = {Parametric Sparse Channel Estimation Using Long Short-Term Memory for mmWave Massive {MIMO} Systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {1397--1402}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9838434}, doi = {10.1109/ICC45855.2022.9838434}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimAKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuYBKT22, author = {Hongwu Liu and Yinghui Ye and Zhiquan Bai and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {Rate Splitting Multiple Access Aided Mobile Edge Computing in Cognitive Radio Networks}, booktitle = {2022 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2022, Seoul, Korea, May 16-20, 2022}, pages = {598--603}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCWorkshops53468.2022.9814624}, doi = {10.1109/ICCWORKSHOPS53468.2022.9814624}, timestamp = {Thu, 21 Jul 2022 16:15:18 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuYBKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YangBLPHK22, author = {Yingchao Yang and Zhiquan Bai and Hongwu Liu and Ke Pang and Xinhong Hao and Kyeong Jin Kim}, title = {Design and Performance Analysis of Spatial-Index Modulation Based Orthogonal Time Frequency Space System}, booktitle = {2022 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2022, Seoul, Korea, May 16-20, 2022}, pages = {922--927}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCWorkshops53468.2022.9814661}, doi = {10.1109/ICCWORKSHOPS53468.2022.9814661}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/YangBLPHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LimLLHKJKKHCKLC22, author = {Hongjun Lim and Yeon{-}Chang Lee and Jin{-}Seo Lee and Sanggyu Han and Seunghyeon Kim and Yeon Jeong Jeong and Changbong Kim and Jaehun Kim and Sunghoon Han and Solbi Choi and Hanjong Ko and Dokyeong Lee and Jaeho Choi and Yungi Kim and Hong{-}Kyun Bae and Taeho Kim and Jeewon Ahn and Hyun{-}Soung You and Sang{-}Wook Kim}, title = {AiRS: {A} Large-Scale Recommender System at {NAVER} News}, booktitle = {38th {IEEE} International Conference on Data Engineering, {ICDE} 2022, Kuala Lumpur, Malaysia, May 9-12, 2022}, pages = {3386--3398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDE53745.2022.00319}, doi = {10.1109/ICDE53745.2022.00319}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/LimLLHKJKKHCKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichmi/FengLK22, author = {Jingwei Feng and Hongmei Li and Cheeyong Kim}, title = {Analysis of the Influence of Extrinsic Motivation in Online Games on Players' Gaming Experience}, booktitle = {International Conference on Human Machine Interaction, {ICHMI} 2022, Beijing, China, May 6-8, 2022}, pages = {60--67}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3560470.3560478}, doi = {10.1145/3560470.3560478}, timestamp = {Thu, 16 Nov 2023 13:24:05 +0100}, biburl = {https://dblp.org/rec/conf/ichmi/FengLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkSSLAL22, author = {Jongjin Park and Younggyo Seo and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {{SURF:} Semi-supervised Reward Learning with Data Augmentation for Feedback-efficient Preference-based Reinforcement Learning}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=TfhfZLQ2EJO}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkSSLAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/YoonCSKHY22, author = {Juyeon Yoon and Seungjoon Chung and Kihyuck Shin and Jinhan Kim and Shin Hong and Shin Yoo}, title = {Repairing Fragile {GUI} Test Cases Using Word and Layout Embedding}, booktitle = {15th {IEEE} Conference on Software Testing, Verification and Validation, {ICST} 2022, Valencia, Spain, April 4-14, 2022}, pages = {291--301}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICST53961.2022.00038}, doi = {10.1109/ICST53961.2022.00038}, timestamp = {Mon, 13 Jun 2022 16:53:36 +0200}, biburl = {https://dblp.org/rec/conf/icst/YoonCSKHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/AhnKS22, author = {Yongjun Ahn and Jinhong Kim and Byonghyo Shim}, title = {On the Design Principles for Deep Learning-based Wireless Data Collection and Channel Estimation}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1216--1218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952502}, doi = {10.1109/ICTC55196.2022.9952502}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/AhnKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/WonKYJH22, author = {Jinho Won and Gookhwan Kim and Changju Yang and Jintack Jeon and Youngki Hong}, title = {Development of Modular Automatic Steering System for Straight Driving of Agricultural Tractor}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1204--1206}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952791}, doi = {10.1109/ICTC55196.2022.9952791}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/WonKYJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimLCH22, author = {Jinhyoung Kim and KwonHong Lee and Cheolung Cha and Yongtaek Hong}, title = {{RF} {MEMS} Resonance Sensor for Measuring Microplastics Concentration}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2022, Kuala Lumpur, Malaysia, December 7-10, 2022}, pages = {174--176}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEM55944.2022.9989630}, doi = {10.1109/IEEM55944.2022.9989630}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/KimLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KimLLCPSKK22, author = {Jong{-}Min Kim and Ju{-}Hyung Lee and Yeongrok Lee and Hong{-}Seol Cha and Hyunsu Park and Jincheol Sim and Chulwoo Kim and Young{-}Chai Ko}, title = {Experimental Demonstration of RoFSO Transmission Combining {WLAN} Standard and {WDM-FSO} over 100m Distance}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9798035}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9798035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KimLLCPSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeYLYLK22, author = {Sihaeng Lee and Eojindl Yi and Janghyeon Lee and Jinsu Yoo and Honglak Lee and Seung Hwan Kim}, title = {Fully Convolutional Transformer with Local-Global Attention}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {552--559}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981339}, doi = {10.1109/IROS47612.2022.9981339}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeYLYLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/ChoiJHJSPLKL22, author = {Kangwook Choi and Gyuweon Jung and Seongbin Hong and Yujeong Jeong and Wonjun Shin and Jinwoo Park and Chang{-}Yong Lee and Donghee Kim and Jong{-}Ho Lee}, title = {Response Analysis of Resistor-type Gas Sensor with Bias Voltage Condition}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789620}, doi = {10.1109/ISOEN54820.2022.9789620}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/ChoiJHJSPLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/JungKSHJPKCL22, author = {Gyuweon Jung and Jaehyeon Kim and Wonjun Shin and Seongbin Hong and Yujeong Jeong and Jinwoo Park and Donghee Kim and Kangwook Choi and Jong{-}Ho Lee}, title = {Optimal Bias Conditions for FET-type Gas Sensors to Minimize Current Fluctuations}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789643}, doi = {10.1109/ISOEN54820.2022.9789643}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/JungKSHJPKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKBLKCPK22, author = {Jeong{-}Hyun Cho and Dong{-}Kyu Kim and Hong{-}Hyun Bae and Yong{-}Jin Lee and Seok{-}Tae Koh and Young{-}Hwan Choo and Ji{-}Seon Paek and Hyun{-}Sik Kim}, title = {A 1.23W/mm\({}^{\mbox{2}}\) 83.7{\%}-Efficiency 400MHz 6-Phase Fully Integrated Buck Converter in 28nm {CMOS} with On-Chip Capacitor Dynamic Re-Allocation for Inter-Inductor Current Balancing and Fast {DVS} of 75mV/ns}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731726}, doi = {10.1109/ISSCC42614.2022.9731726}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoKBLKCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/HongKQPAC22, author = {Jeong Hun Hong and Jin Sung Kim and Ying Shuai Quan and Taewoong Park and Chang Seop An and Chung Choo Chung}, title = {Adaptive Cruise Control with Motion Sickness Reduction: Data-driven Human Model and Model Predictive Control Approach}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {1464--1470}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITSC55140.2022.9922485}, doi = {10.1109/ITSC55140.2022.9922485}, timestamp = {Thu, 10 Nov 2022 21:13:36 +0100}, biburl = {https://dblp.org/rec/conf/itsc/HongKQPAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsda/ChoiJKS22, author = {Hyojeong Choi and Zhi Jing and Gangsan Kim and Hong{-}Yeop Song}, title = {Some Intersections of two Binary LRCs with Disjoint Repair Groups}, booktitle = {10th International Workshop on Signal Design and Its Applications in Communications, {IWSDA} 2022, Colchester, UK, August 1-5, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IWSDA50346.2022.9870583}, doi = {10.1109/IWSDA50346.2022.9870583}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsda/ChoiJKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimAH22, author = {Yoon Jo Kim and Jinseo An and Helen Hong}, editor = {Karen Drukker and Khan M. Iftekharuddin}, title = {Deep ensemble models with multiscale lung-focused patches for pneumonia classification on chest x-ray}, booktitle = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12033}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2610968}, doi = {10.1117/12.2610968}, timestamp = {Thu, 14 Mar 2024 14:37:17 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/YoonKH22, author = {Hyeon Dham Yoon and Hyeonjin Kim and Helen Hong}, editor = {Karen Drukker and Khan M. Iftekharuddin}, title = {Deep pancreas segmentation through quantification of pancreatic uncertainty on abdominal {CT} images}, booktitle = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12033}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2611454}, doi = {10.1117/12.2611454}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/YoonKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YoonHHLSPSYKPHC22, author = {Jihun Yoon and SeulGi Hong and Seungbum Hong and Jiwon Lee and Soyeon Shin and Bokyung Park and Nakjun Sung and Hayeong Yu and Sungjae Kim and SungHyun Park and Woo Jin Hyung and Min{-}Kook Choi}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Surgical Scene Segmentation Using Semantic Image Synthesis with a Virtual Surgery Environment}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13437}, pages = {551--561}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16449-1\_53}, doi = {10.1007/978-3-031-16449-1\_53}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/YoonHHLSPSYKPHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoMKLLH22, author = {Sungjun Cho and Seonwoo Min and Jinwoo Kim and Moontae Lee and Honglak Lee and Seunghoon Hong}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Transformers meet Stochastic Block Models: Attention with Data-Adaptive Sparsity and Cost}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/9c93b3cd3bc60c0fe7b0c2d74a2da966-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChoMKLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimNMCLLH22, author = {Jinwoo Kim and Dat Nguyen and Seonwoo Min and Sungjun Cho and Moontae Lee and Honglak Lee and Seunghoon Hong}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Pure Transformers are Powerful Graph Learners}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/5d84236751fe6d25dc06db055a3180b0-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimNMCLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YunLCKLKLKHKHKK22, author = {Jungbin Yun and Seungjoon Lee and Seungwon Cha and Jihun Kim and Jeongho Lee and Hanseok Kim and Eungkyu Lee and Seonok Kim and Seunghan Hong and Hyungchae Kim and Jinsuk Huh and Sungchul Kim and Kazunori Kakehi and Jae{-}Ho Kim and June{-}Mo Koo and Eunsang Cho and Heegeun Jeong and Howoo Park and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.6 {\unicode{13211}} Small Pixel for High Resolution {CMOS} Image Sensor with Full Well Capacity of 10, 000e- by Dual Vertical Transfer Gate Technology}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {351--352}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830254}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830254}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YunLCKLKLKHKHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/ChoiKLSL22, author = {Minjin Choi and Jinhong Kim and Joonseok Lee and Hyunjung Shim and Jongwuk Lee}, editor = {K. Selcuk Candan and Huan Liu and Leman Akoglu and Xin Luna Dong and Jiliang Tang}, title = {S-Walk: Accurate and Scalable Session-based Recommendation with Random Walks}, booktitle = {{WSDM} '22: The Fifteenth {ACM} International Conference on Web Search and Data Mining, Virtual Event / Tempe, AZ, USA, February 21 - 25, 2022}, pages = {150--160}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3488560.3498464}, doi = {10.1145/3488560.3498464}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/ChoiKLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-01091, author = {Minjin Choi and Jinhong Kim and Joonseok Lee and Hyunjung Shim and Jongwuk Lee}, title = {S-Walk: Accurate and Scalable Session-based Recommendationwith Random Walks}, journal = {CoRR}, volume = {abs/2201.01091}, year = {2022}, url = {https://arxiv.org/abs/2201.01091}, eprinttype = {arXiv}, eprint = {2201.01091}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-01091.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-05435, author = {Minju Kim and Beong{-}woo Kwak and Youngwook Kim and Hong{-}in Lee and Seung{-}won Hwang and Jinyoung Yeo}, title = {Dual Task Framework for Improving Persona-grounded Dialogue Dataset}, journal = {CoRR}, volume = {abs/2202.05435}, year = {2022}, url = {https://arxiv.org/abs/2202.05435}, eprinttype = {arXiv}, eprint = {2202.05435}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-05435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-10065, author = {Donghoon Shin and Subeen Park and Esther Hehsun Kim and Soomin Kim and Jinwook Seo and Hwajung Hong}, title = {Exploring the Effects of AI-assisted Emotional Support Processes in Online Mental Health Community}, journal = {CoRR}, volume = {abs/2202.10065}, year = {2022}, url = {https://arxiv.org/abs/2202.10065}, eprinttype = {arXiv}, eprint = {2202.10065}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-10065.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07682, author = {Jinsu Yoo and Taehoon Kim and Sihaeng Lee and Seung Hwan Kim and Honglak Lee and Tae Hyun Kim}, title = {Rich CNN-Transformer Feature Aggregation Networks for Super-Resolution}, journal = {CoRR}, volume = {abs/2203.07682}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07682}, doi = {10.48550/ARXIV.2203.07682}, eprinttype = {arXiv}, eprint = {2203.07682}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-10050, author = {Jongjin Park and Younggyo Seo and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {{SURF:} Semi-supervised Reward Learning with Data Augmentation for Feedback-efficient Preference-based Reinforcement Learning}, journal = {CoRR}, volume = {abs/2203.10050}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.10050}, doi = {10.48550/ARXIV.2203.10050}, eprinttype = {arXiv}, eprint = {2203.10050}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-10050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13235, author = {Jae{-}Yeop Jeong and Yeong{-}Gi Hong and Daun Kim and Yuchul Jung and Jin{-}Woo Jeong}, title = {Facial Expression Recognition based on Multi-head Cross Attention Network}, journal = {CoRR}, volume = {abs/2203.13235}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13235}, doi = {10.48550/ARXIV.2203.13235}, eprinttype = {arXiv}, eprint = {2203.13235}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-17008, author = {Kanghyun Choi and Hyeyoon Lee and Deokki Hong and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {It's All In the Teacher: Zero-Shot Quantization Brought Closer to the Teacher}, journal = {CoRR}, volume = {abs/2203.17008}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.17008}, doi = {10.48550/ARXIV.2203.17008}, eprinttype = {arXiv}, eprint = {2203.17008}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-17008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-01265, author = {Minsu Kim and Joanna Hong and Se Jin Park and Yong Man Ro}, title = {Multi-modality Associative Bridging through Memory: Speech Sound Recollected from Face Video}, journal = {CoRR}, volume = {abs/2204.01265}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.01265}, doi = {10.48550/ARXIV.2204.01265}, eprinttype = {arXiv}, eprint = {2204.01265}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-01265.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06157, author = {Hongwu Liu and Zhiquan Bai and Hongjiang Lei and Gaofeng Pan and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {A New Rate Splitting Strategy for Uplink {CR-NOMA} Systems}, journal = {CoRR}, volume = {abs/2204.06157}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06157}, doi = {10.48550/ARXIV.2204.06157}, eprinttype = {arXiv}, eprint = {2204.06157}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06157.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06208, author = {Hongwu Liu and Yinghui Ye and Zhiquan Bai and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {Rate Splitting Multiple Access Aided Mobile Edge Computing in Cognitive Radio Networks}, journal = {CoRR}, volume = {abs/2204.06208}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06208}, doi = {10.48550/ARXIV.2204.06208}, eprinttype = {arXiv}, eprint = {2204.06208}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08135, author = {Hongjiang Lei and Fangtao Yang and Hongwu Liu and Imran Shafique Ansari and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {On Secure NOMA-Aided Semi-Grant-Free Systems}, journal = {CoRR}, volume = {abs/2204.08135}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08135}, doi = {10.48550/ARXIV.2204.08135}, eprinttype = {arXiv}, eprint = {2204.08135}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09573, author = {Kelly Payette and Hongwei Li and Priscille de Dumast and Roxane Licandro and Hui Ji and Md Mahfuzur Rahman Siddiquee and Daguang Xu and Andriy Myronenko and Hao Liu and Yuchen Pei and Lisheng Wang and Ying Peng and Juanying Xie and Huiquan Zhang and Guiming Dong and Hao Fu and Guotai Wang and ZunHyan Rieu and Donghyeon Kim and Hyun Gi Kim and Davood Karimi and Ali Gholipour and Helena R. Torres and Bruno Oliveira and Jo{\~{a}}o L. Vila{\c{c}}a and Yang Lin and Netanell Avisdris and Ori Ben{-}Zvi and Dafna Ben{-}Bashat and Lucas Fidon and Michael Aertsen and Tom Vercauteren and Daniel Sobotka and Georg Langs and Mireia Aleny{\`{a}} and Maria Inmaculada Villanueva and Oscar Camara and Bella Specktor{-}Fadida and Leo Joskowicz and Weibin Liao and Lv Yi and Xuesong Li and Moona Mazher and Abdul Qayyum and Domenec Puig and Hamza Kebiri and Zelin Zhang and Xinyi Xu and Dan Wu and KuanLun Liao and YiXuan Wu and JinTai Chen and Yunzhi Xu and Li Zhao and Lana Vasung and Bjoern H. Menze and Meritxell Bach Cuadra and Andr{\'{a}}s Jakab}, title = {Fetal Brain Tissue Annotation and Segmentation Challenge Results}, journal = {CoRR}, volume = {abs/2204.09573}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09573}, doi = {10.48550/ARXIV.2204.09573}, eprinttype = {arXiv}, eprint = {2204.09573}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09573.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06063, author = {Hongjiang Lei and Chen Zhu and Ki{-}Hong Park and Imran Shafique Ansari and Weijia Lei and Hong Tang and Kyeong Jin Kim}, title = {Outage Analysis of Aerial Semi-Grant-Free {NOMA} Systems}, journal = {CoRR}, volume = {abs/2205.06063}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06063}, doi = {10.48550/ARXIV.2205.06063}, eprinttype = {arXiv}, eprint = {2205.06063}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02505, author = {Jinwoo Kim and Tien Dat Nguyen and Seonwoo Min and Sungjun Cho and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Pure Transformers are Powerful Graph Learners}, journal = {CoRR}, volume = {abs/2207.02505}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02505}, doi = {10.48550/ARXIV.2207.02505}, eprinttype = {arXiv}, eprint = {2207.02505}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02505.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07155, author = {Hongwu Liu and Kyeong Jin Kim and Theodoros A. Tsiftsis and Bruno Clerckx and Kyung Sup Kwak and H. Vincent Poor}, title = {Cognitive Radio-Inspired Rate-Splitting Multiple Access for Semi-Grant-Free Transmissions}, journal = {CoRR}, volume = {abs/2208.07155}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07155}, doi = {10.48550/ARXIV.2208.07155}, eprinttype = {arXiv}, eprint = {2208.07155}, timestamp = {Wed, 17 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-10428, author = {Jinwoo Kim and Saeyoon Oh and Sungjun Cho and Seunghoon Hong}, title = {Equivariant Hypergraph Neural Networks}, journal = {CoRR}, volume = {abs/2208.10428}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.10428}, doi = {10.48550/ARXIV.2208.10428}, eprinttype = {arXiv}, eprint = {2208.10428}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-10428.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-11910, author = {Jinhong Kim and Yongjun Ahn and Byonghyo Shim}, title = {Massive Data Generation for Deep Learning-aided Wireless Systems Using Meta Learning and Generative Adversarial Network}, journal = {CoRR}, volume = {abs/2208.11910}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.11910}, doi = {10.48550/ARXIV.2208.11910}, eprinttype = {arXiv}, eprint = {2208.11910}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-11910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-15541, author = {Sungjun Cho and Seonwoo Min and Jinwoo Kim and Moontae Lee and Honglak Lee and Seunghoon Hong}, title = {Transformers meet Stochastic Block Models: Attention with Data-Adaptive Sparsity and Cost}, journal = {CoRR}, volume = {abs/2210.15541}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.15541}, doi = {10.48550/ARXIV.2210.15541}, eprinttype = {arXiv}, eprint = {2210.15541}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-15541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-00924, author = {Se Jin Park and Minsu Kim and Joanna Hong and Jeongsoo Choi and Yong Man Ro}, title = {SyncTalkFace: Talking Face Generation with Precise Lip-Syncing via Audio-Lip Memory}, journal = {CoRR}, volume = {abs/2211.00924}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.00924}, doi = {10.48550/ARXIV.2211.00924}, eprinttype = {arXiv}, eprint = {2211.00924}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-00924.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05910, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and et al.}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.05910}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05910}, doi = {10.48550/ARXIV.2211.05910}, eprinttype = {arXiv}, eprint = {2211.05910}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06769, author = {Andrey Ignatov and Radu Timofte and Jin Zhang and Feng Zhang and Gaocheng Yu and Zhe Ma and Hongbin Wang and Minsu Kwon and Haotian Qian and Wentao Tong and Pan Mu and Ziping Wang and Guangjing Yan and Brian Lee and Lei Fei and Huaijin G. Chen and Hyebin Cho and Byeongjun Kwon and Munchurl Kim and Mingyang Qian and Huixin Ma and Yanan Li and Xiaotao Wang and Lei Lei}, title = {Realistic Bokeh Effect Rendering on Mobile GPUs, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.06769}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06769}, doi = {10.48550/ARXIV.2211.06769}, eprinttype = {arXiv}, eprint = {2211.06769}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06769.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09861, author = {Trung X. Pham and Axi Niu and Kang Zhang and Sultan Rizky Hikmawan Madjid and Ji Woo Hong and Daehyeok Kim and Joshua Tian Jin Tee and Chang D. Yoo}, title = {Self-Supervised Visual Representation Learning via Residual Momentum}, journal = {CoRR}, volume = {abs/2211.09861}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09861}, doi = {10.48550/ARXIV.2211.09861}, eprinttype = {arXiv}, eprint = {2211.09861}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13508, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1st Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, journal = {CoRR}, volume = {abs/2211.13508}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13508}, doi = {10.48550/ARXIV.2211.13508}, eprinttype = {arXiv}, eprint = {2211.13508}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13508.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-06376, author = {Gabin An and Jingun Hong and Naryeong Kim and Shin Yoo}, title = {Fonte: Finding Bug Inducing Commits from Failures}, journal = {CoRR}, volume = {abs/2212.06376}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.06376}, doi = {10.48550/ARXIV.2212.06376}, eprinttype = {arXiv}, eprint = {2212.06376}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-06376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08568, author = {Matthias Eisenmann and Annika Reinke and Vivienn Weru and Minu Dietlinde Tizabi and Fabian Isensee and Tim J. Adler and Patrick Godau and Veronika Cheplygina and Michal Kozubek and Sharib Ali and Anubha Gupta and Jan Kybic and J. Alison Noble and Carlos Ortiz{-}de{-}Sol{\'{o}}rzano and Samiksha Pachade and Caroline Petitjean and Daniel Sage and Donglai Wei and Elizabeth Wilden and Deepak Alapatt and Vincent Andrearczyk and Ujjwal Baid and Spyridon Bakas and Niranjan Balu and Sophia Bano and Vivek Singh Bawa and Jorge Bernal and Sebastian Bodenstedt and Alessandro Casella and Jinwook Choi and Olivier Commowick and Marie Daum and Adrien Depeursinge and Reuben Dorent and Jan Egger and Hannah Eichhorn and Sandy Engelhardt and Melanie Ganz and Gabriel Girard and Lasse Hansen and Mattias P. Heinrich and Nicholas Heller and Alessa Hering and Arnaud Huaulm{\'{e}} and Hyunjeong Kim and Bennett A. Landman and Hongwei Bran Li and Jianning Li and Jun Ma and Anne L. Martel and et al.}, title = {Biomedical image analysis competitions: The state of current participation practice}, journal = {CoRR}, volume = {abs/2212.08568}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08568}, doi = {10.48550/ARXIV.2212.08568}, eprinttype = {arXiv}, eprint = {2212.08568}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Kim21f, author = {Jinhong Kim}, title = {Microplasma-driven, atomic layer deposition of flexible electronic and photonic nanofilms}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {2021}, url = {https://hdl.handle.net/2142/110688}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Kim21f.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHPCKJ21, author = {Dongsu Kim and Su Jin Heo and Goeun Pyo and Hongsoo Choi and Hyuk{-}Jun Kwon and Jae Eun Jang}, title = {{PZT} Ferroelectric Synapse {TFT} With Multi-Level of Conductance State for Neuromorphic Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {140975--140982}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3119607}, doi = {10.1109/ACCESS.2021.3119607}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimHPCKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLLL21, author = {Hongbi Kim and Yongsoo Lee and Eungyu Lee and Taejin Lee}, title = {Cost-Effective Valuable Data Detection Based on the Reliability of Artificial Intelligence}, journal = {{IEEE} Access}, volume = {9}, pages = {108959--108974}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3101257}, doi = {10.1109/ACCESS.2021.3101257}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimYCSLWPH21, author = {Sungjun Kim and Jaesang Yoo and Hakyu Choi and Jaekwan Seo and Sunghun Lee and Sang Min Won and Jin{-}Hong Park and Keun Heo}, title = {In-Depth Study of 3D Color-Resist Coating Process for Optically Uniform Image Sensors}, journal = {{IEEE} Access}, volume = {9}, pages = {146525--146532}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3121292}, doi = {10.1109/ACCESS.2021.3121292}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimYCSLWPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinZKWJDLK21, author = {Wang Lin and Qimeng Zhang and Youngbin Kim and Ruizheng Wu and Hongyu Jin and Haoke Deng and Pengchu Luo and Chang{-}Hun Kim}, title = {Automatic Chinese Meme Generation Using Deep Neural Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {152657--152667}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3127324}, doi = {10.1109/ACCESS.2021.3127324}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinZKWJDLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YiKKPH21, author = {Ji Hyun Yi and Woojin Kang and Song{-}Ei Kim and Doyun Park and Jin{-}Hyuk Hong}, title = {Smart Culture Lens: An Application That Analyzes the Visual Elements of Ceramics}, journal = {{IEEE} Access}, volume = {9}, pages = {42868--42883}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3065407}, doi = {10.1109/ACCESS.2021.3065407}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YiKKPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonHCK21, author = {Jae Sik Yoon and Jiyoon Hong and Hyungil Chae and Jintae Kim}, title = {A 74-dB Dynamic-Range 625-kHz Bandwidth Second-Order Noise-Shaping {SAR} {ADC} Utilizing a Temperature-Compensated Dynamic Amplifier and a Digital Mismatch Calibration}, journal = {{IEEE} Access}, volume = {9}, pages = {39597--39607}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3063680}, doi = {10.1109/ACCESS.2021.3063680}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonHCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacie/KimLXLWRG21, author = {Daejin Kim and Haobing Liu and Xiaodan Xu and Hongyu Lu and Roger Wayson and Michael O. Rodgers and Randall Guensler}, title = {Distributed computing for region-wide line source dispersion modeling}, journal = {Comput. Aided Civ. Infrastructure Eng.}, volume = {36}, number = {3}, pages = {331--345}, year = {2021}, url = {https://doi.org/10.1111/mice.12639}, doi = {10.1111/MICE.12639}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacie/KimLXLWRG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cdm/KimN21, author = {Jin Hong Kim and Park Nari}, title = {Flag vector pairs, fatness, and their bounds for 4-polytopes}, journal = {Contributions Discret. Math.}, volume = {16}, number = {3}, pages = {9--30}, year = {2021}, url = {https://cdm.ucalgary.ca/article/view/71007}, timestamp = {Fri, 04 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cdm/KimN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/ZhangZZZKYZW21, author = {Ruicong Zhang and Li Zhuo and Hui Zhang and Yan Zhang and Jinman Kim and Hongxia Yin and Pengfei Zhao and Zhenchang Wang}, title = {Vestibule segmentation from {CT} images with integration of multiple deep feature fusion strategies}, journal = {Comput. Medical Imaging Graph.}, volume = {89}, pages = {101872}, year = {2021}, url = {https://doi.org/10.1016/j.compmedimag.2021.101872}, doi = {10.1016/J.COMPMEDIMAG.2021.101872}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/ZhangZZZKYZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/RyuKYJCPHCCCL21, author = {Susie Ryu and Jun Hong Kim and Heejin Yu and Hwi{-}Dong Jung and Suk Won Chang and Jeong Jin Park and Soonhyuk Hong and Hyung{-}Ju Cho and Yoon Jeong Choi and Jongeun Choi and Joon Sang Lee}, title = {Diagnosis of obstructive sleep apnea with prediction of flow characteristics according to airway morphology automatically extracted from medical images: Computational fluid dynamics and artificial intelligence approach}, journal = {Comput. Methods Programs Biomed.}, volume = {208}, pages = {106243}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106243}, doi = {10.1016/J.CMPB.2021.106243}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/RyuKYJCPHCCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YooCKRK21, author = {Tae Keun Yoo and Joon Yul Choi and Hong Kyu Kim and Ik Hee Ryu and Jin Kuk Kim}, title = {Adopting low-shot deep learning for the detection of conjunctival melanoma using ocular surface images}, journal = {Comput. Methods Programs Biomed.}, volume = {205}, pages = {106086}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106086}, doi = {10.1016/J.CMPB.2021.106086}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YooCKRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/AlavizadehHKJ21, author = {Hooman Alavizadeh and Jin B. Hong and Dong Seong Kim and Julian Jang{-}Jaccard}, title = {Evaluating the effectiveness of shuffle and redundancy {MTD} techniques in the cloud}, journal = {Comput. Secur.}, volume = {102}, pages = {102091}, year = {2021}, url = {https://doi.org/10.1016/j.cose.2020.102091}, doi = {10.1016/J.COSE.2020.102091}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/AlavizadehHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/KimJHM21, author = {Bongjae Kim and Jinman Jung and Junyoung Heo and Hong Min}, title = {Key node selection based on a genetic algorithm for fast patching in social networks}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {2}, year = {2021}, url = {https://doi.org/10.1002/cpe.5194}, doi = {10.1002/CPE.5194}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/KimJHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/LeeJKP21, author = {Seungpeel Lee and Honggeun Ji and Jina Kim and Eunil Park}, title = {What books will be your bestseller? {A} machine learning approach with Amazon Kindle}, journal = {Electron. Libr.}, volume = {39}, number = {1}, pages = {137--151}, year = {2021}, url = {https://doi.org/10.1108/EL-08-2020-0234}, doi = {10.1108/EL-08-2020-0234}, timestamp = {Thu, 27 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/LeeJKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/JangKKHKK21, author = {Sooah Jang and Jae{-}Jin Kim and Soo{-}Jeong Kim and Jieun Hong and Suji Kim and Eunjoo Kim}, title = {Mobile app-based chatbot to deliver cognitive behavioral therapy and psychoeducation for adults with attention deficit: {A} development and feasibility/usability study}, journal = {Int. J. Medical Informatics}, volume = {150}, pages = {104440}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104440}, doi = {10.1016/J.IJMEDINF.2021.104440}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/JangKKHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/PakKJ21, author = {Chol Hong Pak and Jin Hong Kim and Myongguk Jong}, title = {Describing hierarchy of concept lattice by using matrix}, journal = {Inf. Sci.}, volume = {542}, pages = {58--70}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2020.05.020}, doi = {10.1016/J.INS.2020.05.020}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/PakKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/JungJHLK21, author = {Jinuk Jung and Hyunwhan Joe and Kyung{-}Sik Ha and Jin{-}Muk Lim and Hong{-}Gee Kim}, title = {Biomedical Entity Explorer: {A} Web Server for Biomedical Entity Exploration}, journal = {J. Comput. Biol.}, volume = {28}, number = {6}, pages = {619--628}, year = {2021}, url = {https://doi.org/10.1089/cmb.2020.0364}, doi = {10.1089/CMB.2020.0364}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/JungJHLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/KimYHS21, author = {Hyunjun Kim and Jinyoung Yoon and Jonghwa Hong and Sung{-}Han Sim}, title = {Automated Damage Localization and Quantification in Concrete Bridges Using Point Cloud-Based Surface-Fitting Strategy}, journal = {J. Comput. Civ. Eng.}, volume = {35}, number = {6}, year = {2021}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000993}, doi = {10.1061/(ASCE)CP.1943-5487.0000993}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/KimYHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/HanELYK21, author = {Seong{-}Sik Han and Hyun{-}jin Eom and Min{-}Su Lee and Tai Hong Yim and Heung{-}Kyu Kim}, title = {Design of wood-like metallic material using metal sheet architecture}, journal = {J. Comput. Des. Eng.}, volume = {8}, number = {5}, pages = {1290--1306}, year = {2021}, url = {https://doi.org/10.1093/jcde/qwab048}, doi = {10.1093/JCDE/QWAB048}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/HanELYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimJLPMHPLKHJLR21, author = {Yoo Jung Kim and Hyungjoon Jang and Kyoungbun Lee and Seongkeun Park and Sung{-}Gyu Min and Choyeon Hong and Jeong Hwan Park and Kanggeun Lee and Jisoo Kim and Wonjae Hong and Hyun Jung and Yanling Liu and Haran Rajkumar and Mahendra Khened and Ganapathy Krishnamurthi and Sen Yang and Xiyue Wang and Chang Hee Han and Jinwook Choi}, title = {{PAIP} 2019: Liver cancer segmentation challenge}, journal = {Medical Image Anal.}, volume = {67}, pages = {101854}, year = {2021}, url = {https://doi.org/10.1016/j.media.2020.101854}, doi = {10.1016/J.MEDIA.2020.101854}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimJLPMHPLKHJLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/KimJMH21, author = {Bongjae Kim and Jinman Jung and Hong Min and Junyoung Heo}, title = {Energy Efficient and Real-Time Remote Sensing in AI-Powered Drone}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {6650053:1--6650053:8}, year = {2021}, url = {https://doi.org/10.1155/2021/6650053}, doi = {10.1155/2021/6650053}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/KimJMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/LeeHK21, author = {O{-}Joun Lee and Seungha Hong and Jin{-}Taek Kim}, title = {Interinstitutional Research Team Formation Based on Bibliographic Network Embedding}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {6629520:1--6629520:12}, year = {2021}, url = {https://doi.org/10.1155/2021/6629520}, doi = {10.1155/2021/6629520}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mis/LeeHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HwangJHHLKB21, author = {Gue{-}Ho Hwang and You Kyeong Jeong and Omer Habib and Sung{-}Ah Hong and Kayeong Lim and Jin{-}Soo Kim and Sangsu Bae}, title = {PE-Designer and PE-Analyzer: web-based design and analysis tools for {CRISPR} prime editing}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Webserver-Issue}, pages = {499--504}, year = {2021}, url = {https://doi.org/10.1093/nar/gkab319}, doi = {10.1093/NAR/GKAB319}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HwangJHHLKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ParkHDLK21, author = {Gilsoon Park and Jinwoo Hong and Ben A. Duffy and Jong{-}Min Lee and Hosung Kim}, title = {White matter hyperintensities segmentation using the ensemble U-Net with multi-scale highlighting foregrounds}, journal = {NeuroImage}, volume = {237}, pages = {118140}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118140}, doi = {10.1016/J.NEUROIMAGE.2021.118140}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ParkHDLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ShinLYYJONJKFKC21, author = {Hyeong{-}Geol Shin and Jingu Lee and Young Hyun Yun and Seong Ho Yoo and Jinhee Jang and Se{-}Hong Oh and Yoonho Nam and Sehoon Jung and Sunhye Kim and Masaki Fukunaga and Woojun Kim and Hyung Jin Choi and Jongho Lee}, title = {{\(\chi\)}-separation: Magnetic susceptibility source separation toward iron and myelin mapping in the brain}, journal = {NeuroImage}, volume = {240}, pages = {118371}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118371}, doi = {10.1016/J.NEUROIMAGE.2021.118371}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ShinLYYJONJKFKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ZhangLKGSJSLL21, author = {Jinghua Zhang and Chen Li and Sergey Kosov and Marcin Grzegorzek and Kimiaki Shirahama and Tao Jiang and Changhao Sun and Zihan Li and Hong Li}, title = {LCU-Net: {A} novel low-cost U-Net for environmental microorganism image segmentation}, journal = {Pattern Recognit.}, volume = {115}, pages = {107885}, year = {2021}, url = {https://doi.org/10.1016/j.patcog.2021.107885}, doi = {10.1016/J.PATCOG.2021.107885}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ZhangLKGSJSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/MoonKYKC21, author = {Seung Jae Moon and Jinsol Kim and Hongsik Yim and Yeeun Kim and Hyouk Ryeol Choi}, title = {Real-Time Obstacle Avoidance Using Dual-Type Proximity Sensor for Safe Human-Robot Interaction}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {4}, pages = {8021--8028}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3102318}, doi = {10.1109/LRA.2021.3102318}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/MoonKYKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HongPJSKS21, author = {Rokgi Hong and Jinseok Park and Seongju Jang and Hyungjin Shin and Hakkwan Kim and Inhong Song}, title = {Development of a Parcel-Level Land Boundary Extraction Algorithm for Aerial Imagery of Regularly Arranged Agricultural Areas}, journal = {Remote. Sens.}, volume = {13}, number = {6}, pages = {1167}, year = {2021}, url = {https://doi.org/10.3390/rs13061167}, doi = {10.3390/RS13061167}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HongPJSKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/ByunPBYKLHC21, author = {Junghwan Byun and Minjo Park and Sang{-}Min Baek and Jaeyoung Yoon and Woongbae Kim and Byeongmoon Lee and Yongtaek Hong and Kyu{-}Jin Cho}, title = {Underwater maneuvering of robotic sheets through buoyancy-mediated active flutter}, journal = {Sci. Robotics}, volume = {6}, number = {53}, pages = {0637}, year = {2021}, url = {https://doi.org/10.1126/scirobotics.abe0637}, doi = {10.1126/SCIROBOTICS.ABE0637}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/ByunPBYKLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiHOKP21, author = {Jiho Choi and Jin Seong Hong and Muhammad Owais and Seung Gu Kim and Kang Ryoung Park}, title = {Restoration of Motion Blurred Image by Modified DeblurGAN for Enhancing the Accuracies of Finger-Vein Recognition}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4635}, year = {2021}, url = {https://doi.org/10.3390/s21144635}, doi = {10.3390/S21144635}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiHOKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongCLK21, author = {Taekeun Hong and Jin{-}A. Choi and Kiho Lim and Pankoo Kim}, title = {Enhancing Personalized Ads Using Interest Category Classification of {SNS} Users Based on Deep Neural Networks}, journal = {Sensors}, volume = {21}, number = {1}, pages = {199}, year = {2021}, url = {https://doi.org/10.3390/s21010199}, doi = {10.3390/S21010199}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NohKKPJLL21, author = {Seungwoo Noh and Jinmyeong Kim and Gahyeon Kim and Chulhwan Park and Hongje Jang and Min{-}Ho Lee and Taek Lee}, title = {Recent Advances in {CRP} Biosensor Based on Electrical, Electrochemical and Optical Methods}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3024}, year = {2021}, url = {https://doi.org/10.3390/s21093024}, doi = {10.3390/S21093024}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NohKKPJLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhLCCKYN21, author = {Song Hee Oh and Sae Rom Lee and Jin{-}Young Choi and Yong Suk Choi and Seong{-}hun Kim and Hong Cheol Yoon and Gerald Nelson}, title = {Detection of Dental Caries and Cracks with Quantitative Light-Induced Fluorescence in Comparison to Radiographic and Visual Examination: {A} Retrospective Case Study}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1741}, year = {2021}, url = {https://doi.org/10.3390/s21051741}, doi = {10.3390/S21051741}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/OhLCCKYN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimLHHSS21, author = {Jinsoo Kim and Donghwan Lee and Jaejoon Hwang and Sunghoon Hong and Dongil Shin and Dongkyoo Shin}, title = {Wireless Sensor Network {(WSN)} Configuration Method to Increase Node Energy Efficiency through Clustering and Location Information}, journal = {Symmetry}, volume = {13}, number = {3}, pages = {390}, year = {2021}, url = {https://doi.org/10.3390/sym13030390}, doi = {10.3390/SYM13030390}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KimLHHSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HongKPR21, author = {Joanna Hong and Minsu Kim and Se Jin Park and Yong Man Ro}, title = {Speech Reconstruction With Reminiscent Sound Via Visual Voice Memory}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {29}, pages = {3654--3667}, year = {2021}, url = {https://doi.org/10.1109/TASLP.2021.3126925}, doi = {10.1109/TASLP.2021.3126925}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/HongKPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimHHK21, author = {Jinkwon Kim and Seokin Hong and Jeongkyu Hong and Soontae Kim}, title = {{CID:} Co-Architecting Instruction Cache and Decompression System for Embedded Systems}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {7}, pages = {1132--1145}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3010062}, doi = {10.1109/TC.2020.3010062}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimHHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/NhlabatsiHKFHFK21, author = {Armstrong Nhlabatsi and Jin B. Hong and Dong Seong Kim and Rachael Fernandez and Alaa Hussein and Noora Fetais and Khaled M. Khan}, title = {Threat-Specific Security Risk Evaluation in the Cloud}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {9}, number = {2}, pages = {793--806}, year = {2021}, url = {https://doi.org/10.1109/TCC.2018.2883063}, doi = {10.1109/TCC.2018.2883063}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/NhlabatsiHKFHFK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/JinLCKH21, author = {Sunghyun Jin and Sangyub Lee and Sung Min Cho and HeeSeok Kim and Seokhie Hong}, title = {Novel Key Recovery Attack on Secure {ECDSA} Implementation by Exploiting Collisions between Unknown Entries}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2021}, number = {4}, pages = {1--26}, year = {2021}, url = {https://doi.org/10.46586/tches.v2021.i4.1-26}, doi = {10.46586/TCHES.V2021.I4.1-26}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tches/JinLCKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimLLDOP21, author = {Kyeong Jin Kim and Hongwu Liu and Hongjiang Lei and Zhiguo Ding and Philip V. Orlik and H. Vincent Poor}, title = {A dCDD-Based Transmit Diversity Scheme for Downlink Pseudo-NOMA Systems}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {20}, number = {2}, pages = {1217--1232}, year = {2021}, url = {https://doi.org/10.1109/TWC.2020.3031809}, doi = {10.1109/TWC.2020.3031809}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimLLDOP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/HanKYLCWH21, author = {Jungsu Han and Jeong{-}Heon Kim and Aram Youn and Jinhee Lee and Yunsuh Chun and Jongsoo Woo and James Won{-}Ki Hong}, title = {Cos-CBDC: Design and Implementation of {CBDC} on Cosmos Blockchain}, booktitle = {22nd Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2021, Tainan, Taiwan, September 8-10, 2021}, pages = {303--308}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/APNOMS52696.2021.9562672}, doi = {10.23919/APNOMS52696.2021.9562672}, timestamp = {Wed, 20 Oct 2021 16:44:30 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/HanKYLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HuynhNGKH21, author = {Larry Huynh and Thai Nguyen and Joshua Goh and Hyoungshick Kim and Jin B. Hong}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {ARGH!: Automated Rumor Generation Hub}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {3847--3856}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3481894}, doi = {10.1145/3459637.3481894}, timestamp = {Tue, 16 Aug 2022 23:04:38 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HuynhNGKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HongCK21, author = {Minui Hong and Jinwoo Choi and Gunhee Kim}, title = {StyleMix: Separating Content and Style for Enhanced Data Augmentation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {14862--14870}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Hong\_StyleMix\_Separating\_Content\_and\_Style\_for\_Enhanced\_Data\_Augmentation\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01462}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/HongCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimJKKLS21, author = {Sunpill Kim and Yunseong Jeong and Jinsu Kim and Jungkon Kim and Hyung Tae Lee and Jae Hong Seo}, title = {IronMask: Modular Architecture for Protecting Deep Face Template}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {16125--16134}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Kim\_IronMask\_Modular\_Architecture\_for\_Protecting\_Deep\_Face\_Template\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01586}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimJKKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimYLH21, author = {Jinwoo Kim and Jaehoon Yoo and Juho Lee and Seunghoon Hong}, title = {SetVAE: Learning Hierarchical Composition for Generative Modeling of Set-Structured Data}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {15059--15068}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Kim\_SetVAE\_Learning\_Hierarchical\_Composition\_for\_Generative\_Modeling\_of\_Set-Structured\_Data\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01481}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiHYYKL21, author = {Kanghyun Choi and Deokki Hong and Hojae Yoon and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {{DANCE:} Differentiable Accelerator/Network Co-Exploration}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {337--342}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586121}, doi = {10.1109/DAC18074.2021.9586121}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChoiHYYKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JeongKH21, author = {Jinkyo Jeong and Il{-}Min Kim and Daesik Hong}, title = {Deep Reinforcement Learning-based Task Offloading Decision in the Time Varying Channel}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369737}, doi = {10.1109/ICEIC51217.2021.9369737}, timestamp = {Fri, 26 Mar 2021 08:44:08 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/JeongKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimSKLHJ21, author = {Jinuk Kim and Hongseok Shin and Soon{-}Jae Kweon and Seongwook Lee and Sohmyung Ha and Minkyu Je}, title = {A Scalable Readout {IC} Based on Wideband Noise Cancelling for Full-Rate Scanning of High-Density Microelectrode Arrays}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {7344--7347}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630796}, doi = {10.1109/EMBC46164.2021.9630796}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KimSKLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimJJKL21, author = {Hong Jung Kim and Ho Min Jo and Hyun Seo Jang and Su Jin Kim and Yun Gil Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing a Virtual Agent that Exhibits Behavioral Conformity as a Social Interaction in the Atypical Architectural Space}, booktitle = {{HCI} International 2021 - Posters - 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1420}, pages = {264--268}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78642-7\_35}, doi = {10.1007/978-3-030-78642-7\_35}, timestamp = {Thu, 22 Jul 2021 14:47:10 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimJJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeJKKJ21, author = {Yun Gil Lee and Hyun Seo Jang and Su Jin Kim and Hong Jung Kim and Ho Min Jo}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing a Technology of Tracing a Trigger Spot for Human Behavior through Voxelization of Atypical Architectural Shapes}, booktitle = {{HCI} International 2021 - Posters - 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1420}, pages = {278--283}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78642-7\_37}, doi = {10.1007/978-3-030-78642-7\_37}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeJKKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeHLVKSNWJS21, author = {Seungwon Lee and JuHyung Hong and Chulseung Lee and Sandeep Vallabhaneni and SoonGon Kim and Yong Jin Shin and Mijung Noh and Soon{-}jae Won and Soonbok Jang and Yong Ho Song}, title = {High Performance Low Power Controller for Data Center SSDs}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567475}, doi = {10.1109/HCS52781.2021.9567475}, timestamp = {Mon, 25 Oct 2021 18:04:14 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeHLVKSNWJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HongJA0K21, author = {Je Hyeong Hong and Yoo Seong Jong and Muhammad Zeeshan Arshad and Young Min Kim and Jinwook Kim}, title = {Structure-from-Sherds: Incremental 3D Reassembly of Axially Symmetric Pots from Unordered and Mixed Fragment Collections}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {5423--5431}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00539}, doi = {10.1109/ICCV48922.2021.00539}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/HongJA0K21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimHPR21, author = {Minsu Kim and Joanna Hong and Se Jin Park and Yong Man Ro}, title = {Multi-modality Associative Bridging through Memory: Speech Sound Recollected from Face Video}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {296--306}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00036}, doi = {10.1109/ICCV48922.2021.00036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/KimHPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SeoCSLAL21, author = {Younggyo Seo and Lili Chen and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, editor = {Marina Meila and Tong Zhang}, title = {State Entropy Maximization with Random Encoders for Efficient Exploration}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {9443--9454}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/seo21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SeoCSLAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKJ21, author = {Minsoo Hong and Sungjei Kim and Jinwoo Jeong}, title = {Norm-Correlation Based Filter Pruning to Accelerating Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1393--1396}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621084}, doi = {10.1109/ICTC52510.2021.9621084}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/HongKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeongHKK21, author = {Jinwoo Jeong and Minsoo Hong and Je Woo Kim and Sungjei Kim}, title = {A Fast 4K Video Frame Interpolation based on StepWise Optical Flow Computation and Video Spatial Interpolation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1140--1143}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621048}, doi = {10.1109/ICTC52510.2021.9621048}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeongHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhkHK21, author = {Seung{-}Ryeol Ohk and Seok Min Hong and Young{-}Jin Kim}, title = {Phase-based predicting the battery remaining time for Android mobile devices}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {942--944}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621027}, doi = {10.1109/ICTC52510.2021.9621027}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/OhkHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YooSJJKYJC21, author = {Joo Hun Yoo and Ha Min Son and Hyejun Jeong and Eun{-}Hye Jang and Ah{-}Young Kim and Han{-}Young Yu and Hong Jin Jeon and Tai{-}Myoung Chung}, title = {Personalized Federated Learning with Clustering: Non-IID Heart Rate Variability Data Application}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1046--1051}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620852}, doi = {10.1109/ICTC52510.2021.9620852}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YooSJJKYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KimJ21, author = {Hyewon Kim and Hong Jin Jeon}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Predicting who will benefit from relaxation or stress reduction through virtual reality}, booktitle = {15th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2021, Seoul, South Korea, January 4-6, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMCOM51814.2021.9377387}, doi = {10.1109/IMCOM51814.2021.9377387}, timestamp = {Thu, 25 Mar 2021 12:01:12 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KimJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimLCH21, author = {Jin Hyoung Kim and Kwon Hong Lee and Cheolung Cha and Yongtaek Hong}, title = {PDMS-based {RF} Resonant Sensor for Measuring the Concentration of Micro-Plastics}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2021, Singapore, December 13-16, 2021}, pages = {293--296}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IEEM50564.2021.9672908}, doi = {10.1109/IEEM50564.2021.9672908}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/KimLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/LeeKLC21, author = {Kwon Hong Lee and Jin Hyoung Kim and Hyungmin Lee and Cheolung Cha}, title = {Carbon Black Based Resistive Strain Gauge Sensor for Penile Measurement}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2021, Singapore, December 13-16, 2021}, pages = {1363--1366}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IEEM50564.2021.9673022}, doi = {10.1109/IEEM50564.2021.9673022}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieem/LeeKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LeeJYJWLLHCSKKK21, author = {Jaehun Lee and Youngcheon Jeong and Kyongsik Yeom and Changmin Jeon and Jongsung Woo and Sangjin Lee and Ga{-}Young Lee and Dong{-}Hwee Hwang and Yong Seok Chung and Minji Seo and Dong{-}Hyun Kim and DalHwan Kim and Yongsik Kim and HyunChang Lee and Soomin Cho and MyeongHee Oh and Hyun{-}Jin Shin and Gun Rae Kim and Sungyoung Yoon and Yong Kyu Lee and Young Ki Hong}, title = {Highly Reliable 28nm Embedded Flash Process Development for High-Density and High-Speed Automotive Grade-1 Application}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2021, Dresden, Germany, May 16-19, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMW51353.2021.9439602}, doi = {10.1109/IMW51353.2021.9439602}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LeeJYJWLLHCSKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KeelKKBKCSLJSHA21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Heeyoung Jo and Seung{-}Chul Shin and Sunjoo Hong and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Kyoungmin Koh and Yongin Park}, title = {A 4-tap 3.5 {\(\mu\)}m 1.2 Mpixel Indirect Time-of-Flight {CMOS} Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {106--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365854}, doi = {10.1109/ISSCC42613.2021.9365854}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KeelKKBKCSLJSHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/HongFWK0CC21, author = {Hsiang{-}Jen Hong and Wenjun Fan and Simeon Wuthier and Jinoh Kim and Xiaobo Zhou and C. Edward Chow and Sang{-}Yoon Chang}, title = {Robust {P2P} Connectivity Estimation for Permissionless Bitcoin Network}, booktitle = {29th {IEEE/ACM} International Symposium on Quality of Service, {IWQOS} 2021, Tokyo, Japan, June 25-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IWQOS52092.2021.9521287}, doi = {10.1109/IWQOS52092.2021.9521287}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwqos/HongFWK0CC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JeonKHW21, author = {Uju Jeon and Hyeonjin Kim and Helen Hong and Joon{-}Ho Wang}, editor = {Maciej A. Mazurowski and Karen Drukker}, title = {Two-stage meniscus segmentation framework integrating multiclass localization network and adversarial learning-based segmentation network in knee {MR} images}, booktitle = {Medical Imaging 2021: Computer-Aided Diagnosis, Online, February 15-20, 2021}, series = {{SPIE} Proceedings}, volume = {11597}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581385}, doi = {10.1117/12.2581385}, timestamp = {Wed, 06 Mar 2024 16:09:26 +0100}, biburl = {https://dblp.org/rec/conf/micad/JeonKHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimHJCR21, author = {Hyeonjin Kim and Helen Hong and Dae Chul Jung and Kidon Chang and Koon Ho Rha}, editor = {Maciej A. Mazurowski and Karen Drukker}, title = {Renal parenchyma segmentation in abdominal {MR} images based on cascaded deep convolutional neural network with signal intensity correction}, booktitle = {Medical Imaging 2021: Computer-Aided Diagnosis, Online, February 15-20, 2021}, series = {{SPIE} Proceedings}, volume = {11597}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2582333}, doi = {10.1117/12.2582333}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimHJCR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeJRKK21, author = {Hoang Long Le and Yejin Jeon and Hong Gee Roh and Hyun Jeong Kim and Jin Tae Kwak}, editor = {Maciej A. Mazurowski and Karen Drukker}, title = {3-D multitask deep neural networks for collateral imaging from dynamic susceptibility contrast-enhanced magnetic resonance perfusion}, booktitle = {Medical Imaging 2021: Computer-Aided Diagnosis, Online, February 15-20, 2021}, series = {{SPIE} Proceedings}, volume = {11597}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581011}, doi = {10.1117/12.2581011}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeJRKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/JungT0LJK21, author = {Jinho Jung and Stephen Tong and Hong Hu and Jungwon Lim and Yonghwi Jin and Taesoo Kim}, title = {{WINNIE} : Fuzzing Windows Applications with Harness Synthesis and Fast Cloning}, booktitle = {28th Annual Network and Distributed System Security Symposium, {NDSS} 2021, virtually, February 21-25, 2021}, publisher = {The Internet Society}, year = {2021}, url = {https://www.ndss-symposium.org/ndss-paper/winnie-fuzzing-windows-applications-with-harness-synthesis-and-fast-cloning/}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ndss/JungT0LJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AnKLKKKSKHW21, author = {Jaeju An and Jeongho Kim and Hanbeen Lee and Jinbeom Kim and Junhyung Kang and Minha Kim and Saebyeol Shin and Donghee Hong and Simon S. Woo}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{VFP290K:} {A} Large-Scale Benchmark Dataset for Vision-based Fallen Person Detection}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/812b4ba287f5ee0bc9d43bbf5bbe87fb-Abstract-round2.html}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/AnKLKKKSKHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoiHPKL21, author = {Kanghyun Choi and Deokki Hong and Noseong Park and Youngsok Kim and Jinho Lee}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Qimera: Data-free Quantization with Synthetic Boundary Supporting Samples}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {14835--14847}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/7cc234202e98d2722580858573fd0817-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ChoiHPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimOH21, author = {Jinwoo Kim and Saeyoon Oh and Seunghoon Hong}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Transformers Generalize DeepSets and Can be Extended to Graphs {\&} Hypergraphs}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {28016--28028}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/ec0f40c389aeef789ce03eb814facc6c-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/KimOH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeKHC21, author = {Jinhee Lee and Haeri Kim and Youngkyu Hong and Hye Won Chung}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Self-Diagnosing {GAN:} Diagnosing Underrepresented Samples in Generative Adversarial Networks}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {1925--1938}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/0ebcc77dc72360d0eb8e9504c78d38bd-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LeeKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeLLLS21, author = {Hankook Lee and Kibok Lee and Kimin Lee and Honglak Lee and Jinwoo Shin}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Improving Transferability of Representations via Augmentation-Aware Self-Supervision}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {17710--17722}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/94130ea17023c4837f0dcdda95034b65-Abstract.html}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LeeLLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/AbhashkumarSAKS21, author = {Anubhavnidhi Abhashkumar and Kausik Subramanian and Alexey Andreyev and Hyojeong Kim and Nanda Kishore Salem and Jingyi Yang and Petr Lapukhov and Aditya Akella and Hongyi Zeng}, editor = {James Mickens and Renata Teixeira}, title = {Running {BGP} in Data Centers at Scale}, booktitle = {18th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2021, April 12-14, 2021}, pages = {65--81}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/nsdi21/presentation/abhashkumar}, timestamp = {Thu, 12 Aug 2021 18:19:16 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/AbhashkumarSAKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/JinCKH21, author = {Beomjin Jin and Jusop Choi and Hyoungshick Kim and Jin B. Hong}, editor = {Chih{-}Cheng Hung and Jiman Hong and Alessio Bechini and Eunjee Song}, title = {FUMVar: a practical framework for generating fully-working and unseen malware variants}, booktitle = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, pages = {1656--1663}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412841.3442039}, doi = {10.1145/3412841.3442039}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/JinCKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/KimL21, author = {Hongbi Kim and Taejin Lee}, title = {Research on Autoencdoer Technology for Malware Feature Purification}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {236--239}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00057}, doi = {10.1109/SNPDWINTER52325.2021.00057}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/KimL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimUHKH21, author = {Heon{-}Su Kim and Hui{-}Jin Um and Woolim Hong and Hak{-}Sung Kim and Pilwon Hur}, title = {Structural design for energy absorption during heel strike using the auxetic structure in the heel part of the prosthetic foot}, booktitle = {18th International Conference on Ubiquitous Robots, {UR} 2021, Gangneung, South Korea), July 12-14, 2021}, pages = {130--133}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UR52253.2021.9494652}, doi = {10.1109/UR52253.2021.9494652}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/KimUHKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/UmKHKH21, author = {Hui{-}Jin Um and Heon{-}Su Kim and Woolim Hong and Hak{-}Sung Kim and Pilwon Hur}, title = {3D-Printable Toe-joint Design of Prosthetic Foot}, booktitle = {18th International Conference on Ubiquitous Robots, {UR} 2021, Gangneung, South Korea), July 12-14, 2021}, pages = {9--13}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UR52253.2021.9494658}, doi = {10.1109/UR52253.2021.9494658}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/UmKHKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HongBSKYKBCSKJC21, author = {Seunghwan Hong and Chang{-}Hyun Bae and Yoo{-}Chang Sung and Jaewoong Kim and Junsub Yoon and Sangwoo Kim and Jin{-}Hyeok Baek and Cheongryong Cho and Useung Shin and Sang{-}Kyeom Kim and Hwan{-}Chul Jung and Ho{-}Jun Chang and Jang{-}Hoo Kim and Jeongsik Hwang and Hyunki Kim and Ki{-}Won Lee and Dongmin Kim and Han{-}Ki Jeong and Myung{-}O. Kim and Kyomin Sohn and Jeong{-}Don Ihm and Changsik Yoo and Sang Joon Hwang}, title = {A Reflection and Crosstalk Canceling Continuous-Time Linear Equalizer for High-Speed {DDR} {SDRAM}}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492390}, doi = {10.23919/VLSICIRCUITS52068.2021.9492390}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HongBSKYKBCSKJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinJKKKHJ21, author = {Hongseok Shin and Doojin Jang and Gyeong{-}Gu Kang and Jinuk Kim and Chul Kim and Sohmyung Ha and Minkyu Je}, title = {A 96.6{\%}-Efficiency Continuous-Input-Current Hybrid Dual-Path Buck-Boost Converter with Single-Mode Operation and Non-Stopping Output Current Delivery}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492409}, doi = {10.23919/VLSICIRCUITS52068.2021.9492409}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/ShinJKKKHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimKKH21, author = {Hyunjin Kim and Hyejin Kim and Jintae Kim and Daesik Hong}, title = {A Novel Spectrum Partitioning Scheme for Dynamic Heterogeneous Networks}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448816}, doi = {10.1109/VTC2021-SPRING51267.2021.9448816}, timestamp = {Fri, 18 Jun 2021 10:49:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimKKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ChoiKLSL21, author = {Minjin Choi and Jinhong Kim and Joonseok Lee and Hyunjung Shim and Jongwuk Lee}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Session-aware Linear Item-Item Models for Session-based Recommendation}, booktitle = {{WWW} '21: The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {2186--2197}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442381.3450005}, doi = {10.1145/3442381.3450005}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ChoiKLSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/JungHSLMKYJC21, author = {Changwook Jung and Inho Hong and Diego S{\'{a}}ez{-}Trumper and Damin Lee and Jaehyeon Myung and Danu Kim and Jinhyuk Yun and Woo{-}Sung Jung and Meeyoung Cha}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Information flow on {COVID-19} over Wikipedia: {A} case study of 11 languages}, booktitle = {Companion of The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {627--628}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442442.3452352}, doi = {10.1145/3442442.3452352}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/JungHSLMKYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09433, author = {Jinyeong Chae and Ki Yong Hong and Jihie Kim}, title = {A Pressure Ulcer Care System For Remote Medical Assistance: Residual U-Net with an Attention Model Based for Wound Area Segmentation}, journal = {CoRR}, volume = {abs/2101.09433}, year = {2021}, url = {https://arxiv.org/abs/2101.09433}, eprinttype = {arXiv}, eprint = {2101.09433}, timestamp = {Sun, 31 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09433.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09430, author = {Younggyo Seo and Lili Chen and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {State Entropy Maximization with Random Encoders for Efficient Exploration}, journal = {CoRR}, volume = {abs/2102.09430}, year = {2021}, url = {https://arxiv.org/abs/2102.09430}, eprinttype = {arXiv}, eprint = {2102.09430}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09430.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-12033, author = {Jinhee Lee and Haeri Kim and Youngkyu Hong and Hye Won Chung}, title = {Self-Diagnosing {GAN:} Diagnosing Underrepresented Samples in Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/2102.12033}, year = {2021}, url = {https://arxiv.org/abs/2102.12033}, eprinttype = {arXiv}, eprint = {2102.12033}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-12033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-12147, author = {Frank Kulwa and Chen Li and Jinghua Zhang and Kimiaki Shirahama and Sergey Kosov and Xin Zhao and Hongzan Sun and Tao Jiang and Marcin Grzegorzek}, title = {A New Pairwise Deep Learning Feature For Environmental Microorganism Image Analysis}, journal = {CoRR}, volume = {abs/2102.12147}, year = {2021}, url = {https://arxiv.org/abs/2102.12147}, eprinttype = {arXiv}, eprint = {2102.12147}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-12147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-15619, author = {Jinwoo Kim and Jaehoon Yoo and Juho Lee and Seunghoon Hong}, title = {SetVAE: Learning Hierarchical Composition for Generative Modeling of Set-Structured Data}, journal = {CoRR}, volume = {abs/2103.15619}, year = {2021}, url = {https://arxiv.org/abs/2103.15619}, eprinttype = {arXiv}, eprint = {2103.15619}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-15619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16104, author = {Minjin Choi and Jinhong Kim and Joonseok Lee and Hyunjung Shim and Jongwuk Lee}, title = {Session-aware Linear Item-Item Models for Session-based Recommendation}, journal = {CoRR}, volume = {abs/2103.16104}, year = {2021}, url = {https://arxiv.org/abs/2103.16104}, eprinttype = {arXiv}, eprint = {2103.16104}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02239, author = {Sunpill Kim and Yunseong Jeong and Jinsu Kim and Jungkon Kim and Hyung Tae Lee and Jae Hong Seo}, title = {IronMask: Modular Architecture for Protecting Deep Face Template}, journal = {CoRR}, volume = {abs/2104.02239}, year = {2021}, url = {https://arxiv.org/abs/2104.02239}, eprinttype = {arXiv}, eprint = {2104.02239}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-10865, author = {Jinhan Kim and Juyoung Jeon and Shin Hong and Shin Yoo}, title = {Predictive Mutation Analysis via Natural Language Channel in Source Code}, journal = {CoRR}, volume = {abs/2104.10865}, year = {2021}, url = {https://arxiv.org/abs/2104.10865}, eprinttype = {arXiv}, eprint = {2104.10865}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-10865.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-12032, author = {Jason I. Hong and Yuvraj Agarwal and Matt Fredrikson and Mike Czapik and Shawn Hanna and Swarup Sahoo and Judy Chun and Won{-}Woo Chung and Aniruddh Iyer and Ally Liu and Shen Lu and Rituparna Roychoudhury and Qian Wang and Shan Wang and Siqi Wang and Vida Zhang and Jessica Zhao and Yuan Jiang and Haojian Jin and Sam Kim and Evelyn Kuo and Tianshi Li and Jinping Liu and Yile Liu and Robert Zhang}, title = {The Design of the User Interfaces for Privacy Enhancements for Android}, journal = {CoRR}, volume = {abs/2104.12032}, year = {2021}, url = {https://arxiv.org/abs/2104.12032}, eprinttype = {arXiv}, eprint = {2104.12032}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-12032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08459, author = {Simon Yusuf Enoch and Mengmeng Ge and Jin B. Hong and Dong Seong Kim}, title = {Model-based Cybersecurity Analysis: Past Work and Future Directions}, journal = {CoRR}, volume = {abs/2105.08459}, year = {2021}, url = {https://arxiv.org/abs/2105.08459}, eprinttype = {arXiv}, eprint = {2105.08459}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13647, author = {Sung Hyuck Hong and Jaeyong Park and Sung{-}Jin Kim and Junil Choi}, title = {Hybrid Beamforming for Intelligent Reflecting Surface Aided Millimeter Wave {MIMO} Systems}, journal = {CoRR}, volume = {abs/2105.13647}, year = {2021}, url = {https://arxiv.org/abs/2105.13647}, eprinttype = {arXiv}, eprint = {2105.13647}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13647.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-15013, author = {Jianhong Wang and Jinxin Wang and Yuan Zhang and Yunjie Gu and Tae{-}Kyun Kim}, title = {{SHAQ:} Incorporating Shapley Value Theory into Q-Learning for Multi-Agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/2105.15013}, year = {2021}, url = {https://arxiv.org/abs/2105.15013}, eprinttype = {arXiv}, eprint = {2105.15013}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-15013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-01903, author = {Joo Hun Yoo and Ha Min Son and Hyejun Jeong and Eun{-}Hye Jang and Ah{-}Young Kim and Han{-}Young Yu and Hong Jin Jeon and Tai{-}Myoung Chung}, title = {Personalized Federated Learning with Clustering: Non-IID Heart Rate Variability Data Application}, journal = {CoRR}, volume = {abs/2108.01903}, year = {2021}, url = {https://arxiv.org/abs/2108.01903}, eprinttype = {arXiv}, eprint = {2108.01903}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-01903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-12864, author = {Debsoumya Chakraborti and Jaehoon Kim and Jinha Kim and Minki Kim and Hong Liu}, title = {Well-mixing vertices and almost expanders}, journal = {CoRR}, volume = {abs/2108.12864}, year = {2021}, url = {https://arxiv.org/abs/2108.12864}, eprinttype = {arXiv}, eprint = {2108.12864}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-12864.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-02127, author = {Hongwu Liu and Theodoros A. Tsiftsis and Bruno Clerckx and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {Rate Splitting Multiple Access for Semi-Grant-Free Transmissions}, journal = {CoRR}, volume = {abs/2110.02127}, year = {2021}, url = {https://arxiv.org/abs/2110.02127}, eprinttype = {arXiv}, eprint = {2110.02127}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-02127.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-02281, author = {Hongwu Liu and Zhiquan Bai and Hongjiang Lei and Gaofeng Pan and Kyeong Jin Kim and Theodoros A. Tsiftsis}, title = {A Rate Splitting Strategy for Uplink {CR-NOMA} Systems}, journal = {CoRR}, volume = {abs/2110.02281}, year = {2021}, url = {https://arxiv.org/abs/2110.02281}, eprinttype = {arXiv}, eprint = {2110.02281}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-02281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14416, author = {Jinwoo Kim and Saeyoon Oh and Seunghoon Hong}, title = {Transformers Generalize DeepSets and Can be Extended to Graphs and Hypergraphs}, journal = {CoRR}, volume = {abs/2110.14416}, year = {2021}, url = {https://arxiv.org/abs/2110.14416}, eprinttype = {arXiv}, eprint = {2110.14416}, timestamp = {Fri, 29 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14416.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-02625, author = {Kanghyun Choi and Deokki Hong and Noseong Park and Youngsok Kim and Jinho Lee}, title = {Qimera: Data-free Quantization with Synthetic Boundary Supporting Samples}, journal = {CoRR}, volume = {abs/2111.02625}, year = {2021}, url = {https://arxiv.org/abs/2111.02625}, eprinttype = {arXiv}, eprint = {2111.02625}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-02625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-09613, author = {Hankook Lee and Kibok Lee and Kimin Lee and Honglak Lee and Jinwoo Shin}, title = {Improving Transferability of Representations via Augmentation-Aware Self-Supervision}, journal = {CoRR}, volume = {abs/2111.09613}, year = {2021}, url = {https://arxiv.org/abs/2111.09613}, eprinttype = {arXiv}, eprint = {2111.09613}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-09613.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-15664, author = {Geewook Kim and Teakgyu Hong and Moonbin Yim and Jinyoung Park and Jinyeong Yim and Wonseok Hwang and Sangdoo Yun and Dongyoon Han and Seunghyun Park}, title = {Donut: Document Understanding Transformer without {OCR}}, journal = {CoRR}, volume = {abs/2111.15664}, year = {2021}, url = {https://arxiv.org/abs/2111.15664}, eprinttype = {arXiv}, eprint = {2111.15664}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-15664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongKL20, author = {Jinseok Hong and Keeyoung Kim and Hongchul Lee}, title = {Faster Dynamic Graph {CNN:} Faster Deep Learning on 3D Point Cloud Data}, journal = {{IEEE} Access}, volume = {8}, pages = {190529--190538}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023423}, doi = {10.1109/ACCESS.2020.3023423}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangKLBKKKJ20, author = {Bongho Jang and Hongki Kang and Won{-}Yong Lee and Jin{-}Hyuk Bae and In Man Kang and Kwangeun Kim and Hyuk{-}Jun Kwon and Jaewon Jang}, title = {Enhancement Mode Flexible SnO\({}_{\mbox{2}}\) Thin Film Transistors Via a UV/Ozone-Assisted Sol-Gel Approach}, journal = {{IEEE} Access}, volume = {8}, pages = {123013--123018}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3007372}, doi = {10.1109/ACCESS.2020.3007372}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangKLBKKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JiangYWHHKJK20, author = {Shenlu Jiang and Wei Yao and Man Sing Wong and Meng Hang and Zhonghua Hong and Eun{-}Jin Kim and Sung{-}Hyeon Joo and Tae{-}Yong Kuc}, title = {Automatic Elevator Button Localization Using a Combined Detecting and Tracking Framework for Multi-Story Navigation}, journal = {{IEEE} Access}, volume = {8}, pages = {1118--1134}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2019.2958092}, doi = {10.1109/ACCESS.2019.2958092}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JiangYWHHKJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JinXYHK20, author = {Wenquan Jin and Rongxu Xu and Taewan You and Yong{-}Geun Hong and Dohyeun Kim}, title = {Secure Edge Computing Management Based on Independent Microservices Providers for Gateway-Centric IoT Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {187975--187990}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030297}, doi = {10.1109/ACCESS.2020.3030297}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JinXYHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangJKKC20, author = {Honggu Kang and Jingon Joung and Jinyoung Kim and Joonhyuk Kang and Yong Soo Cho}, title = {Protect Your Sky: {A} Survey of Counter Unmanned Aerial Vehicle Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {168671--168710}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023473}, doi = {10.1109/ACCESS.2020.3023473}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangJKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHKR20, author = {Hyunjin Kim and Sang Hong and Jinsul Kim and Jaecheol Ryou}, title = {Intelligent Application Protection Mechanism for Transportation in {V2C} Environment}, journal = {{IEEE} Access}, volume = {8}, pages = {86777--86787}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2991273}, doi = {10.1109/ACCESS.2020.2991273}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKKH20, author = {Younghwan Kim and Huy Kang Kim and Hyoungshick Kim and Jin B. Hong}, title = {Do Many Models Make Light Work? Evaluating Ensemble Solutions for Improved Rumor Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {150709--150724}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3016664}, doi = {10.1109/ACCESS.2020.3016664}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRCKLK20, author = {SeongKi Kim and Jinho Ryu and Youngchyul Choi and YooSeok Kang and Hongle Li and Kibum Kim}, title = {Eye-Contact Game Using Mixed Reality for the Treatment of Children With Attention Deficit Hyperactivity Disorder}, journal = {{IEEE} Access}, volume = {8}, pages = {45996--46006}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2977688}, doi = {10.1109/ACCESS.2020.2977688}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimRCKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonLKRHLJR20, author = {Jiho Moon and Jaeseong Lee and Seungjin Kim and Gyeongha Ryu and Ju{-}Pyo Hong and Juhyun Lee and Haifeng Jin and Jeongjin Roh}, title = {60-V Non-Inverting Four-Mode Buck-Boost Converter With Bootstrap Sharing for Non-Switching Power Transistors}, journal = {{IEEE} Access}, volume = {8}, pages = {208221--208231}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3038444}, doi = {10.1109/ACCESS.2020.3038444}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MoonLKRHLJR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ToKRCK20, author = {Minh Nguyen Nhat To and Hyun Jeong Kim and Hong Gee Roh and Yoon{-}Sik Cho and Jin Tae Kwak}, title = {Deep regression neural networks for collateral imaging from dynamic susceptibility contrast-enhanced magnetic resonance perfusion in acute ischemic stroke}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {15}, number = {1}, pages = {151--162}, year = {2020}, url = {https://doi.org/10.1007/s11548-019-02060-7}, doi = {10.1007/S11548-019-02060-7}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ToKRCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/KwonHKHKK20, author = {Joon Hee Kwon and Narae Hong and Kwanguk (Kenny) Kim and Jiwoong Heo and Jae{-}Jin Kim and EunJoo Kim}, title = {Feasibility of a Virtual Reality Program in Managing Test Anxiety: {A} Pilot Study}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {23}, number = {10}, pages = {715--720}, year = {2020}, url = {https://doi.org/10.1089/cyber.2019.0651}, doi = {10.1089/CYBER.2019.0651}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/KwonHKHKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cdm/Kim20, author = {Jin Hong Kim}, title = {On the first two entries of the f-vectors of 6-polytopes}, journal = {Contributions Discret. Math.}, volume = {15}, number = {1}, pages = {90--101}, year = {2020}, url = {https://cdm.ucalgary.ca/article/view/62385}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cdm/Kim20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YooRKLKKC20, author = {Tae Keun Yoo and Ik Hee Ryu and Jin Kuk Kim and In Sik Lee and Jung Sub Kim and Hong Kyu Kim and Joon Yul Choi}, title = {Deep learning can generate traditional retinal fundus photographs using ultra-widefield images via generative adversarial networks}, journal = {Comput. Methods Programs Biomed.}, volume = {197}, pages = {105761}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105761}, doi = {10.1016/J.CMPB.2020.105761}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/YooRKLKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/KimKH20, author = {Hyejin Kim and Jintae Kim and Daesik Hong}, title = {Dynamic {TDD} Systems for 5G and Beyond: {A} Survey of Cross-Link Interference Mitigation}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {22}, number = {4}, pages = {2315--2348}, year = {2020}, url = {https://doi.org/10.1109/COMST.2020.3008765}, doi = {10.1109/COMST.2020.3008765}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/KimKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/KimHC20, author = {Hwa Jong Kim and Seong{-}Eun Hong and Kyung Jin Cha}, title = {seq2vec: Analyzing sequential data using multi-rank embedding vectors}, journal = {Electron. Commer. Res. Appl.}, volume = {43}, pages = {101003}, year = {2020}, url = {https://doi.org/10.1016/j.elerap.2020.101003}, doi = {10.1016/J.ELERAP.2020.101003}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecra/KimHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimMCJ20, author = {Taesik Kim and Hong Min and Eunsoo Choi and Jinman Jung}, title = {Optimal job partitioning and allocation for vehicular cloud computing}, journal = {Future Gener. Comput. Syst.}, volume = {108}, pages = {82--96}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.02.007}, doi = {10.1016/J.FUTURE.2020.02.007}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KimMCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/SetiawanPKKPYLH20, author = {Feri Setiawan and Aria Ghora Prabono and Sunder Ali Khowaja and Wangsoo Kim and Kyoungsoo Park and Bernardo Nugroho Yahya and Seok{-}Lyong Lee and Jin Pyo Hong}, title = {Fine-grained emotion recognition: fusion of physiological signals and facial expressions on spontaneous emotion corpus}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {35}, number = {3}, pages = {162--178}, year = {2020}, url = {https://doi.org/10.1504/IJAHUC.2020.110824}, doi = {10.1504/IJAHUC.2020.110824}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijahuc/SetiawanPKKPYLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijkm/JinHLK20, author = {Jong{-}Chol Jin and Song{-}Nam Hong and Guang{-}Son Li and Nam{-}Ung Kim}, title = {The Method of Evaluating Impacts of Knowledge Management on Job Satisfaction and Intellectual Level of Work}, journal = {Int. J. Knowl. Manag.}, volume = {16}, number = {4}, pages = {42--62}, year = {2020}, url = {https://doi.org/10.4018/IJKM.2020100103}, doi = {10.4018/IJKM.2020100103}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijkm/JinHLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/HwangKC20, author = {Junsun Hwang and Jin{-}young Kim and Hongsoo Choi}, title = {A review of magnetic actuation systems and magnetically actuated guidewire- and catheter-based microrobots for vascular interventions}, journal = {Intell. Serv. Robotics}, volume = {13}, number = {1}, pages = {1--14}, year = {2020}, url = {https://doi.org/10.1007/s11370-020-00311-0}, doi = {10.1007/S11370-020-00311-0}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/HwangKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/BhamidipatiKSO20, author = {Sriramya Bhamidipati and Kyeong Jin Kim and Hongbo Sun and Philip V. Orlik}, title = {Artificial-Intelligence-Based Distributed Belief Propagation and Recurrent Neural Network Algorithm for Wide-Area Monitoring Systems}, journal = {{IEEE} Netw.}, volume = {34}, number = {3}, pages = {64--72}, year = {2020}, url = {https://doi.org/10.1109/MNET.011.1900322}, doi = {10.1109/MNET.011.1900322}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/BhamidipatiKSO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/KhanKCHKPKH20, author = {Mohammad Azam Khan and Soonwook Kwon and Jaegul Choo and Seok Min Hong and Sung Hun Kang and Il{-}Ho Park and Sung Kyun Kim and Seok Jin Hong}, title = {Automatic detection of tympanic membrane and middle ear infection from oto-endoscopic images via convolutional neural networks}, journal = {Neural Networks}, volume = {126}, pages = {384--394}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.03.023}, doi = {10.1016/J.NEUNET.2020.03.023}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/KhanKCHKPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/DoHK20, author = {Haggi Do and Seonghun Hong and Jinwhan Kim}, title = {Robust Loop Closure Method for Multi-Robot Map Fusion by Integration of Consistency and Data Similarity}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {4}, pages = {5701--5708}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.3010731}, doi = {10.1109/LRA.2020.3010731}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/DoHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKHLHKKPHJK20, author = {Seung Hee Kim and Hyun{-}Cheol Kim and Chang{-}Uk Hyun and Sungjae Lee and Jung{-}Seok Ha and Joo{-}Hong Kim and Young{-}Joo Kwon and Jeong{-}Won Park and Hyangsun Han and Seong{-}Yeob Jeong and Duk{-}jin Kim}, title = {Evolution of Backscattering Coefficients of Drifting Multi-Year Sea Ice during End of Melting and Onset of Freeze-up in the Western Beaufort Sea}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1378}, year = {2020}, url = {https://doi.org/10.3390/rs12091378}, doi = {10.3390/RS12091378}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKHLHKKPHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YoonNLHFWTSHK20, author = {Dong{-}Hyun Yoon and Won{-}Ho Nam and Hee{-}Jin Lee and Eun{-}Mi Hong and Song Feng and Brian D. Wardlow and Tsegaye Tadesse and Mark Svoboda and Michael J. Hayes and Dae{-}Eui Kim}, title = {Agricultural Drought Assessment in East Asia Using Satellite-Based Indices}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {444}, year = {2020}, url = {https://doi.org/10.3390/rs12030444}, doi = {10.3390/RS12030444}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YoonNLHFWTSHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/EomHAPK20, author = {Taehoon Eom and Jin B. Hong and SeongMo An and Jong Sou Park and Dong Seong Kim}, title = {A Framework for Real-Time Intrusion Response in Software Defined Networking Using Precomputed Graphical Security Models}, journal = {Secur. Commun. Networks}, volume = {2020}, pages = {7235043:1--7235043:15}, year = {2020}, url = {https://doi.org/10.1155/2020/7235043}, doi = {10.1155/2020/7235043}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/EomHAPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKLYKKLKNHYN20, author = {Younggeun Choi and Minjae Kim and Baekhee Lee and Xiaopeng Yang and Jinwon Kim and Do{-}Hoon Kwon and Sang{-}Eok Lee and HyangHee Kim and Seok In Nam and Saewon Hong and Giltae Yang and Duk L. Na and Heecheon You}, title = {Development of an Ultrasonic Doppler Sensor-Based Swallowing Monitoring and Assessment System}, journal = {Sensors}, volume = {20}, number = {16}, pages = {4529}, year = {2020}, url = {https://doi.org/10.3390/s20164529}, doi = {10.3390/S20164529}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKLYKKLKNHYN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongCNCCK20, author = {Yu{-}Jin Hong and Sung Eun Choi and Gi Pyo Nam and Heeseung Choi and Junghyun Cho and Ig{-}Jae Kim}, title = {Adaptive 3D Model-Based Facial Expression Synthesis and Pose Frontalization}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2578}, year = {2020}, url = {https://doi.org/10.3390/s20092578}, doi = {10.3390/S20092578}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongCNCCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJKKK20, author = {Bo Su Kim and Jae{-}Hoon Ji and Hong{-}Tae Kim and Sung{-}Jin Kim and Jung{-}Hyuk Koh}, title = {Improved Multilayered (Bi, Sc)O3-(Pb, Ti)O3 Piezoelectric Energy Harvesters Based on Impedance Matching Technique}, journal = {Sensors}, volume = {20}, number = {7}, pages = {1958}, year = {2020}, url = {https://doi.org/10.3390/s20071958}, doi = {10.3390/S20071958}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPYSHKYY20, author = {Do Hun Kim and Yang Soo Lee and Won Kyu Park and Jin Sun Yoo and Changup Shim and Young Joon Hong and Bong Kyun Kang and Dae Ho Yoon and Woo Seok Yang}, title = {Flexible Graphite/PPG Hybrid Composite-Based Resistive Sensor for Sensing Organic Compounds}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2651}, year = {2020}, url = {https://doi.org/10.3390/s20092651}, doi = {10.3390/S20092651}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLPYSHKYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHKPLPLKJ20, author = {WonSeok Yang and Jun{-}Yong Hong and Jeong{-}Youn Kim and Seung{-}ho Paik and Seung Hyun Lee and Ji{-}Su Park and Gihyoun Lee and Beop Min Kim and Young{-}Jin Jung}, title = {A Novel Singular Value Decomposition-Based Denoising Method in 4-Dimensional Computed Tomography of the Brain in Stroke Patients with Statistical Evaluation}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3063}, year = {2020}, url = {https://doi.org/10.3390/s20113063}, doi = {10.3390/S20113063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHKPLPLKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/MahmoodKBKSJY20, author = {Musa Mahmood and Shinjae Kwon and Gamze Kilic Berkmen and Yun{-}Soung Kim and Laura Scorr and Hyder A. Jinnah and Woon{-}Hong Yeo}, title = {Soft Nanomembrane Sensors and Flexible Hybrid Bioelectronics for Wireless Quantification of Blepharospasm}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {67}, number = {11}, pages = {3094--3100}, year = {2020}, url = {https://doi.org/10.1109/TBME.2020.2975773}, doi = {10.1109/TBME.2020.2975773}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/MahmoodKBKSJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLDOP20, author = {Kyeong Jin Kim and Hongwu Liu and Zhiguo Ding and Philip V. Orlik and H. Vincent Poor}, title = {Diversity Gain Analysis of Distributed {CDD} Systems in Non-Identical Fading Channels}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {11}, pages = {7218--7231}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.3010995}, doi = {10.1109/TCOMM.2020.3010995}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/KimLDOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLWOP20, author = {Kyeong Jin Kim and Hongwu Liu and Miaowen Wen and Philip V. Orlik and H. Vincent Poor}, title = {Secrecy Performance Analysis of Distributed Asynchronous Cyclic Delay Diversity-Based Cooperative Single Carrier Systems}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {5}, pages = {2680--2694}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.2971680}, doi = {10.1109/TCOMM.2020.2971680}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimLWOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LeiGPAKA20, author = {Hongjiang Lei and Rui Gao and Ki{-}Hong Park and Imran Shafique Ansari and Kyeong Jin Kim and Mohamed{-}Slim Alouini}, title = {On Secure Downlink {NOMA} Systems With Outage Constraint}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {12}, pages = {7824--7836}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.3021139}, doi = {10.1109/TCOMM.2020.3021139}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/LeiGPAKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/OhKJPHKL20, author = {Soyoung Oh and Jina Kim and Honggeun Ji and Eunil Park and Jinyoung Han and Minsam Ko and Munyoung Lee}, title = {Cross-cultural comparison of interactive streaming services: Evidence from \emph{Twitch}}, journal = {Telematics Informatics}, volume = {55}, pages = {101434}, year = {2020}, url = {https://doi.org/10.1016/j.tele.2020.101434}, doi = {10.1016/J.TELE.2020.101434}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/OhKJPHKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeKYOPPPL20, author = {Kyoungjin Lee and Haneul Kim and Jehyung Yoon and Hyoung{-}Seok Oh and Jin{-}Hong Park and Byeong{-}Ha Park and Hojin Park and Yoonmyung Lee}, title = {An Asynchronous Boost Converter With Time-Based Dual-Mode Control for Wide Load Range and High Efficiency in {SSD} Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {12}, pages = {10520--10530}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2962405}, doi = {10.1109/TIE.2019.2962405}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeKYOPPPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/SongSLLMK20, author = {Jae Eun Song and Jaewook Shin and Hongpyo Lee and Ho Joon Lee and Won{-}Jin Moon and Dong{-}Hyun Kim}, title = {Blind Source Separation for Myelin Water Fraction Mapping Using Multi-Echo Gradient Echo Imaging}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {6}, pages = {2235--2245}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.2967068}, doi = {10.1109/TMI.2020.2967068}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/SongSLLMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimPKH20, author = {Hyejin Kim and Yosub Park and Jintae Kim and Daesik Hong}, title = {A Low-Complex SVD-Based {F-OFDM}}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {2}, pages = {1373--1385}, year = {2020}, url = {https://doi.org/10.1109/TWC.2019.2953540}, doi = {10.1109/TWC.2019.2953540}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LiuTKKP20, author = {Hongwu Liu and Theodoros A. Tsiftsis and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {Rate Splitting for Uplink {NOMA} With Enhanced Fairness and Outage Performance}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {7}, pages = {4657--4670}, year = {2020}, url = {https://doi.org/10.1109/TWC.2020.2985970}, doi = {10.1109/TWC.2020.2985970}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LiuTKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTLWXQMH20, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2029--2044}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Ancuti\_NTIRE\_2020\_Challenge\_on\_NonHomogeneous\_Dehazing\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00253}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTLWXQMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChiuXWHHHSWHSBD20, author = {Mang Tik Chiu and Xingqian Xu and Kai Wang and Jennifer A. Hobbs and Naira Hovakimyan and Thomas S. Huang and Honghui Shi and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Ivan Dozier and Wyatt Dozier and Karen Ghandilyan and David Wilson and Hyunseong Park and Jun Hee Kim and Sungho Kim and Qinghui Liu and Michael C. Kampffmeyer and Robert Jenssen and Arnt{-}B{\o}rre Salberg and Alexandre Barbosa and Rodrigo G. Trevisan and Bingchen Zhao and Shaozuo Yu and Siwei Yang and Yin Wang and Hao Sheng and Xiao Chen and Jingyi Su and Ram Rajagopal and Andrew Y. Ng and Van Thong Huynh and Soo{-}Hyung Kim and In Seop Na and Ujjwal Baid and Shubham Innani and Prasad Dutande and Bhakti Baheti and Sanjay N. Talbar and Jianyu Tang}, title = {The 1st Agriculture-Vision Challenge: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {212--218}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w5/Chiu\_The\_1st\_Agriculture-Vision\_Challenge\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChiuXWHHHSWHSBD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimCPKHK20, author = {Byungjoo Kim and Bryce Chudomelka and Jinyoung Park and Jaewoo Kang and Youngjoon Hong and Hyunwoo J. Kim}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Robust Neural Networks Inspired by Strong Stability Preserving Runge-Kutta Methods}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {12354}, pages = {416--432}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58545-7\_24}, doi = {10.1007/978-3-030-58545-7\_24}, timestamp = {Thu, 05 Nov 2020 14:01:21 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimCPKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLYOP20, author = {Kyeong Jin Kim and Hongwu Liu and Phee Lep Yeoh and Philip V. Orlik and H. Vincent Poor}, title = {Backhaul Reliability Analysis on Cluster-Based Transmit Diversity Schemes in Private Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9322178}, doi = {10.1109/GLOBECOM42002.2020.9322178}, timestamp = {Mon, 01 Feb 2021 08:43:43 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KimLYOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OuyangNWQMGKHBZ20, author = {Jian Ouyang and Mijung Noh and Yong Wang and Wei Qi and Yin Ma and Canghai Gu and SoonGon Kim and Ki{-}il Hong and Wang{-}Keun Bae and Zhibiao Zhao and Jing Wang and Peng Wu and Xiaozhang Gong and Jiaxin Shi and Hefei Zhu and Xueliang Du}, title = {Baidu Kunlun An {AI} processor for diversified workloads}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--18}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220641}, doi = {10.1109/HCS49909.2020.9220641}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/OuyangNWQMGKHBZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimLL0OP20, author = {Kyeong Jin Kim and Hongwu Liu and Hongjiang Lei and Zhiguo Ding and Philip V. Orlik and H. Vincent Poor}, title = {A dCDD-Based Transmit Diversity for {NOMA} Systems}, booktitle = {2020 {IEEE} International Conference on Communications, {ICC} 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICC40277.2020.9148799}, doi = {10.1109/ICC40277.2020.9148799}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimLL0OP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeiGPAKA20, author = {Hongjiang Lei and Rui Gao and Ki{-}Hong Park and Imran Shafique Ansari and Kyeong Jin Kim and Mohamed{-}Slim Alouini}, title = {Security Performance Analysis for the Downlink {NOMA} Systems with Outage Constraint}, booktitle = {2020 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCWorkshops49005.2020.9145372}, doi = {10.1109/ICCWORKSHOPS49005.2020.9145372}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeiGPAKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeKL20, author = {Youngjae Lee and Jinhong Kim and Kiltaek Lim}, title = {Development of Data Acquisition System for Collecting and Processing Data}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9042992}, doi = {10.1109/ICCE46568.2020.9042992}, timestamp = {Mon, 30 Mar 2020 16:23:11 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkHHKPH20, author = {Se Jin Park and Iqram Hussain and Seunghee Hong and Damee Kim and Hongkyu Park and Chee Meng Benjamin Ho}, title = {Real-time Gait Monitoring System for Consumer Stroke Prediction Service}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043098}, doi = {10.1109/ICCE46568.2020.9043098}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ParkHHKPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeLSL20, author = {Kimin Lee and Kibok Lee and Jinwoo Shin and Honglak Lee}, title = {Network Randomization: {A} Simple Technique for Generalization in Deep Reinforcement Learning}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=HJgcvJBFvB}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeLSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeSLLS20, author = {Kimin Lee and Younggyo Seo and Seunghyun Lee and Honglak Lee and Jinwoo Shin}, title = {Context-aware Dynamics Model for Generalization in Model-Based Reinforcement Learning}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {5757--5766}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/lee20g.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/LeeSLLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/KimAJHKK20, author = {Jae Eun Kim and Muhammad Zeeshan Arshad and Yoo Seong Jong and Je{-}Hyung Hong and Jinwook Kim and Young Min Kim}, title = {3D Pots Configuration System by Optimizing over Geometric Constraints}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {2398--2405}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412372}, doi = {10.1109/ICPR48806.2021.9412372}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/KimAJHKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MinHKL20, author = {Yulim Min and Seung{-}Jin Hong and Hye Jin Kim and Seung{-}Ik Lee}, title = {Generative Adversarial Network for Robust Regression using Continuous Dataset}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1209--1211}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289188}, doi = {10.1109/ICTC49870.2020.9289188}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MinHKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YangKLLHN20, author = {Yousung Yang and Jingyeom Kim and Joohyung Lee and Seongsoo Lee and Sungback Hong and S. H. Shah Newaz}, title = {Adaptive Queue Management in Embedded Edge Devices for Object Detection with Low Latency}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1426--1428}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289509}, doi = {10.1109/ICTC49870.2020.9289509}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YangKLLHN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/DuanmuKKWJKW20, author = {Hongyi Duanmu and Jinkoo Kim and Praitayini Kanakaraj and Andrew Wang and John Joshua and Jun Kong and Fusheng Wang}, title = {Automatic Brain Organ Segmentation with 3D Fully Convolutional Neural Network for Radiation Therapy Treatment Planning}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {758--762}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098485}, doi = {10.1109/ISBI45749.2020.9098485}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/DuanmuKKWJKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JungHJSK20, author = {Julip Jung and Helen Hong and Tae{-}Sik Jeong and Jinsil Seong and Jin Sung Kim}, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Automatic liver segmentation in abdominal {CT} images using combined 2.5D and 3D segmentation networks with high-score shape prior for radiotherapy treatment planning}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2551287}, doi = {10.1117/12.2551287}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/JungHJSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimHR20, author = {Hyeonjin Kim and Helen Hong and Koon Ho Rha}, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Renal parenchyma segmentation in abdominal {CT} images based on deep convolutional neural networks with similar atlas selection and transformation}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2551315}, doi = {10.1117/12.2551315}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeHSKK20, author = {Hansang Lee and Helen Hong and Jinsil Seong and Jin Sung Kim and Junmo Kim}, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Survival prediction of liver cancer patients from {CT} images using deep learning and radiomic feature-based regression}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2551349}, doi = {10.1117/12.2551349}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/LeeHSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/KimKKSKCKKP20, author = {Ho Heon Kim and Hong Wook Kwon and Sambath Kao and Hyuk{-}Sang Sohn and Joohye Kim and Yejin Choi and Doora Kim and Mirae Kang and Yu Rang Park}, editor = {Louise Bilenberg Pape{-}Haugaard and Christian Lovis and Inge Cort Madsen and Patrick Weber and Per Hostrup Nielsen and Philip Scott}, title = {A Study of the Possibility of Detecting Pediatric Mild Developmental Delay Through a Serious Game: {A} Randomized Cluster Trial in Cambodia}, booktitle = {Digital Personalized Health and Medicine - Proceedings of {MIE} 2020, Medical Informatics Europe, Geneva, Switzerland, April 28 - May 1, 2020}, series = {Studies in Health Technology and Informatics}, volume = {270}, pages = {736--740}, publisher = {{IOS} Press}, year = {2020}, url = {https://doi.org/10.3233/SHTI200258}, doi = {10.3233/SHTI200258}, timestamp = {Thu, 04 Apr 2024 17:06:52 +0200}, biburl = {https://dblp.org/rec/conf/mie/KimKKSKCKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/SongKBK20, author = {Eunwoo Song and Jin{-}Seob Kim and Kyungguen Byun and Hong{-}Goo Kang}, title = {Speaker-Adaptive Neural Vocoders for Parametric Speech Synthesis Systems}, booktitle = {22nd {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2020, Tampere, Finland, September 21-24, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MMSP48831.2020.9287168}, doi = {10.1109/MMSP48831.2020.9287168}, timestamp = {Wed, 13 Jan 2021 17:58:36 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/SongKBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/KimHRW20, author = {Keeyoung Kim and Jinseok Hong and Sang{-}Hoon Rhee and Simon S. Woo}, editor = {Yuxiao Dong and Georgiana Ifrim and Dunja Mladenic and Craig Saunders and Sofie Van Hoecke}, title = {Reconstructing the Past: Applying Deep Learning to Reconstruct Pottery from Thousands Shards}, booktitle = {Machine Learning and Knowledge Discovery in Databases. Applied Data Science and Demo Track - European Conference, {ECML} {PKDD} 2020, Ghent, Belgium, September 14-18, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12461}, pages = {36--51}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67670-4\_3}, doi = {10.1007/978-3-030-67670-4\_3}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/KimHRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KoLKLS20, author = {Hyeseon Ko and Junhyuk Lee and Jinhong Kim and Jongwuk Lee and Hyunjung Shim}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {Diversity regularized autoencoders for text generation}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {883--891}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3373998}, doi = {10.1145/3341105.3373998}, timestamp = {Thu, 02 Apr 2020 17:08:04 +0200}, biburl = {https://dblp.org/rec/conf/sac/KoLKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinKJCJCLKHJ20, author = {Hongseok Shin and Jinuk Kim and Doojin Jang and Donghee Cho and Yoontae Jung and Hyungjoo Cho and Unbong Lee and Chul Kim and Sohmyung Ha and Minkyu Je}, title = {A 0.0046mm\({}^{\mbox{2}}\) 6.7{\(\mu\)}W Three-Stage Amplifier Capable of Driving 0.5-to-1.9nF Capacitive Load with {\textgreater}0.68MHz {GBW} without Compensation Zero}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162960}, doi = {10.1109/VLSICIRCUITS18222.2020.9162960}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/ShinKJCJCLKHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/20/HongK0PK20, author = {Jiwon Hong and Taeri Kim and Jing Liu and Noseong Park and Sang{-}Wook Kim}, editor = {Sushil Jajodia and George Cybenko and V. S. Subrahmanian and Vipin Swarup and Cliff Wang and Michael P. Wellman}, title = {Phishing {URL} Detection with Lexical Features and Blacklisted Domains}, booktitle = {Adaptive Autonomous Secure Cyber Systems}, pages = {253--267}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-33432-1\_12}, doi = {10.1007/978-3-030-33432-1\_12}, timestamp = {Sun, 06 Oct 2024 20:54:52 +0200}, biburl = {https://dblp.org/rec/books/sp/20/HongK0PK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-09754, author = {Mang Tik Chiu and Xingqian Xu and Kai Wang and Jennifer A. Hobbs and Naira Hovakimyan and Thomas S. Huang and Honghui Shi and Yunchao Wei and Zilong Huang and Alexander G. Schwing and Robert Brunner and Ivan Dozier and Wyatt Dozier and Karen Ghandilyan and David Wilson and Hyunseong Park and Jun Hee Kim and Sungho Kim and Qinghui Liu and Michael C. Kampffmeyer and Robert Jenssen and Arnt{-}B{\o}rre Salberg and Alexandre Barbosa and Rodrigo G. Trevisan and Bingchen Zhao and Shaozuo Yu and Siwei Yang and Yin Wang and Hao Sheng and Xiao Chen and Jingyi Su and Ram Rajagopal and Andrew Y. Ng and Van Thong Huynh and Soo{-}Hyung Kim and In Seop Na and Ujjwal Baid and Shubham Innani and Prasad Dutande and Bhakti Baheti and Sanjay N. Talbar and Jianyu Tang}, title = {The 1st Agriculture-Vision Challenge: Methods and Results}, journal = {CoRR}, volume = {abs/2004.09754}, year = {2020}, url = {https://arxiv.org/abs/2004.09754}, eprinttype = {arXiv}, eprint = {2004.09754}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-09754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03457, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, journal = {CoRR}, volume = {abs/2005.03457}, year = {2020}, url = {https://arxiv.org/abs/2005.03457}, eprinttype = {arXiv}, eprint = {2005.03457}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-06800, author = {Kimin Lee and Younggyo Seo and Seunghyun Lee and Honglak Lee and Jinwoo Shin}, title = {Context-aware Dynamics Model for Generalization in Model-Based Reinforcement Learning}, journal = {CoRR}, volume = {abs/2005.06800}, year = {2020}, url = {https://arxiv.org/abs/2005.06800}, eprinttype = {arXiv}, eprint = {2005.06800}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-06800.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-09111, author = {Reza Behrou and Maroun Abi Ghanem and Brianna C. Macnider and Vimarsh Verma and Ryan Alvey and Jinho Hong and Ashley F. Emery and Hyunsun Alicia Kim and Nicholas Boechler}, title = {Topology optimization of nonlinear periodically microstructured materials for tailored homogenized constitutive properties}, journal = {CoRR}, volume = {abs/2005.09111}, year = {2020}, url = {https://arxiv.org/abs/2005.09111}, eprinttype = {arXiv}, eprint = {2005.09111}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-09111.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-13840, author = {Tong Huang and Hongbo Sun and Kyeong Jin Kim and Daniel Nikovski and Le Xie}, title = {A Holistic Framework for Parameter Coordination of Interconnected Microgrids against Disasters}, journal = {CoRR}, volume = {abs/2006.13840}, year = {2020}, url = {https://arxiv.org/abs/2006.13840}, eprinttype = {arXiv}, eprint = {2006.13840}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-13840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-03486, author = {Simon Yusuf Enoch and Jin B. Hong and Mengmeng Ge and Dong Seong Kim}, title = {Composite Metrics for Network Security Analysis}, journal = {CoRR}, volume = {abs/2007.03486}, year = {2020}, url = {https://arxiv.org/abs/2007.03486}, eprinttype = {arXiv}, eprint = {2007.03486}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-03486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06237, author = {Kanghyun Choi and Deokki Hong and Hojae Yoon and Joonsang Yu and Youngsok Kim and Jinho Lee}, title = {{DANCE:} Differentiable Accelerator/Network Co-Exploration}, journal = {CoRR}, volume = {abs/2009.06237}, year = {2020}, url = {https://arxiv.org/abs/2009.06237}, eprinttype = {arXiv}, eprint = {2009.06237}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10047, author = {Byungjoo Kim and Bryce Chudomelka and Jinyoung Park and Jaewoo Kang and Youngjoon Hong and Hyunwoo J. Kim}, title = {Robust Neural Networks inspired by Strong Stability Preserving Runge-Kutta methods}, journal = {CoRR}, volume = {abs/2010.10047}, year = {2020}, url = {https://arxiv.org/abs/2010.10047}, eprinttype = {arXiv}, eprint = {2010.10047}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10047.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12975, author = {Bryce Chudomelka and Youngjoon Hong and Hyunwoo J. Kim and Jinyoung Park}, title = {Deep neural network for solving differential equations motivated by Legendre-Galerkin approximation}, journal = {CoRR}, volume = {abs/2010.12975}, year = {2020}, url = {https://arxiv.org/abs/2010.12975}, eprinttype = {arXiv}, eprint = {2010.12975}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/VosKMKKKMTYYWAAAABBBBBCCD20, author = {Rutger A. Vos and Toshiaki Katayama and Hiroyuki Mishima and Shin Kawano and Shuichi Kawashima and Jin{-}Dong Kim and Yuki Moriya and Toshiaki Tokimatsu and Atsuko Yamaguchi and Yasunori Yamamoto and Hongyan Wu and Peter Amstutz and Erick Antezana and Nobuyuki P. Aoki and Kazuharu Arakawa and Jerven T. Bolleman and Evan E. Bolton and Raoul Jean Pierre Bonnal and Hidemasa Bono and Kees Burger and Hirokazu Chiba and Kevin Bretonnel Cohen and Eric W. Deutsch and Jesualdo Tom{\'{a}}s Fern{\'{a}}ndez{-}Breis and Gang Fu and Takatomo Fujisawa and Atsushi Fukushima and Alexander Garc{\'{\i}}a Castro and Naohisa Goto and Tudor Groza and Colin Hercus and Robert Hoehndorf and Kotone Itaya and Nick S. Juty and Takeshi Kawashima and Jee{-}Hyub Kim and Akira R. Kinjo and Masaaki Kotera and Kouji Kozaki and Sadahiro Kumagai and Tatsuya Kushida and Thomas L{\"{u}}tteke and Masaaki Matsubara and Joe Miyamoto and Attayeb Mohsen and Hiroshi Mori and Yuki Naito and Takeru Nakazato and Jeremy NguyenXuan and Kozo Nishida and Naoki Nishida and Hiroyo Nishide and Soichi Ogishima and Tazro Ohta and Shujiro Okuda and Benedict Paten and Jean{-}Luc Perret and Philip Prathipati and Pjotr Prins and N{\'{u}}ria Queralt{-}Rosinach and Daisuke Shinmachi and Shinya Suzuki and Tsuyosi Tabata and Terue Takatsuki and Kieron R. Taylor and Mark Thompson and Ikuo Uchiyama and Bruno Vieira and Chih{-}Hsuan Wei and Mark D. Wilkinson and Issaku Yamada and Ryota Yamanaka and Kazutoshi Yoshitake and Akiyasu C. Yoshizawa and Michel Dumontier and Kenjiro Kosaki and Toshihisa Takagi}, title = {BioHackathon 2015: Semantics of data for life sciences and reproducible research}, journal = {F1000Research}, volume = {9}, pages = {136}, year = {2020}, url = {https://doi.org/10.12688/f1000research.18236.1}, doi = {10.12688/F1000RESEARCH.18236.1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/VosKMKKKMTYYWAAAABBBBBCCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChungLKHL19, author = {Heewon Chung and Hooseok Lee and Chul Kim and Sangjin Hong and Jinseok Lee}, title = {Patient-Provider Interaction System for Efficient Home-Based Cardiac Rehabilitation Exercise}, journal = {{IEEE} Access}, volume = {7}, pages = {14611--14622}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2892717}, doi = {10.1109/ACCESS.2019.2892717}, timestamp = {Wed, 27 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChungLKHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/EomHAPK19, author = {Taehoon Eom and Jin B. Hong and SeongMo An and Jong Sou Park and Dong Seong Kim}, title = {A Systematic Approach to Threat Modeling and Security Analysis for Software Defined Networking}, journal = {{IEEE} Access}, volume = {7}, pages = {137432--137445}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940039}, doi = {10.1109/ACCESS.2019.2940039}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/EomHAPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HussainRKSJK19, author = {Akhtar Hussain and Anastasios Oulis Rousis and Ioannis Konstantelos and Goran Strbac and Jinhong Jeon and Hak{-}Man Kim}, title = {Impact of Uncertainties on Resilient Operation of Microgrids: {A} Data-Driven Approach}, journal = {{IEEE} Access}, volume = {7}, pages = {14924--14937}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891786}, doi = {10.1109/ACCESS.2019.2891786}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HussainRKSJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKJCH19, author = {Insik Jung and Hyunsoo Kim and Jinkyo Jeong and Sooyong Choi and Daesik Hong}, title = {An Enhanced Tabu Search Based Receiver for Full-Spreading {NOMA} Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {159899--159917}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950901}, doi = {10.1109/ACCESS.2019.2950901}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungKJCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeBJHKRS19, author = {Halim Lee and Gil{-}Seong Byeon and Jin{-}Hong Jeon and Akhtar Hussain and Hak{-}Man Kim and Anastasios Oulis Rousis and Goran Strbac}, title = {An Energy Management System With Optimum Reserve Power Procurement Function for Microgrid Resilience Improvement}, journal = {{IEEE} Access}, volume = {7}, pages = {42577--42585}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2907120}, doi = {10.1109/ACCESS.2019.2907120}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeBJHKRS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeYLHHKKP19, author = {Jaeshin Lee and Juwon Yun and Jinyoung Lee and Imjae Hwang and Dukki Hong and Youngsik Kim and Cheong Ghil Kim and Woo{-}Chan Park}, title = {An Effective Algorithm and Architecture for the High-Throughput Lossless Compression of High-Resolution Images}, journal = {{IEEE} Access}, volume = {7}, pages = {138803--138815}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2943194}, doi = {10.1109/ACCESS.2019.2943194}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeYLHHKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MinJKHH19, author = {Hong Min and Jinman Jung and Bongjae Kim and Jiman Hong and Junyoung Heo}, title = {Dynamic Rendezvous Node Estimation for Reliable Data Collection of a Drone as a Mobile IoT Gateway}, journal = {{IEEE} Access}, volume = {7}, pages = {184285--184293}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2960596}, doi = {10.1109/ACCESS.2019.2960596}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MinJKHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OckKKPB19, author = {Jinwoo Ock and Hongchan Kim and Hyung{-}Sin Kim and Jeongyeup Paek and Saewoong Bahk}, title = {Low-Power Wireless With Denseness: The Case of an Electronic Shelf Labeling System - Design and Experience}, journal = {{IEEE} Access}, volume = {7}, pages = {163887--163897}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950886}, doi = {10.1109/ACCESS.2019.2950886}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/OckKKPB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/HongK19, author = {Seonghun Hong and Jinwhan Kim}, title = {Selective image registration for efficient visual {SLAM} on planar surface structures in underwater environment}, journal = {Auton. Robots}, volume = {43}, number = {7}, pages = {1665--1679}, year = {2019}, url = {https://doi.org/10.1007/s10514-018-09824-1}, doi = {10.1007/S10514-018-09824-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/HongK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/DoganKCWCAMCEPV19, author = {Rezarta Islamaj Dogan and Sun Kim and Andrew Chatr{-}aryamontri and Chih{-}Hsuan Wei and Donald C. Comeau and Rui Antunes and S{\'{e}}rgio Matos and Qingyu Chen and Aparna Elangovan and Nagesh C. Panyam and Karin Verspoor and Hongfang Liu and Yanshan Wang and Zhuang Liu and Berna Altinel and Zehra Melce H{\"{u}}s{\"{u}}nbeyi and Arzucan {\"{O}}zg{\"{u}}r and Aris Fergadis and Chen{-}Kai Wang and Hong{-}Jie Dai and Tung Tran and Ramakanth Kavuluru and Ling Luo and Albert Steppi and Jinfeng Zhang and Jinchan Qu and Zhiyong Lu}, title = {Overview of the BioCreative {VI} Precision Medicine Track: mining protein interactions and mutations for precision medicine}, journal = {Database J. Biol. Databases Curation}, volume = {2019}, pages = {bay147}, year = {2019}, url = {https://doi.org/10.1093/database/bay147}, doi = {10.1093/DATABASE/BAY147}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/DoganKCWCAMCEPV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ByunKJKCYJ19, author = {Sangwon Byun and Ah{-}Young Kim and Eun{-}Hye Jang and Seunghwan Kim and Kwang{-}Wook Choi and Han{-}Young Yu and Hong Jin Jeon}, title = {Detection of major depressive disorder from linear and nonlinear heart rate variability features during mental task protocol}, journal = {Comput. Biol. Medicine}, volume = {112}, year = {2019}, url = {https://doi.org/10.1016/j.compbiomed.2019.103381}, doi = {10.1016/J.COMPBIOMED.2019.103381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ByunKJKCYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/EomKLHHKK19, author = {Hyojung Eom and Kwanguk (Kenny) Kim and Sungmi Lee and Yeon{-}Ju Hong and Jiwoong Heo and Jae{-}Jin Kim and EunJoo Kim}, title = {Development of Virtual Reality Continuous Performance Test Utilizing Social Cues for Children and Adolescents with Attention-Deficit/Hyperactivity Disorder}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {22}, number = {3}, pages = {198--204}, year = {2019}, url = {https://doi.org/10.1089/cyber.2018.0377}, doi = {10.1089/CYBER.2018.0377}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbsn/EomKLHHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HongNKHFK19, author = {Jin B. Hong and Armstrong Nhlabatsi and Dong Seong Kim and Alaa Hussein and Noora Fetais and Khaled M. Khan}, title = {Systematic identification of threats in the cloud: {A} survey}, journal = {Comput. Networks}, volume = {150}, pages = {46--69}, year = {2019}, url = {https://doi.org/10.1016/j.comnet.2018.12.009}, doi = {10.1016/J.COMNET.2018.12.009}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/HongNKHFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/KimKNSH19, author = {Jinhong Kim and Junhan Kim and Luong Trung Nguyen and Byonghyo Shim and Wooyoung Hong}, title = {Tonal signal detection in passive sonar systems using atomic norm minimization}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2019}, pages = {43}, year = {2019}, url = {https://doi.org/10.1186/s13634-019-0641-5}, doi = {10.1186/S13634-019-0641-5}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/KimKNSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/KimSLLHC19, author = {Yongeun Kim and Jino Son and Yun{-}Sik Lee and Minyoung Lee and Jinsol Hong and Kijong Cho}, title = {Integration of an individual-oriented model into a system dynamics model: An application to a multi-species system}, journal = {Environ. Model. Softw.}, volume = {112}, pages = {23--35}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2018.11.009}, doi = {10.1016/J.ENVSOFT.2018.11.009}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/KimSLLHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/AlyamkinABBCCCF19, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, and Opportunities}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {2}, pages = {411--421}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2911899}, doi = {10.1109/JETCAS.2019.2911899}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/AlyamkinABBCCCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/MunCHK19, author = {Kyung{-}Ryoul Mun and Sungkuk Chun and Junggi Hong and Jinwook Kim}, title = {The Relationship Between Foot Feature Parameters and Postural Stability in Healthy Subjects}, journal = {Hum. Factors}, volume = {61}, number = {7}, year = {2019}, url = {https://doi.org/10.1177/0018720819828545}, doi = {10.1177/0018720819828545}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/MunCHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoKH19, author = {Hyunjin Cho and Wan{-}Jin Kim and Wooyoung Hong}, title = {Underwater Signal Analysis in the Modulation Spectrogram with Time-Frequency Reassignment Technique}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {102-A}, number = {11}, pages = {1542--1544}, year = {2019}, url = {https://doi.org/10.1587/transfun.E102.A.1542}, doi = {10.1587/TRANSFUN.E102.A.1542}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HongKK19, author = {Seungjin Hong and Sookyun Kim and Shinjin Kang}, title = {Game Sprite Generator Using a Multi Discriminator {GAN}}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {8}, pages = {4255--4269}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.08.025}, doi = {10.3837/TIIS.2019.08.025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/HongKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/YoonLOKLCS19, author = {Hongjun Yoon and Joohyung Lee and Ji Eun Oh and Hong Rae Kim and Seonhye Lee and Hee Jin Chang and Dae Kyung Sohn}, title = {Tumor Identification in Colorectal Histology Images Using a Convolutional Neural Network}, journal = {J. Digit. Imaging}, volume = {32}, number = {1}, pages = {131--140}, year = {2019}, url = {https://doi.org/10.1007/s10278-018-0112-9}, doi = {10.1007/S10278-018-0112-9}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/YoonLOKLCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetai/Nazari-HerisMAK19, author = {Morteza Nazari{-}Heris and Behnam Mohammadi{-}Ivatloo and Somayeh Asadi and Jin{-}Hong Kim and Zong Woo Geem}, title = {Harmony search algorithm for energy system applications: an updated review and analysis}, journal = {J. Exp. Theor. Artif. Intell.}, volume = {31}, number = {5}, pages = {723--749}, year = {2019}, url = {https://doi.org/10.1080/0952813X.2018.1550814}, doi = {10.1080/0952813X.2018.1550814}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetai/Nazari-HerisMAK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HongCKKKY19, author = {Seonghun Hong and Dongha Chung and Jinwhan Kim and Youngji Kim and Ayoung Kim and Hyeon Kyu Yoon}, title = {In-water visual ship hull inspection using a hover-capable underwater vehicle with stereo vision}, journal = {J. Field Robotics}, volume = {36}, number = {3}, pages = {531--546}, year = {2019}, url = {https://doi.org/10.1002/rob.21841}, doi = {10.1002/ROB.21841}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HongCKKKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/LeeHPKJ19, author = {Gihyoun Lee and Jun{-}Yong Hong and Ji{-}Su Park and Myoung Nam Kim and Young{-}Jin Jung}, title = {Dynamic Positron Emission Tomography Brain Image Analysis for Anatomical Segmentation Based on Non-Negative Matrix Factorization}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {9}, pages = {1933--1937}, year = {2019}, url = {https://doi.org/10.1166/jmihi.2019.2817}, doi = {10.1166/JMIHI.2019.2817}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/LeeHPKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/YusufHK19, author = {Simon Yusuf Enoch and Jin B. Hong and Dong Seong Kim}, title = {Security modelling and assessment of modern networks using time independent Graphical Security Models}, journal = {J. Netw. Comput. Appl.}, volume = {148}, year = {2019}, url = {https://doi.org/10.1016/j.jnca.2019.102448}, doi = {10.1016/J.JNCA.2019.102448}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/YusufHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jos/ChoSLKKH19, author = {Hyunjin Cho and Myoungin Shin and Jinho Lee and Wan{-}Jin Kim and Woo{-}Shik Kim and Wooyoung Hong}, title = {A study of the effectiveness analysis for survivability of a surface warship from a torpedo attack}, journal = {J. Simulation}, volume = {13}, number = {4}, pages = {304--315}, year = {2019}, url = {https://doi.org/10.1080/17477778.2019.1601504}, doi = {10.1080/17477778.2019.1601504}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jos/ChoSLKKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/JungHAKK19, author = {Jinho Jung and Hong Hu and Joy Arulraj and Taesoo Kim and Woon{-}Hak Kang}, title = {{APOLLO:} Automatic Detection and Diagnosis of Performance Regressions in Database Systems}, journal = {Proc. {VLDB} Endow.}, volume = {13}, number = {1}, pages = {57--70}, year = {2019}, url = {http://www.vldb.org/pvldb/vol13/p57-jung.pdf}, doi = {10.14778/3357377.3357382}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/JungHAKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JeonKHLKKPJKMNK19, author = {Sungwoong Jeon and Sangwon Kim and Shinwon Ha and Seungmin Lee and Eunhee Kim and So Yeun Kim and Sun Hwa Park and Jung Ho Jeon and Sung Won Kim and Cheil Moon and Bradley J. Nelson and Jin{-}young Kim and Seong{-}Woon Yu and Hongsoo Choi}, title = {Magnetically actuated microrobots as a platform for stem cell transplantation}, journal = {Sci. Robotics}, volume = {4}, number = {30}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aav4317}, doi = {10.1126/SCIROBOTICS.AAV4317}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JeonKHLKKPJKMNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJLHC19, author = {Do{-}Hyun Kim and Su{-}Jin Jeon and Jae{-}Sang Lee and Seok{-}Ho Hong and Young{-}Wan Choi}, title = {Novel S-Bend Resonator Based on a Multi-Mode Waveguide with Mode Discrimination for a Refractive Index Sensor}, journal = {Sensors}, volume = {19}, number = {16}, pages = {3600}, year = {2019}, url = {https://doi.org/10.3390/s19163600}, doi = {10.3390/S19163600}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJLHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKHK19, author = {Young Jin Lee and Youngsoo Kim and Seokhyeon Hong and Soon{-}Hong Kwon}, title = {Ultrathin Submicrometer Scale Multicolor Detector of Visible Light Based on Metamaterial}, journal = {Sensors}, volume = {19}, number = {19}, pages = {4103}, year = {2019}, url = {https://doi.org/10.3390/s19194103}, doi = {10.3390/S19194103}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkYHJPK19, author = {Jin{-}Ho Park and Gyuho Yeom and Donggu Hong and Eun{-}Jung Jo and Chin{-}Ju Park and Mingon Kim}, title = {A Simple and Label-Free Detection of As\({}^{\mbox{3+}}\) using 3-nitro-L-tyrosine as an As\({}^{\mbox{3+}}\)-chelating Ligand}, journal = {Sensors}, volume = {19}, number = {13}, pages = {2857}, year = {2019}, url = {https://doi.org/10.3390/s19132857}, doi = {10.3390/S19132857}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkYHJPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PeddigariKPMKAC19, author = {Mahesh Peddigari and Ga{-}Yeon Kim and Chan Hee Park and Yuho Min and Jong{-}Woo Kim and Cheol{-}Woo Ahn and Jong{-}Jin Choi and Byung{-}Dong Hahn and Joon{-}Hwan Choi and Dong{-}Soo Park and Jae{-}Keun Hong and Jong{-}Taek Yeom and Kwi{-}Il Park and Dae{-}Yong Jeong and Woon{-}Ha Yoon and Jungho Ryu and Geon{-}Tae Hwang}, title = {A Comparison Study of Fatigue Behavior of Hard and Soft Piezoelectric Single Crystal Macro-Fiber Composites for Vibration Energy Harvesting}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2196}, year = {2019}, url = {https://doi.org/10.3390/s19092196}, doi = {10.3390/S19092196}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PeddigariKPMKAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PiaoKCMCPK19, author = {Jinlong Piao and Eui{-}Sun Kim and Hongseok Choi and Chang{-}Bae Moon and Eunpyo Choi and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Indirect Force Control of a Cable-Driven Parallel Robot: Tension Estimation using Artificial Neural Network trained by Force Sensor Measurements}, journal = {Sensors}, volume = {19}, number = {11}, pages = {2520}, year = {2019}, url = {https://doi.org/10.3390/s19112520}, doi = {10.3390/S19112520}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PiaoKCMCPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AlsharifKKK19, author = {Mohammed H. Alsharif and Anabi Hilary Kelechi and Jeong Kim and Jin Hong Kim}, title = {Energy Efficiency and Coverage Trade-Off in 5G for Eco-Friendly and Sustainable Cellular Networks}, journal = {Symmetry}, volume = {11}, number = {3}, pages = {408}, year = {2019}, url = {https://doi.org/10.3390/sym11030408}, doi = {10.3390/SYM11030408}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/AlsharifKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KhanAZAAHKK19, author = {Imran Khan and Mohammed H. Alsharif and Mohammad Haseeb Zafar and Madini O. Alassafi and Majid Ashraf and Yongming Huang and Jeong Kim and Jin Hong Kim}, title = {An Efficient Algorithm for mmWave {MIMO} Systems}, journal = {Symmetry}, volume = {11}, number = {6}, pages = {786}, year = {2019}, url = {https://doi.org/10.3390/sym11060786}, doi = {10.3390/SYM11060786}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KhanAZAAHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLWRP19, author = {Kyeong Jin Kim and Hongwu Liu and Miaowen Wen and Marco Di Renzo and H. Vincent Poor}, title = {Outage Probability Analysis of Spectrum Sharing Systems With Distributed Cyclic Delay Diversity}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {6}, pages = {4435--4449}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2019.2903158}, doi = {10.1109/TCOMM.2019.2903158}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimLWRP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KimKS19, author = {Sungwook Kim and Jinsu Kim and Jae Hong Seo}, title = {A new approach to practical function-private inner product encryption}, journal = {Theor. Comput. Sci.}, volume = {783}, pages = {22--40}, year = {2019}, url = {https://doi.org/10.1016/j.tcs.2019.03.016}, doi = {10.1016/J.TCS.2019.03.016}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/KimKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JeongKSKLSLSK19, author = {Seungtaek Jeong and Donghyun Kim and Jinwook Song and Hongseok Kim and Seongsoo Lee and Chiuk Song and Jaehak Lee and Junyeop Song and Joungho Kim}, title = {Smartwatch Strap Wireless Power Transfer System With Flexible {PCB} Coil and Shielding Material}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {5}, pages = {4054--4064}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2860534}, doi = {10.1109/TIE.2018.2860534}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JeongKSKLSLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeKCKSJSPHPCLS19, author = {Seongsoo Lee and Donghyun Kim and Yeonje Cho and Hongseok Kim and Chiuk Song and Seungtaek Jeong and Jinwook Song and Gyeyoung Park and Seokwoo Hong and Junyong Park and Kyungjun Cho and Hyunsuk Lee and Chulhun Seo and Seungyoung Ahn and Joungho Kim}, title = {Low Leakage Electromagnetic Field Level and High Efficiency Using a Novel Hybrid Loop-Array Design for Wireless High Power Transfer System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {6}, pages = {4356--4367}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2851988}, doi = {10.1109/TIE.2018.2851988}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeKCKSJSPHPCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HongKLPL19, author = {Youngsun Hong and Minsu Kim and Hyunho Lee and Jong Jin Park and Dongyeon Lee}, title = {Early Fault Diagnosis and Classification of Ball Bearing Using Enhanced Kurtogram and Gaussian Mixture Model}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {68}, number = {12}, pages = {4746--4755}, year = {2019}, url = {https://doi.org/10.1109/TIM.2019.2898050}, doi = {10.1109/TIM.2019.2898050}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HongKLPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/PhanKFFK19, author = {Ha Tran Hong Phan and Ashnil Kumar and David Feng and Michael J. Fulham and Jinman Kim}, title = {Unsupervised Two-Path Neural Network for Cell Event Detection and Classification Using Spatiotemporal Patterns}, journal = {{IEEE} Trans. Medical Imaging}, volume = {38}, number = {6}, pages = {1477--1487}, year = {2019}, url = {https://doi.org/10.1109/TMI.2018.2885572}, doi = {10.1109/TMI.2018.2885572}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/PhanKFFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimRLTOP19, author = {Kyeong Jin Kim and Marco Di Renzo and Hongwu Liu and Theodoros A. Tsiftsis and Philip V. Orlik and H. Vincent Poor}, title = {Distributed Cyclic Delay Diversity Systems With Spatially Distributed Interferers}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {18}, number = {4}, pages = {2066--2079}, year = {2019}, url = {https://doi.org/10.1109/TWC.2019.2897656}, doi = {10.1109/TWC.2019.2897656}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimRLTOP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ParkHHKSP19, author = {Hongkyu Park and Seunghee Hong and Iqram Hussain and Damee Kim and Young Seo and Se Jin Park}, editor = {Tareq Z. Ahram}, title = {Gait Monitoring System for Stroke Prediction of Aging Adults}, booktitle = {Advances in Human Factors in Wearable Technologies and Game Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors and Wearable Technologies, and the {AHFE} International Conference on Game Design and Virtual Environments, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {973}, pages = {93--97}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20476-1\_11}, doi = {10.1007/978-3-030-20476-1\_11}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ParkHHKSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ParkHKHSK19, author = {Se Jin Park and Seunghee Hong and Damee Kim and Iqram Hussain and Young Seo and Min Kyu Kim}, editor = {Tareq Z. Ahram}, title = {Physiological Evaluation of a Non-invasive Wearable Vagus Nerve Stimulation {(VNS)} Device}, booktitle = {Advances in Human Factors in Wearable Technologies and Game Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors and Wearable Technologies, and the {AHFE} International Conference on Game Design and Virtual Environments, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {973}, pages = {57--62}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20476-1\_7}, doi = {10.1007/978-3-030-20476-1\_7}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ParkHKHSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YoonHK19, author = {Jae Sik Yoon and Jiyoon Hong and Jintae Kim}, title = {A Digitally-Calibrated 70.98dB-SNDR 625kHz-Bandwidth Temperature-Tolerant 2\({}^{\mbox{nd}}\)-order Noise-Shaping {SAR} {ADC} in 65nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {195--196}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056963}, doi = {10.1109/A-SSCC47793.2019.9056963}, timestamp = {Sun, 19 Apr 2020 17:47:11 +0200}, biburl = {https://dblp.org/rec/conf/asscc/YoonHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiATGZ0a19, author = {Codruta O. Ancuti and Cosmin Ancuti and Radu Timofte and Luc Van Gool and Lei Zhang and Ming{-}Hsuan Yang and Tiantong Guo and Xuelu Li and Venkateswararao Cherukuri and Vishal Monga and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Dongwon Park and Se Young Chun and Ming Hong and Jinying Huang and Yizi Chen and Shuxin Chen and Bomin Wang and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Jing Liu and Sanchayan Santra and Ranjan Mondal and Bhabatosh Chanda and Peter Morales and Tzofi Klinghoffer and Le Manh Quan and Yong{-}Guk Kim and Xiao Liang and Runde Li and Jinshan Pan and Jinhui Tang and Kuldeep Purohit and Maitreya Suin and A. N. Rajagopalan and Raimondo Schettini and Simone Bianco and Flavio Piccoli and Claudio Cusano and Luigi Celona and Sunhee Hwang and Yu Seung Ma and Hyeran Byun and Subrahmanyam Murala and Akshay Dudhane and Harshjeet Singh Aulakh and Tianxiang Zheng and Tao Zhang and Weining Qin and Runnan Zhou and Shanhu Wang and Jean{-}Philippe Tarel and Chuansheng Wang and Jiawei Wu}, title = {{NTIRE} 2019 Image Dehazing Challenge Report}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2241--2253}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ancuti\_NTIRE\_2019\_Image\_Dehazing\_Challenge\_Report\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00277}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiATGZ0a19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeLSL19, author = {Kibok Lee and Kimin Lee and Jinwoo Shin and Honglak Lee}, title = {Incremental Learning with Unlabeled Data in the Wild}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {29--32}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/Uncertainty\_and\_Robustness\_in\_Deep\_Visual\_Learning/Lee\_Incremental\_Learning\_with\_Unlabeled\_Data\_in\_the\_Wild\_CVPRW\_2019\_paper.html}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimPKH19, author = {Jintae Kim and Yosub Park and Hyunsoo Kim and Daesik Hong}, title = {The Tomlinson-Harashima Precoding-based {FBMC-QAM} System}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706352}, doi = {10.23919/ELINFOCOM.2019.8706352}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimPKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLWOP19, author = {Kyeong Jin Kim and Hongwu Liu and Miaowen Wen and Philip V. Orlik and H. Vincent Poor}, title = {Distributed Asynchronous Cyclic Delay Diversity-Based Cooperative Systems with a Passive Eavesdropper}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9013463}, doi = {10.1109/GLOBECOM38437.2019.9013463}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimLWOP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/KimKJK19, author = {Sang{-}Hoon Kim and Jinhong Kim and Kisik Jeong and Jin{-}Soo Kim}, editor = {Daniel Peek and Gala Yadgar}, title = {Transaction Support using Compound Commands in Key-Value SSDs}, booktitle = {11th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2019, Renton, WA, USA, July 8-9, 2019}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/hotstorage19/presentation/kim}, timestamp = {Tue, 02 Feb 2021 07:59:33 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/KimKJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/SungHKHK19, author = {Jin{-}Ho Sung and Bangwoo Han and Yong{-}Jin Kim and Kee{-}Jung Hong and Hak{-}Joon Kim}, title = {Improvement of particle clean air delivery rate {(CADR)} of ion spray electrostatic air cleaner with zero ozone emission on diffusion charging in indoor space}, booktitle = {2019 {IEEE} Industry Applications Society Annual Meeting, Baltimore, MD, USA, September 29 - Oct. 3, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IAS.2019.8912432}, doi = {10.1109/IAS.2019.8912432}, timestamp = {Thu, 05 Dec 2019 14:48:57 +0100}, biburl = {https://dblp.org/rec/conf/iasam/SungHKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/SungKHKHK19, author = {Jin{-}Ho Sung and San Kim and Bangwoo Han and Yong{-}Jin Kim and Kee{-}Jung Hong and Hak{-}Joon Kim}, title = {Development of electrostatic precipitator and wet scrubber integration technology for controlling NOx and particulate matter emission from semiconductor manufacturing process}, booktitle = {2019 {IEEE} Industry Applications Society Annual Meeting, Baltimore, MD, USA, September 29 - Oct. 3, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IAS.2019.8912406}, doi = {10.1109/IAS.2019.8912406}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/SungKHKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/BhamidipatiKSO19, author = {Sriramya Bhamidipati and Kyeong Jin Kim and Hongbo Sun and Philip V. Orlik}, title = {{GPS} Spoofing Detection and Mitigation in PMUs using Distributed Multiple Directional Antennas}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8761208}, doi = {10.1109/ICC.2019.8761208}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/BhamidipatiKSO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimLRTOP19, author = {Kyeong Jin Kim and Hongwu Liu and Marco Di Renzo and Theodoros A. Tsiftsis and Philip V. Orlik and H. Vincent Poor}, title = {Outage Analysis of Distributed {CDD} Systems with Mixture Interference}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8761114}, doi = {10.1109/ICC.2019.8761114}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimLRTOP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuTKKP19, author = {Hongwu Liu and Theodoros A. Tsiftsis and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {Rate Splitting for Asynchronous Uplink {NOMA} Systems with Cyclic Prefixed Single Carrier}, booktitle = {17th {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCW.2019.8756819}, doi = {10.1109/ICCW.2019.8756819}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuTKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YusufHGKK19, author = {Simon Yusuf Enoch and Jin B. Hong and Mengmeng Ge and Khaled M. Khan and Dong Seong Kim}, title = {Multi-Objective Security Hardening Optimisation for Dynamic Networks}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8761984}, doi = {10.1109/ICC.2019.8761984}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/YusufHGKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LeeLSL19, author = {Kibok Lee and Kimin Lee and Jinwoo Shin and Honglak Lee}, title = {Overcoming Catastrophic Forgetting With Unlabeled Data in the Wild}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {312--321}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00040}, doi = {10.1109/ICCV.2019.00040}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/LeeLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/DuZWWSLBSZKLZAS19, author = {Dawei Du and Yue Zhang and Zexin Wang and Zhikang Wang and Zichen Song and Ziming Liu and Liefeng Bo and Hailin Shi and Rui Zhu and Aashish Kumar and Aijin Li and Almaz Zinollayev and Anuar Askergaliyev and Arne Schumann and Binjie Mao and Pengfei Zhu and Byeongwon Lee and Chang Liu and Changrui Chen and Chunhong Pan and Chunlei Huo and Da Yu and Dechun Cong and Dening Zeng and Dheeraj Reddy Pailla and Di Li and Longyin Wen and Dong Wang and Donghyeon Cho and Dongyu Zhang and Furui Bai and George Jose and Guangyu Gao and Guizhong Liu and Haitao Xiong and Hao Qi and Haoran Wang and Xiao Bian and Heqian Qiu and Hongliang Li and Huchuan Lu and Ildoo Kim and Jaekyum Kim and Jane Shen and Jihoon Lee and Jing Ge and Jingjing Xu and Jingkai Zhou and Haibin Ling and Jonas Meier and Jun Won Choi and Junhao Hu and Junyi Zhang and Junying Huang and Kaiqi Huang and Keyang Wang and Lars Sommer and Lei Jin and Lei Zhang and Qinghua Hu and Lianghua Huang and Lin Sun and Lucas Steinmann and Meixia Jia and Nuo Xu and Pengyi Zhang and Qiang Chen and Qingxuan Lv and Qiong Liu and Qishang Cheng and Tao Peng and Sai Saketh Chennamsetty and Shuhao Chen and Shuo Wei and Srinivas S. S. Kruthiventi and Sungeun Hong and Sungil Kang and Tong Wu and Tuo Feng and Varghese Alex Kollerathu and Wanqi Li and Jiayu Zheng and Wei Dai and Weida Qin and Weiyang Wang and Xiaorui Wang and Xiaoyu Chen and Xin Chen and Xin Sun and Xin Zhang and Xin Zhao and Xindi Zhang and Xinyao Wang and Xinyu Zhang and Xuankun Chen and Xudong Wei and Xuzhang Zhang and Yanchao Li and Yifu Chen and Yu Heng Toh and Yu Zhang and Yu Zhu and Yunxin Zhong}, title = {VisDrone-DET2019: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {213--226}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00030}, doi = {10.1109/ICCVW.2019.00030}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/DuZWWSLBSZKLZAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/HongKWK19, author = {Je Hyeong Hong and Young Min Kim and Koang{-}Chul Wi and Jinwook Kim}, title = {PotSAC: {A} Robust Axis Estimator for Axially Symmetric Pot Fragments}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {1421--1428}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00179}, doi = {10.1109/ICCVW.2019.00179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/HongKWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/IgnatovLZWLHHSU19, author = {Andrey Ignatov and Juncheng Li and Jiajie Zhang and Haoyu Wu and Jie Li and Rui Huang and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Yuzhi Zhao and Lai{-}Man Po and Radu Timofte and Tiantian Zhang and Zongbang Liao and Xiang Shi and Yujia Zhang and Weifeng Ou and Pengfei Xian and Jingjing Xiong and Chang Zhou and Wing Yin Yu and Yubin Yubin and Sung{-}Jea Ko and Bingxin Hou and Bumjun Park and Songhyun Yu and Sangmin Kim and Jechang Jeong and Seung{-}Wook Kim and Kwang{-}Hyun Uhm and Seo{-}Won Ji and Sung{-}Jin Cho and Jun{-}Pyo Hong and Kangfu Mei}, title = {{AIM} 2019 Challenge on {RAW} to {RGB} Mapping: Methods and Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3584--3590}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00443}, doi = {10.1109/ICCVW.2019.00443}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/IgnatovLZWLHHSU19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/UhmKJCHK19, author = {Kwang{-}Hyun Uhm and Seung{-}Wook Kim and Seo{-}Won Ji and Sung{-}Jin Cho and Jun{-}Pyo Hong and Sung{-}Jea Ko}, title = {W-Net: Two-Stage U-Net With Misaligned Data for Raw-to-RGB Mapping}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3636--3642}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00448}, doi = {10.1109/ICCVW.2019.00448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/UhmKJCHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LeeYLLLS19, author = {Kimin Lee and Sukmin Yun and Kibok Lee and Honglak Lee and Bo Li and Jinwoo Shin}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Robust Inference via Generative Classifiers for Handling Noisy Labels}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {3763--3772}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/lee19f.html}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/LeeYLLLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/PhanKFFK19, author = {Ha Tran Hong Phan and Ashnil Kumar and Dagan Feng and Michael J. Fulham and Jinman Kim}, title = {Optimizing Contextual Feature Learning for Mitosis Detection with Convolutional Recurrent Neural Networks}, booktitle = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, pages = {240--243}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISBI.2019.8759224}, doi = {10.1109/ISBI.2019.8759224}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/PhanKFFK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsda/JingKS19, author = {Zhi Jing and Gangsan Kim and Hong{-}Yeop Song}, title = {A Concatenated Binary Locally Repairable Codes With Locality 2 Using Puncturing}, booktitle = {Ninth International Workshop on Signal Design and its Applications in Communications, {IWSDA} 2019, Dongguan, China, October 20-24, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWSDA46143.2019.8966112}, doi = {10.1109/IWSDA46143.2019.8966112}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsda/JingKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeHSKK19, author = {Hansang Lee and Helen Hong and Jinsil Seong and Jin Sung Kim and Junmo Kim}, editor = {Islem Rekik and Ehsan Adeli and Sang Hyun Park}, title = {Treatment Response Prediction of Hepatocellular Carcinoma Patients from Abdominal {CT} Images with Deep Convolutional Neural Networks}, booktitle = {Predictive Intelligence in Medicine - Second International Workshop, {PRIME} 2019, Held in Conjunction with {MICCAI} 2019, Shenzhen, China, October 13, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11843}, pages = {168--176}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32281-6\_18}, doi = {10.1007/978-3-030-32281-6\_18}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeHSKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KimHCR19, author = {Hyeonjin Kim and Helen Hong and Kidon Chang and Koon Ho Rha}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Renal parenchyma segmentation from abdominal {CT} images using multi-atlas method with intensity and shape constraints}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493A}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512768}, doi = {10.1117/12.2512768}, timestamp = {Wed, 17 Apr 2019 09:16:41 +0200}, biburl = {https://dblp.org/rec/conf/miip/KimHCR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/ChoiSKSH19, author = {Jusop Choi and Dongsoon Shin and Hyoungshick Kim and Jason Seotis and Jin B. Hong}, title = {{AMVG:} Adaptive Malware Variant Generation Framework Using Machine Learning}, booktitle = {24th {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2019, Kyoto, Japan, December 1-3, 2019}, pages = {246--255}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/PRDC47002.2019.00055}, doi = {10.1109/PRDC47002.2019.00055}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/prdc/ChoiSKSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/HongCKMHL19, author = {Boseon Hong and Jinsung Cho and Bongjae Kim and Hong Min and Jiman Hong and Keon Myung Lee}, editor = {Chih{-}Cheng Hung and Qianbin Chen and Xianzhong Xie and Christian Esposito and Jun Huang and Juw Won Park and Qinghua Zhang}, title = {A study on supporting spiking neural network models based on multiple neuromorphic processors}, booktitle = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2019, Chongqing, China, September 24-27, 2019}, pages = {131--132}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338840.3355692}, doi = {10.1145/3338840.3355692}, timestamp = {Wed, 17 Aug 2022 11:16:50 +0200}, biburl = {https://dblp.org/rec/conf/racs/HongCKMHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HongKJK19, author = {Suk{-}Jin Hong and Yun{-}Yong Ko and Moon Jeung Joe and Sang{-}Wook Kim}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Influence maximization for effective advertisement in social networks: problem, solution, and evaluation}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {1314--1321}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297412}, doi = {10.1145/3297280.3297412}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/HongKJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeLJKH19, author = {Jinwoo Lee and Yena Lee and Ming Jin and John Kim and Jiman Hong}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Analysis of application installation logs on Android systems}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {2140--2145}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297489}, doi = {10.1145/3297280.3297489}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeLJKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MinJJKH19, author = {Hong Min and Kwangsoo Jo and Jinman Jung and Bongjae Kim and Junyoung Heo}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {A rendezvous node selection protocol for drone-based data collection}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {1459--1461}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297591}, doi = {10.1145/3297280.3297591}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/MinJJKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/BhamidipatiKSO19, author = {Sriramya Bhamidipati and Kyeong Jin Kim and Hongbo Sun and Philip V. Orlik}, title = {Wide-Area {GPS} Time Monitoring Against Spoofing Using Belief Propagation}, booktitle = {16th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2019, Boston, MA, USA, June 10-13, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAHCN.2019.8824812}, doi = {10.1109/SAHCN.2019.8824812}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/secon/BhamidipatiKSO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/AnEPHNFKK19, author = {SeongMo An and Taehoon Eom and Jong Sou Park and Jin Bum Hong and Armstrong Nhlabatsi and Noora Fetais and Khaled M. Khan and Dong Seong Kim}, title = {CloudSafe: {A} Tool for an Automated Security Analysis for Cloud Computing}, booktitle = {18th {IEEE} International Conference On Trust, Security And Privacy In Computing And Communications / 13th {IEEE} International Conference On Big Data Science And Engineering, TrustCom/BigDataSE 2019, Rotorua, New Zealand, August 5-8, 2019}, pages = {602--609}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TrustCom/BigDataSE.2019.00086}, doi = {10.1109/TRUSTCOM/BIGDATASE.2019.00086}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/AnEPHNFKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/EomHAPK19, author = {Taehoon Eom and Jin Bum Hong and SeongMo An and Jong Sou Park and Dong Seong Kim}, title = {Security and Performance Modeling and Optimization for Software Defined Networking}, booktitle = {18th {IEEE} International Conference On Trust, Security And Privacy In Computing And Communications / 13th {IEEE} International Conference On Big Data Science And Engineering, TrustCom/BigDataSE 2019, Rotorua, New Zealand, August 5-8, 2019}, pages = {610--617}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TrustCom/BigDataSE.2019.00087}, doi = {10.1109/TRUSTCOM/BIGDATASE.2019.00087}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/EomHAPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/JungHSPLK19, author = {Jinho Jung and Hong Hu and David Solodukhin and Daniel Pagan and Kyu Hyung Lee and Taesoo Kim}, editor = {Nadia Heninger and Patrick Traynor}, title = {Fuzzification: Anti-Fuzzing Techniques}, booktitle = {28th {USENIX} Security Symposium, {USENIX} Security 2019, Santa Clara, CA, USA, August 14-16, 2019}, pages = {1913--1930}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/usenixsecurity19/presentation/jung}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/JungHSPLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JeongJKH19, author = {Jinkyo Jeong and Insik Jung and Jintae Kim and Daesik Hong}, title = {A New {GFDM} Receiver with Tabu Search}, booktitle = {89th {IEEE} Vehicular Technology Conference, {VTC} Spring 2019, Kuala Lumpur, Malaysia, April 28 - May 1, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCSpring.2019.8746322}, doi = {10.1109/VTCSPRING.2019.8746322}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/JeongJKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bionlp/2019ost, editor = {Jin{-}Dong Kim and Claire N{\'{e}}dellec and Robert Bossy and Louise Del{\'{e}}ger}, title = {Proceedings of The 5th Workshop on BioNLP Open Shared Tasks, BioNLP-OST@EMNLP-IJNCLP 2019, Hong Kong, China, November 4, 2019}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://aclanthology.org/volumes/D19-57/}, isbn = {978-1-950737-82-6}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bionlp/2019ost.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-04985, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Wook Song and Jaeyun Jung and Hyoungjoo Ahn and Sangjung Woo and Youngchul Cho and JinHyuck Park and Sewon Oh and Hong{-}Seok Kim}, title = {NNStreamer: Stream Processing Paradigm for Neural Networks, Toward Efficient Development and Execution of On-Device {AI} Applications}, journal = {CoRR}, volume = {abs/1901.04985}, year = {2019}, url = {http://arxiv.org/abs/1901.04985}, eprinttype = {arXiv}, eprint = {1901.04985}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-04985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-11300, author = {Kimin Lee and Sukmin Yun and Kibok Lee and Honglak Lee and Bo Li and Jinwoo Shin}, title = {Robust Inference via Generative Classifiers for Handling Noisy Labels}, journal = {CoRR}, volume = {abs/1901.11300}, year = {2019}, url = {http://arxiv.org/abs/1901.11300}, eprinttype = {arXiv}, eprint = {1901.11300}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-11300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-04271, author = {SeoungMo An and Taehoon Eom and Jong Sou Park and Jin B. Hong and Armstrong Nhlabatsi and Noora Fetais and Khaled M. Khan and Dong Seong Kim}, title = {CloudSafe: {A} Tool for an Automated Security Analysis for Cloud Computing}, journal = {CoRR}, volume = {abs/1903.04271}, year = {2019}, url = {http://arxiv.org/abs/1903.04271}, eprinttype = {arXiv}, eprint = {1903.04271}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-04271.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-12648, author = {Kibok Lee and Kimin Lee and Jinwoo Shin and Honglak Lee}, title = {Incremental Learning with Unlabeled Data in the Wild}, journal = {CoRR}, volume = {abs/1903.12648}, year = {2019}, url = {http://arxiv.org/abs/1903.12648}, eprinttype = {arXiv}, eprint = {1903.12648}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-12648.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07714, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, Opportunities}, journal = {CoRR}, volume = {abs/1904.07714}, year = {2019}, url = {http://arxiv.org/abs/1904.07714}, eprinttype = {arXiv}, eprint = {1904.07714}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07714.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-09700, author = {Sooyoung Cha and Seongjoon Hong and Jingyoung Kim and Junhee Lee and Hakjoo Oh}, title = {Enhancing Dynamic Symbolic Execution by Automatically Learning Search Heuristics}, journal = {CoRR}, volume = {abs/1907.09700}, year = {2019}, url = {http://arxiv.org/abs/1907.09700}, eprinttype = {arXiv}, eprint = {1907.09700}, timestamp = {Tue, 30 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-09700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-09946, author = {Ha Tran Hong Phan and Ashnil Kumar and David Feng and Michael J. Fulham and Jinman Kim}, title = {Semi-supervised estimation of event temporal length for cell event detection}, journal = {CoRR}, volume = {abs/1909.09946}, year = {2019}, url = {http://arxiv.org/abs/1909.09946}, eprinttype = {arXiv}, eprint = {1909.09946}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-09946.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-05396, author = {Kimin Lee and Kibok Lee and Jinwoo Shin and Honglak Lee}, title = {A Simple Randomization Technique for Generalization in Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/1910.05396}, year = {2019}, url = {http://arxiv.org/abs/1910.05396}, eprinttype = {arXiv}, eprint = {1910.05396}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-05396.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-08656, author = {Kwang{-}Hyun Uhm and Seung{-}Wook Kim and Seo{-}Won Ji and Sung{-}Jin Cho and Jun{-}Pyo Hong and Sung{-}Jea Ko}, title = {W-Net: Two-stage U-Net with misaligned data for raw-to-RGB mapping}, journal = {CoRR}, volume = {abs/1911.08656}, year = {2019}, url = {http://arxiv.org/abs/1911.08656}, eprinttype = {arXiv}, eprint = {1911.08656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-08656.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/f1000research/KatayamaKMKKKOWWYYAAABBBB19, author = {Toshiaki Katayama and Shuichi Kawashima and Gos Micklem and Shin Kawano and Jin{-}Dong Kim and Simon Kocbek and Shinobu Okamoto and Yue Wang and Hongyan Wu and Atsuko Yamaguchi and Yasunori Yamamoto and Erick Antezana and Kiyoko F. Aoki{-}Kinoshita and Kazuharu Arakawa and Masaki Banno and Joachim Baran and Jerven T. Bolleman and Raoul Jean Pierre Bonnal and Hidemasa Bono and Jesualdo Tom{\'{a}}s Fern{\'{a}}ndez{-}Breis and Robert M. Buels and Matthew P. Campbell and Hirokazu Chiba and Peter J. A. Cock and Kevin Bretonnel Cohen and Michel Dumontier and Takatomo Fujisawa and Toyofumi Fujiwara and Leyla J. Garc{\'{\i}}a and Pascale Gaudet and Emi Hattori and Robert Hoehndorf and Kotone Itaya and Maori Ito and Daniel Jamieson and Simon Jupp and Nick S. Juty and Alex Kalderimis and Fumihiro Kato and Hideya Kawaji and Takeshi Kawashima and Akira R. Kinjo and Yusuke Komiyama and Masaaki Kotera and Tatsuya Kushida and James Malone and Masaaki Matsubara and Satoshi Mizuno and Sayaka Mizutani and Hiroshi Mori and Yuki Moriya and Katsuhiko Murakami and Takeru Nakazato and Hiroyo Nishide and Yosuke Nishimura and Soichi Ogishima and Tazro Ohta and Shujiro Okuda and Hiromasa Ono and Yasset P{\'{e}}rez{-}Riverol and Daisuke Shinmachi and Andrea Splendiani and Francesco Strozzi and Shinya Suzuki and Junichi Takehara and Mark Thompson and Toshiaki Tokimatsu and Ikuo Uchiyama and Karin Verspoor and Mark D. Wilkinson and Sarala M. Wimalaratne and Issaku Yamada and Nozomi Yamamoto and Masayuki Yarimizu and Shoko Kawamoto and Toshihisa Takagi}, title = {BioHackathon series in 2013 and 2014: improvements of semantic interoperability in life science data and services}, journal = {F1000Research}, volume = {8}, pages = {1677}, year = {2019}, url = {https://doi.org/10.12688/f1000research.18238.1}, doi = {10.12688/F1000RESEARCH.18238.1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/f1000research/KatayamaKMKKKOWWYYAAABBBB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CheonHHKKKSSS18, author = {Jung Hee Cheon and Kyoohyung Han and Seong{-}Min Hong and Hyoun Jin Kim and Junsoo Kim and Suseong Kim and Hosung Seo and Hyungbo Shim and Yongsoo Song}, title = {Toward a Secure Drone System: Flying With Real-Time Homomorphic Authenticated Encryption}, journal = {{IEEE} Access}, volume = {6}, pages = {24325--24339}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2819189}, doi = {10.1109/ACCESS.2018.2819189}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CheonHHKKKSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHLK18, author = {Changsik Kim and Seongwoo Hong and Kitark Lee and Young{-}Jin Kim}, title = {High-Accurate and Fast Power Model Based on Channel Dependency for Mobile {AMOLED} Displays}, journal = {{IEEE} Access}, volume = {6}, pages = {73380--73394}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2881272}, doi = {10.1109/ACCESS.2018.2881272}, timestamp = {Tue, 26 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ThangCHKL18, author = {Nguyen Van Thang and Jiwoong Choi and Ju{-}Hyeon Hong and Jin{-}Sung Kim and Hyuk{-}Jae Lee}, title = {Hierarchical Motion Estimation for Small Objects in Frame-Rate Up-Conversion}, journal = {{IEEE} Access}, volume = {6}, pages = {60353--60360}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2875688}, doi = {10.1109/ACCESS.2018.2875688}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ThangCHKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeHJPKN18, author = {Deokjong Lee and Sung Jun Hong and Young{-}Chul Jung and Jinsick Park and In Young Kim and Kee Namkoong}, title = {Altered Heart Rate Variability During Gaming in Internet Gaming Disorder}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {21}, number = {4}, pages = {259--267}, year = {2018}, url = {https://doi.org/10.1089/cyber.2017.0486}, doi = {10.1089/CYBER.2017.0486}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LeeHJPKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KimKKL18, author = {Hong{-}Keun Kim and Charn{-}Jung Kim and Chang{-}Wan Kim and Kyu{-}Jin Lee}, title = {Numerical analysis of accelerated degradation in large lithium-ion batteries}, journal = {Comput. Chem. Eng.}, volume = {112}, pages = {82--91}, year = {2018}, url = {https://doi.org/10.1016/j.compchemeng.2017.12.019}, doi = {10.1016/J.COMPCHEMENG.2017.12.019}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/KimKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/YusufGHAK18, author = {Simon Yusuf Enoch and Mengmeng Ge and Jin B. Hong and Hani Alzaid and Dong Seong Kim}, title = {A systematic evaluation of cybersecurity metrics for dynamic networks}, journal = {Comput. Networks}, volume = {144}, pages = {216--229}, year = {2018}, url = {https://doi.org/10.1016/j.comnet.2018.07.028}, doi = {10.1016/J.COMNET.2018.07.028}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/YusufGHAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/GeemCK18, author = {Zong Woo Geem and Sung Yong Chung and Jin{-}Hong Kim}, title = {Improved Optimization for Wastewater Treatment and Reuse System Using Computational Intelligence}, journal = {Complex.}, volume = {2018}, pages = {2480365:1--2480365:8}, year = {2018}, url = {https://doi.org/10.1155/2018/2480365}, doi = {10.1155/2018/2480365}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/GeemCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/HongYKNFK18, author = {Jin B. Hong and Simon Yusuf Enoch and Dong Seong Kim and Armstrong Nhlabatsi and Noora Fetais and Khaled M. Khan}, title = {Dynamic security metrics for measuring the effectiveness of moving target defense techniques}, journal = {Comput. Secur.}, volume = {79}, pages = {33--52}, year = {2018}, url = {https://doi.org/10.1016/j.cose.2018.08.003}, doi = {10.1016/J.COSE.2018.08.003}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/HongYKNFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/GeHYK18, author = {Mengmeng Ge and Jin B. Hong and Simon Yusuf Enoch and Dong Seong Kim}, title = {Proactive defense mechanisms for the software-defined Internet of Things with non-patchable vulnerabilities}, journal = {Future Gener. Comput. Syst.}, volume = {78}, pages = {568--582}, year = {2018}, url = {https://doi.org/10.1016/j.future.2017.07.008}, doi = {10.1016/J.FUTURE.2017.07.008}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/GeHYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimMJ18, author = {Taesik Kim and Hong Min and Jinman Jung}, title = {Vehicular datacenter modeling for cloud computing: Considering capacity and leave rate of vehicles}, journal = {Future Gener. Comput. Syst.}, volume = {88}, pages = {363--372}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.05.052}, doi = {10.1016/J.FUTURE.2018.05.052}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KimMJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HongHLSKK18, author = {Seung Gwan Hong and Yu Min Hwang and Sun Yui Lee and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Game-Theoretic Modeling of Backscatter Wireless Sensor Networks Under Smart Interference}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {4}, pages = {804--807}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2784454}, doi = {10.1109/LCOMM.2017.2784454}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HongHLSKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HongKCP18, author = {Kiwon Hong and Youngjun Kim and Hyungoo Choi and Jinwoo Park}, title = {SDN-Assisted Slow {HTTP} DDoS Attack Defense Method}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {4}, pages = {688--691}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2766636}, doi = {10.1109/LCOMM.2017.2766636}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HongKCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijac/CheonJ0KLPRS18, author = {Jeoung Soo Cheon and Hai{-}Lan Jin and Da Woon Jung and Hong Kee Kim and Yang Lee and Sangwon Park and Sungju Ryu and Yeonsook Seo}, title = {Structure of insertion property by powers}, journal = {Int. J. Algebra Comput.}, volume = {28}, number = {3}, pages = {501--519}, year = {2018}, url = {https://doi.org/10.1142/S0218196718500236}, doi = {10.1142/S0218196718500236}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijac/CheonJ0KLPRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/JeongKK18, author = {Hong Jin Jeong and Chang Wook Kang and Bo Hyun Kim}, title = {Requirements development process for manufacturing information systems based on quality function deployment}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {31}, number = {2}, pages = {164--174}, year = {2018}, url = {https://doi.org/10.1080/0951192X.2017.1407448}, doi = {10.1080/0951192X.2017.1407448}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/JeongKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/GeemK18, author = {Zong Woo Geem and Jin{-}Hong Kim}, title = {Application of Computational Intelligence Techniques to an Environmental Flow Formula}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {18}, number = {4}, pages = {237--244}, year = {2018}, url = {https://doi.org/10.5391/ijfis.2018.18.4.237}, doi = {10.5391/IJFIS.2018.18.4.237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/GeemK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimKS18, author = {Jinsu Kim and Sungwook Kim and Jae Hong Seo}, title = {A new scale-invariant homomorphic encryption scheme}, journal = {Inf. Sci.}, volume = {422}, pages = {177--187}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2017.09.012}, doi = {10.1016/J.INS.2017.09.012}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KimKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/YoonKMC18, author = {Hongchan Yoon and Baek{-}Hyun Kim and Mukhriddin Mukhiddinov and Jinsoo Cho}, title = {Salient Region Extraction based on Global Contrast Enhancement and Saliency Cut for Image Information Recognition of the Visually Impaired}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {5}, pages = {2287--2312}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.05.021}, doi = {10.3837/TIIS.2018.05.021}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/YoonKMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/KimNHH18, author = {Myungsun Kim and Soonhyun Noh and Jinhwa Hyeon and Seongsoo Hong}, title = {Fair-share scheduling in single-ISA asymmetric multicore architecture via scaled virtual runtime and load redistribution}, journal = {J. Parallel Distributed Comput.}, volume = {111}, pages = {174--186}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2017.08.012}, doi = {10.1016/J.JPDC.2017.08.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/KimNHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/LiuYKOP18, author = {Hongwu Liu and Phee Lep Yeoh and Kyeong Jin Kim and Philip V. Orlik and H. Vincent Poor}, title = {Secrecy Performance of Finite-Sized In-Band Selective Relaying Systems With Unreliable Backhaul and Cooperative Eavesdroppers}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {36}, number = {7}, pages = {1499--1516}, year = {2018}, url = {https://doi.org/10.1109/JSAC.2018.2824418}, doi = {10.1109/JSAC.2018.2824418}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/LiuYKOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AnHKYKHYJLJBHK18, author = {Jae{-}Sung An and Sang{-}Hyun Han and Ju Eon Kim and Dong{-}Hyun Yoon and Young{-}Hwan Kim and Han{-}Hee Hong and Jae{-}Hun Ye and Sung{-}Jin Jung and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Kwang{-}Hyun Baek and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {A 3.9-kHz Frame Rate and 61.0-dB {SNR} Analog Front-End {IC} With 6-bit Pressure and Tilt Angle Expressions of Active Stylus Using Multiple-Frequency Driving Method for Capacitive Touch Screen Panels}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {187--203}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2759191}, doi = {10.1109/JSSC.2017.2759191}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AnHKYKHYJLJBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YiCHBCJKSP18, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {144--154}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2746698}, doi = {10.1109/JSSC.2017.2746698}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YiCHBCJKSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/JeonLJK18, author = {Seokhee Jeon and Hong{-}rae Lee and Jiyoung Jung and Jin Ryong Kim}, title = {User-Adaptive Key Click Vibration on Virtual Keyboard}, journal = {Mob. Inf. Syst.}, volume = {2018}, pages = {6126140:1--6126140:12}, year = {2018}, url = {https://doi.org/10.1155/2018/6126140}, doi = {10.1155/2018/6126140}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/JeonLJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeYLOCLCKH18, author = {Yoontaek Lee and Jaeyoung Yoon and Byeongmoon Lee and Eunho Oh and Seungjun Chung and Takhee Lee and Kyu{-}Jin Cho and Jaeha Kim and Yongtaek Hong}, title = {Electronic skins for soft, compact, reversible assembly of wirelessly activated fully soft robots}, journal = {Sci. Robotics}, volume = {3}, number = {18}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aas9020}, doi = {10.1126/SCIROBOTICS.AAS9020}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeYLOCLCKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ParkKSKNK18, author = {Jinsoo Park and Gangsan Kim and Hong{-}Yeop Song and Chanki Kim and Jong{-}Seon No and Suil Kim}, title = {Analysis of Iterative Erasure Insertion and Decoding of {FH/MFSK} Systems without Channel State Information}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {7318043:1--7318043:12}, year = {2018}, url = {https://doi.org/10.1155/2018/7318043}, doi = {10.1155/2018/7318043}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ParkKSKNK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimMHJ18, author = {Bongjae Kim and Hong Min and Junyoung Heo and Jinman Jung}, title = {Dynamic Computation Offloading Scheme for Drone-Based Surveillance Systems}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2982}, year = {2018}, url = {https://doi.org/10.3390/s18092982}, doi = {10.3390/S18092982}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimMHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimOPJLKJS18, author = {Dae Hoon Kim and Hong Gi Oh and Woo Hwan Park and Dong Cheol Jeon and Ki Moo Lim and Hyung Jin Kim and Byoung Kuk Jang and Kwang Soup Song}, title = {Detection of Alpha-Fetoprotein in Hepatocellular Carcinoma Patient Plasma with Graphene Field-Effect Transistor}, journal = {Sensors}, volume = {18}, number = {11}, pages = {4032}, year = {2018}, url = {https://doi.org/10.3390/s18114032}, doi = {10.3390/S18114032}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimOPJLKJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonBKLJJK18, author = {Jeong Moon and Jihyun Byun and Hongki Kim and Eun{-}Kyung Lim and Jinyoung Jeong and Juyuen Jung and Taejoon Kang}, title = {On-Site Detection of Aflatoxin {B1} in Grains by a Palm-Sized Surface Plasmon Resonance Sensor}, journal = {Sensors}, volume = {18}, number = {2}, pages = {598}, year = {2018}, url = {https://doi.org/10.3390/s18020598}, doi = {10.3390/S18020598}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonBKLJJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinLKK18, author = {Eunso Shin and Young Jin Lee and Youngsoo Kim and Soon{-}Hong Kwon}, title = {Horizontal Plasmonic Ruler Based on the Scattering Far-Field Pattern}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3365}, year = {2018}, url = {https://doi.org/10.3390/s18103365}, doi = {10.3390/S18103365}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinLKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/SukLJKL18, author = {Jae Hyuk Suk and Jae{-}Yung Lee and Hongjoo Jin and In Seok Kim and Dong Hoon Lee}, title = {UnThemida: Commercial obfuscation technique analysis with a fully obfuscated program}, journal = {Softw. Pract. Exp.}, volume = {48}, number = {12}, pages = {2331--2349}, year = {2018}, url = {https://doi.org/10.1002/spe.2622}, doi = {10.1002/SPE.2622}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/SukLJKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JeongHCDKYLPKLJ18, author = {Gyu{-}Seob Jeong and Jeongho Hwang and Hong{-}Seok Choi and Hyungrok Do and Daehyun Koh and Daeyoung Yun and Jinhyung Lee and Kwanseo Park and Han{-}Gon Ko and Kwangho Lee and Jiho Joo and Gyungock Kim and Deog{-}Kyoon Jeong}, title = {25-Gb/s Clocked Pluggable Optics for High-Density Data Center Interconnections}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {10}, pages = {1395--1399}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2850663}, doi = {10.1109/TCSII.2018.2850663}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JeongHCDKYLPKLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/ChoiNSYLKPWLM18, author = {Jin{-}Yong Choi and Eyee Hyun Nam and Yoon Jae Seong and Jinhyuk Yoon and Sookwan Lee and Hongseok Kim and Jeongsu Park and Yeong{-}Jae Woo and Sheayun Lee and Sang Lyul Min}, title = {{HIL:} {A} Framework for Compositional {FTL} Development and Provably-Correct Crash Recovery}, journal = {{ACM} Trans. Storage}, volume = {14}, number = {4}, pages = {36:1--36:29}, year = {2018}, url = {https://doi.org/10.1145/3281030}, doi = {10.1145/3281030}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/ChoiNSYLKPWLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/JeongPWKCH18, author = {Jinkyo Jeong and Yosub Park and Sungwoo Weon and Jintae Kim and Sooyong Choi and Daesik Hong}, title = {Eigendecomposition-Based {GFDM} for Interference-Free Data Transmission and Pilot Insertion for Channel Estimation}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {10}, pages = {6931--6943}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2864995}, doi = {10.1109/TWC.2018.2864995}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/JeongPWKCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimLROP18, author = {Kyeong Jin Kim and Hongwu Liu and Marco Di Renzo and Philip V. Orlik and H. Vincent Poor}, title = {Secrecy Analysis of Distributed CDD-Based Cooperative Systems With Deliberate Interference}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {12}, pages = {7865--7878}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2871200}, doi = {10.1109/TWC.2018.2871200}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimLROP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimPWJCH18, author = {Jintae Kim and Yosub Park and Sungwoo Weon and Jinkyo Jeong and Sooyong Choi and Daesik Hong}, title = {A New Filter-Bank Multicarrier System: The Linearly Processed {FBMC} System}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {7}, pages = {4888--4898}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2832646}, doi = {10.1109/TWC.2018.2832646}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimPWJCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LiuDKKP18, author = {Hongwu Liu and Zhiguo Ding and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {Decode-and-Forward Relaying for Cooperative {NOMA} Systems With Direct Links}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {12}, pages = {8077--8093}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2873999}, doi = {10.1109/TWC.2018.2873999}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LiuDKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/MinKHCSAJ18, author = {Hong Min and Taesik Kim and Junyoung Heo and Tom{\'{a}}s Cern{\'{y}} and Sriram Sankaran and Bestoun S. Ahmed and Jinman Jung}, title = {Pattern Matching Based Sensor Identification Layer for an Android Platform}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {4734527:1--4734527:11}, year = {2018}, url = {https://doi.org/10.1155/2018/4734527}, doi = {10.1155/2018/4734527}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/MinKHCSAJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsw/YusufHGAK18, author = {Simon Yusuf Enoch and Jin B. Hong and Mengmeng Ge and Hani Alzaid and Dong Seong Kim}, editor = {David Abramson}, title = {Automated security investment analysis of dynamic networks}, booktitle = {Proceedings of the Australasian Computer Science Week Multiconference, {ACSW} 2018, Brisbane, QLD, Australia, January 29 - February 02, 2018}, pages = {6:1--6:10}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3167918.3167964}, doi = {10.1145/3167918.3167964}, timestamp = {Tue, 20 Jun 2023 16:27:45 +0200}, biburl = {https://dblp.org/rec/conf/acsw/YusufHGAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aughuman/AhnKLKHSKEK18, author = {Ji Yoon Ahn and Dong Wan Kim and Yong Hyeon Lee and Woori Kim and Jeong Kuk Hong and Yeonbo Shim and Jin Ho Kim and Juhyun Eune and Seong Woo Kim}, title = {{MOYA:} Interactive {AI} toy for children to develop their language skills}, booktitle = {Proceedings of the 9th Augmented Human International Conference, {AH} 2018, Seoul, Republic of Korea, February 7-9, 2018}, pages = {36:1--36:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3174910.3174957}, doi = {10.1145/3174910.3174957}, timestamp = {Tue, 06 Nov 2018 16:58:28 +0100}, biburl = {https://dblp.org/rec/conf/aughuman/AhnKLKHSKEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KangALLHJK18, author = {Dongwon Kang and Hongryul Ahn and Sangseon Lee and Chai{-}Jin Lee and Jihye Hur and Woosuk Jung and Sun Kim}, editor = {Huiru Jane Zheng and Zoraida Callejas and David Griol and Haiying Wang and Xiaohua Hu and Harald H. H. W. Schmidt and Jan Baumbach and Julie Dickerson and Le Zhang}, title = {Identifying stress-related genes and predicting stress types in Arabidopsis using logical correlation layer and {CMCL} loss through time-series data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2018, Madrid, Spain, December 3-6, 2018}, pages = {399--404}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/BIBM.2018.8621581}, doi = {10.1109/BIBM.2018.8621581}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/KangALLHJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/CheonKKKC18, author = {Jongmin Cheon and Jinwook Kim and Hongju Kim and Soonman Kwon and Youngkiu Choi}, title = {Systematic Design Method of Controller using Discrete Fuzzy {PI} Control and Particle Swarm Optimization}, booktitle = {14th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2018, Munich, Germany, August 20-24, 2018}, pages = {1581--1586}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/COASE.2018.8560373}, doi = {10.1109/COASE.2018.8560373}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/CheonKKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/KimJKKC18, author = {Hyeonji Kim and Jinah Jang and Hong Kyun Kim and Ki Hean Kim and Dong{-}Woo Cho}, title = {3D cell printed corneal stromal analogues for corneal tissue engineering}, booktitle = {{IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2018, Shenzhen, China, October 25-27, 2018}, pages = {191--194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CBS.2018.8612218}, doi = {10.1109/CBS.2018.8612218}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/cbs/KimJKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/JosBNTPHK18, author = {Sujit Jos and Kiran Bynam and Jinesh P. Nair and P. S. Chandrashekhar Thejaswi and Changsoon Park and Young{-}Jun Hong and Youngsoo Kim}, title = {A carrier frequency offset compensation algorithm with synchronization for digital communication receivers}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319211}, doi = {10.1109/CCNC.2018.8319211}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/JosBNTPHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/AlavizadehHJK18, author = {Hooman Alavizadeh and Jin B. Hong and Julian Jang{-}Jaccard and Dong Seong Kim}, editor = {Massimiliano Albanese and Dijiang Huang}, title = {Comprehensive Security Assessment of Combined {MTD} Techniques for the Cloud}, booktitle = {Proceedings of the 5th {ACM} Workshop on Moving Target Defense, {CCS} 2018, Toronto, ON, Canada, October 15, 2018}, pages = {11--20}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3268966.3268967}, doi = {10.1145/3268966.3268967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/AlavizadehHJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeLMZSL18, author = {Kibok Lee and Kimin Lee and Kyle Min and Yuting Zhang and Jinwoo Shin and Honglak Lee}, title = {Hierarchical Novelty Detection for Visual Object Recognition}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {1034--1042}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Lee\_Hierarchical\_Novelty\_Detection\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00114}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeLMZSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HongYKK18, author = {Jin Bum Hong and Simon Yusuf Enoch and Dong Seong Kim and Khaled Md. Khan}, title = {Stateless Security Risk Assessment for Dynamic Networks}, booktitle = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks Workshops, {DSN} Workshops 2018, Luxembourg, June 25-28, 2018}, pages = {65--66}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/DSN-W.2018.00032}, doi = {10.1109/DSN-W.2018.00032}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsn/HongYKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/BaekSKLKK18, author = {Hongchae Baek and Anvar Sariev and Min{-}Ju Kim and Hojin Lee and Jinhyun Kim and Hyungmin Kim}, title = {A neuroprotective brain stimulation for vulnerable cerebellar Purkinje cell after ischemic stroke: a study with low-intensity focused ultrasound}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4744--4747}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513138}, doi = {10.1109/EMBC.2018.8513138}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/BaekSKLKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LimYKC18, author = {Sun Hong Lim and Jin Hyeok Yoo and Sunwoo Kim and Jun Won Choi}, title = {Greedy Recovery of Sparse Signals with Dynamically Varying Support}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {578--582}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553450}, doi = {10.23919/EUSIPCO.2018.8553450}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LimYKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLRP18, author = {Kyeong Jin Kim and Hongwu Liu and Marco Di Renzo and H. Vincent Poor}, title = {Performance Analysis of Spectrum Sharing Systems with Distributed {CDD}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {206--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8647728}, doi = {10.1109/GLOCOM.2018.8647728}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimLRP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuMTKK18, author = {Hongwu Liu and Nikolaos I. Miridakis and Theodoros A. Tsiftsis and Kyeong Jin Kim and Kyung Sup Kwak}, title = {Coordinated Uplink Transmission for Cooperative {NOMA} Systems}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8647831}, doi = {10.1109/GLOCOM.2018.8647831}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LiuMTKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkHKSH18, author = {Se Jin Park and Seunghee Hong and Damee Kim and Young Seo and Iqram Hussain}, editor = {Constantine Stephanidis}, title = {Knowledge Based Health Monitoring During Driving}, booktitle = {{HCI} International 2018 - Posters' Extended Abstracts - 20th International Conference, {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {852}, pages = {387--392}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92285-0\_52}, doi = {10.1007/978-3-319-92285-0\_52}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ParkHKSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/HongSBKKNSC0S18, author = {Changwan Hong and Aravind Sukumaran{-}Rajam and Bortik Bandyopadhyay and Jinsung Kim and S{\"{u}}reyya Emre Kurt and Israt Nisa and Shivani Sabhlok and {\"{U}}mit V. {\c{C}}ataly{\"{u}}rek and Srinivasan Parthasarathy and P. Sadayappan}, editor = {Ming Zhao and Abhishek Chandra and Lavanya Ramakrishnan}, title = {Efficient sparse-matrix multi-vector product on GPUs}, booktitle = {Proceedings of the 27th International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2018, Tempe, AZ, USA, June 11-15, 2018}, pages = {66--79}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3208040.3208062}, doi = {10.1145/3208040.3208062}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/HongSBKKNSC0S18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimLROP18, author = {Kyeong Jin Kim and Hongwu Liu and Marco Di Renzo and Philip V. Orlik and H. Vincent Poor}, title = {Secrecy Performance Analysis of Distributed {CDD} Based Cooperative Systems with Jamming}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422679}, doi = {10.1109/ICC.2018.8422679}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimLROP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimRLOP18, author = {Kyeong Jin Kim and Marco Di Renzo and Hongwu Liu and Philip V. Orlik and H. Vincent Poor}, title = {Diversity Gain Analysis of Distributed {CDD} Systems in Non-Identical Frequency Selective Fading}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422918}, doi = {10.1109/ICC.2018.8422918}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimRLOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ShinJKKLP18, author = {Seo Hyeon Shin and Kwang Myung Jeon and Nam Kyun Kim and Hong Kook Kim and Jeong Eun Lim and Jinsoo Park}, title = {Coordinate-based direction-of-arrival estimation method using distributed microphones}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326263}, doi = {10.1109/ICCE.2018.8326263}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ShinJKKLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeLLS18, author = {Kimin Lee and Honglak Lee and Kibok Lee and Jinwoo Shin}, title = {Training Confidence-calibrated Classifiers for Detecting Out-of-Distribution Samples}, booktitle = {6th International Conference on Learning Representations, {ICLR} 2018, Vancouver, BC, Canada, April 30 - May 3, 2018, Conference Track Proceedings}, publisher = {OpenReview.net}, year = {2018}, url = {https://openreview.net/forum?id=ryiAv2xAZ}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/KimSHPSKS18, author = {Jinsung Kim and Aravind Sukumaran{-}Rajam and Changwan Hong and Ajay Panyala and Rohit Kumar Srivastava and Sriram Krishnamoorthy and P. Sadayappan}, title = {Optimizing Tensor Contractions in {CCSD(T)} for Efficient Execution on GPUs}, booktitle = {Proceedings of the 32nd International Conference on Supercomputing, {ICS} 2018, Beijing, China, June 12-15, 2018}, pages = {96--106}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3205289.3205296}, doi = {10.1145/3205289.3205296}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/KimSHPSKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/EssaidKPLPJ18, author = {Meryam Essaid and Hyeon Woo Kim and Woo Guil Park and Ki Young Lee and Se Jin Park and Hongtaek Ju}, title = {Network Usage of Bitcoin Full Node}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1286--1291}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539723}, doi = {10.1109/ICTC.2018.8539723}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/EssaidKPLPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongCKC18, author = {Heon{-}Jin Hong and Sung{-}Woong Choi and Chung Sup Kim and Young Jun Chong}, title = {Interference Measurement Between 3.5 GHz 5G System And Radar}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1539--1541}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539422}, doi = {10.1109/ICTC.2018.8539422}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/HongCKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKJ18, author = {Dongjin Hong and Jinyong Kim and Jaehoon Jeong}, title = {A Congestion Contribution-based Traffic Engineering Scheme using Software-Defined Networking}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {263--267}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539614}, doi = {10.1109/ICTC.2018.8539614}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/HongKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJHLNJ18, author = {Jin{-}sup Kim and Won{-}jae Jung and Nam{-}pyo Hong and Ji{-}Hoon Lee and Kyu{-}hyun Nam and Peter Jang}, title = {A Beamforming based Wireless Energy Transmitter and Energy Harvester for IoT/M2M Applications}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1019--1023}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539471}, doi = {10.1109/ICTC.2018.8539471}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJHLNJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJLPKH18, author = {Suhri Kim and Sunghyun Jin and Yechan Lee and Byeonggyu Park and Hanbit Kim and Seokhie Hong}, title = {Single Trace Side Channel Analysis on Quantum Key Distribution}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {736--739}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539703}, doi = {10.1109/ICTC.2018.8539703}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJLPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/JungKHKY18, author = {Jinhwan Jung and Daewoo Kim and Joonki Hong and Joohyun Kang and Yung Yi}, title = {Parameterized slot scheduling for adaptive and autonomous {TSCH} networks}, booktitle = {{IEEE} {INFOCOM} 2018 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2018, Honolulu, HI, USA, April 15-19, 2018}, pages = {76--81}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INFCOMW.2018.8407031}, doi = {10.1109/INFCOMW.2018.8407031}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/JungKHKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HwangSKK18, author = {Min{-}Jae Hwang and Eunwoo Song and Jin{-}Seob Kim and Hong{-}Goo Kang}, editor = {B. Yegnanarayana}, title = {A Unified Framework for the Generation of Glottal Signals in Deep Learning-based Parametric Speech Synthesis Systems}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {912--916}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1590}, doi = {10.21437/INTERSPEECH.2018-1590}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HwangSKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/VeduradaSSKHPKN18, author = {Jyothi Vedurada and Arjun Suresh and Aravind Sukumaran{-}Rajam and Jinsung Kim and Changwan Hong and Ajay Panyala and Sriram Krishnamoorthy and V. Krishna Nandivada and Rohit Kumar Srivastava and P. Sadayappan}, title = {{TTLG} - An Efficient Tensor Transposition Library for GPUs}, booktitle = {2018 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2018, Vancouver, BC, Canada, May 21-25, 2018}, pages = {578--588}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IPDPS.2018.00067}, doi = {10.1109/IPDPS.2018.00067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/VeduradaSSKHPKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/ChoKLKPLLS18, author = {Hyeon Cho and Tae Jin Kim and Jae Hong Lee and Hang{-}Keun Kim and Jong{-}Oh Park and Jong Hee Lee and Cheong Lee and Young{-}Don Son}, title = {Simulation Study of Autonomous Drive for Active Capsule Endoscopy}, booktitle = {Second {IEEE} International Conference on Robotic Computing, {IRC} 2018, Laguna Hills, CA, USA, January 31 - February 2, 2018}, pages = {403--406}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IRC.2018.00083}, doi = {10.1109/IRC.2018.00083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irc/ChoKLKPLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LeeHSK18, author = {Min Jin Lee and Helen Hong and Kyu Won Shim and Yong Oock Kim}, title = {Quantitative analysis and automatic classification of skull deformity based on combined two- and three-dimensional shape indices}, booktitle = {15th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2018, Washington, DC, USA, April 4-7, 2018}, pages = {994--997}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISBI.2018.8363738}, doi = {10.1109/ISBI.2018.8363738}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LeeHSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiPHKG18, author = {Jun{-}Hyuk Choi and Joon Sung Park and Byong Jo Hyon and Jin{-}Hong Kim and Bon{-}Gwan Gu}, title = {Experimental Performance Evaluation of Bi-directional Converter for High Power Application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351748}, doi = {10.1109/ISCAS.2018.8351748}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiPHKG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKWSHHKCLPLC18, author = {Min{-}Woo Ko and Kiduk Kim and Young{-}Jin Woo and Se{-}Un Shin and Hyun{-}Ki Han and Yeunhee Huh and Gyeong{-}Gu Kang and Jeong{-}Hyun Cho and Sang{-}Jin Lim and Se{-}Hong Park and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A 97{\%} high-efficiency 6{\(\mu\)}s fast-recovery-time buck-based step-up/down converter with embedded 1/2 and 3/2 charge-pumps for li-lon battery management}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {428--430}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310367}, doi = {10.1109/ISSCC.2018.8310367}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KoKWSHHKCLPLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKCKCCKPS18, author = {Minseob Lee and Shinwoong Kim and Hwasuk Cho and Jahyun Koo and Kwang{-}Hee Choi and Jin{-}Hyeok Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A 0.3-to-1.2V frequency-scalable fractional-N {ADPLL} with a speculative dual-referenced interpolating {TDC}}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {122--124}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310214}, doi = {10.1109/ISSCC.2018.8310214}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKCKCCKPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinCKYJSPJJHCK18, author = {Se{-}un Shin and Minseong Choi and Seok{-}Tae Koh and Yu{-}Jin Yang and Seungchul Jung and Young{-}Hoon Sohn and Se{-}Hong Park and Yong{-}Min Ju and Youngsin Jo and Yeunhee Huh and Sung{-}Won Choi and Sang Joon Kim and Gyu{-}Hyeong Cho}, title = {A 13.56MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {154--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310230}, doi = {10.1109/ISSCC.2018.8310230}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinCKYJSPJJHCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ist/KimNHK18, author = {Hyun{-}Eui Kim and Jeho Nam and Keehoon Hong and Jinwoong Kim}, title = {Noise-filtering method for large-scale holographic 3D display}, booktitle = {2018 {IEEE} International Conference on Imaging Systems and Techniques, {IST} 2018, Krakow, Poland, October 16-18, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IST.2018.8577154}, doi = {10.1109/IST.2018.8577154}, timestamp = {Fri, 06 Mar 2020 10:45:39 +0100}, biburl = {https://dblp.org/rec/conf/ist/KimNHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeLLS18, author = {Kimin Lee and Kibok Lee and Honglak Lee and Jinwoo Shin}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {A Simple Unified Framework for Detecting Out-of-Distribution Samples and Adversarial Attacks}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {7167--7177}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/abdeb6f575ac5c6676b747bca8d09cc2-Abstract.html}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LeeLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/HongSKRKPRS18, author = {Changwan Hong and Aravind Sukumaran{-}Rajam and Jinsung Kim and Prashant Singh Rawat and Sriram Krishnamoorthy and Louis{-}No{\"{e}}l Pouchet and Fabrice Rastello and P. Sadayappan}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {{GPU} code optimization using abstract kernel emulation and sensitivity analysis}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {736--751}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192397}, doi = {10.1145/3192366.3192397}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/HongSKRKPRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/HongSKRKPRS18, author = {Changwan Hong and Aravind Sukumaran{-}Rajam and Jinsung Kim and Prashant Singh Rawat and Sriram Krishnamoorthy and Louis{-}No{\"{e}}l Pouchet and Fabrice Rastello and P. Sadayappan}, editor = {Andreas Krall and Thomas R. Gross}, title = {Performance modeling for GPUs using abstract kernel emulation}, booktitle = {Proceedings of the 23rd {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, PPoPP 2018, Vienna, Austria, February 24-28, 2018}, pages = {397--398}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178487.3178524}, doi = {10.1145/3178487.3178524}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/HongSKRKPRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/SamandariGHK18, author = {Amelia Samandari and Mengmeng Ge and Jin Bum Hong and Dong Seong Kim}, title = {Evaluating the Security of IoT Networks with Mobile Devices}, booktitle = {23rd {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2018, Taipei, Taiwan, December 4-7, 2018}, pages = {171--180}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PRDC.2018.00028}, doi = {10.1109/PRDC.2018.00028}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/SamandariGHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qrs/NhlabatsiHKFFK18, author = {Armstrong Nhlabatsi and Jin B. Hong and Dong Seong Kim and Rachael Fernandez and Noora Fetais and Khaled M. Khan}, title = {SpiralSRA: {A} Threat-Specific Security Risk Assessment Framework for the Cloud}, booktitle = {2018 {IEEE} International Conference on Software Quality, Reliability and Security, {QRS} 2018, Lisbon, Portugal, July 16-20, 2018}, pages = {367--374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/QRS.2018.00049}, doi = {10.1109/QRS.2018.00049}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qrs/NhlabatsiHKFFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChoiKLH18, author = {Jeongwoo Choi and Yongmin Kim and Jinwoo Lee and Jiman Hong}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Dynamic code whitelist for efficient analysis of Android code}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {165--166}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264812}, doi = {10.1145/3264746.3264812}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/ChoiKLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/MinJKH18, author = {Hong Min and Jinman Jung and Bongjae Kim and Junyoung Heo}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {A communication model based offloading decision for flying ad-hoc networks}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {134--135}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264810}, doi = {10.1145/3264746.3264810}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/MinJKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MinJKKH18, author = {Hong Min and Jinman Jung and Seoyeon Kim and Bongjae Kim and Junyoung Heo}, editor = {Hisham M. Haddad and Roger L. Wainwright and Richard Chbeir}, title = {Role-based automatic programming framework for interworking a drone and wireless sensor networks}, booktitle = {Proceedings of the 33rd Annual {ACM} Symposium on Applied Computing, {SAC} 2018, Pau, France, April 09-13, 2018}, pages = {1853--1856}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3167132.3167432}, doi = {10.1145/3167132.3167432}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/MinJKKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/HongPCNSGK18, author = {Nam{-}Kyu Hong and Jin{-}Woo Park and Se{-}Jin Chung and Chul{-}Ho Noh and Seung{-}Hyun Sohn and Gi{-}Hyeon Gwon and Chang{-}Hyun Kim}, title = {Analysis of Load Unbalance According to Topology of Bipolar Low Voltage {DC} Distribution System}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {747--751}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650497}, doi = {10.1109/TENCON.2018.8650497}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tencon/HongPCNSGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/NohHPCSGK18, author = {Chul{-}Ho Noh and Nam{-}Gyu Hong and Jin{-}Woo Park and Se{-}Jin Chung and Seung{-}Hyun Sohn and Gi{-}Hyeon Gwon and Chul{-}Hwan Kim}, title = {A Study on a Protection System for Low Voltage {DC} Distribution System based on Solid State Fault Current Limiter}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {404--407}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650179}, doi = {10.1109/TENCON.2018.8650179}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tencon/NohHPCSGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/YusufHK18, author = {Simon Yusuf Enoch and Jin B. Hong and Dong Seong Kim}, title = {Time Independent Security Analysis for Dynamic Networks Using Graphical Security Models}, booktitle = {17th {IEEE} International Conference On Trust, Security And Privacy In Computing And Communications / 12th {IEEE} International Conference On Big Data Science And Engineering, TrustCom/BigDataSE 2018, New York, NY, USA, August 1-3, 2018}, pages = {588--595}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TrustCom/BigDataSE.2018.00089}, doi = {10.1109/TRUSTCOM/BIGDATASE.2018.00089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/YusufHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoiHPYBSJYYAKH18, author = {Sung{-}Won Choi and Yeunhee Huh and Sang{-}Hui Park and Kye{-}Seok Yoon and Jun{-}Suk Bang and Se{-}Un Shin and Yong{-}Min Ju and Yu{-}Jin Yang and Junghyuk Yoon and Changyong Ahn and Taekseung Kim and Sung{-}Wan Hong and Gyu{-}Hyeong Cho}, title = {A Quasi-Digital Ultra-Fast Capacitor-Less Low-Dropout Regulator Based on Comparator Control for x8 Current Spike of {PCRAM} Systems}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {107--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502348}, doi = {10.1109/VLSIC.2018.8502348}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoiHPYBSJYYAKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-00900, author = {Suk{-}Jin Lee and Hongsik Choi and Sung Un Kim}, title = {Slotted {CSMA/CA} Based Energy Efficient {MAC} Protocol Design in Nanonetworks}, journal = {CoRR}, volume = {abs/1803.00900}, year = {2018}, url = {http://arxiv.org/abs/1803.00900}, eprinttype = {arXiv}, eprint = {1803.00900}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-00900.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-00722, author = {Kibok Lee and Kimin Lee and Kyle Min and Yuting Zhang and Jinwoo Shin and Honglak Lee}, title = {Hierarchical Novelty Detection for Visual Object Recognition}, journal = {CoRR}, volume = {abs/1804.00722}, year = {2018}, url = {http://arxiv.org/abs/1804.00722}, eprinttype = {arXiv}, eprint = {1804.00722}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-00722.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11360, author = {Seonhoon Kim and Jin{-}Hyuk Hong and Inho Kang and Nojun Kwak}, title = {Semantic Sentence Matching with Densely-connected Recurrent and Co-attentive Information}, journal = {CoRR}, volume = {abs/1805.11360}, year = {2018}, url = {http://arxiv.org/abs/1805.11360}, eprinttype = {arXiv}, eprint = {1805.11360}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11360.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-03888, author = {Kimin Lee and Kibok Lee and Honglak Lee and Jinwoo Shin}, title = {A Simple Unified Framework for Detecting Out-of-Distribution Samples and Adversarial Attacks}, journal = {CoRR}, volume = {abs/1807.03888}, year = {2018}, url = {http://arxiv.org/abs/1807.03888}, eprinttype = {arXiv}, eprint = {1807.03888}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-03888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01732, author = {Sergei Alyamkin and Matthew Ardi and Achille Brighton and Alexander C. Berg and Yiran Chen and Hsin{-}Pai Cheng and Bo Chen and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Jongkook Go and Alexander Goncharenko and Xuyang Guo and Hong Hanh Nguyen and Andrew Howard and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Alexander Kondratyev and Seungjae Lee and Suwoong Lee and Junhyeok Lee and Zhiyu Liang and Xin Liu and Juzheng Liu and Zichao Li and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Eunbyung Park and Denis Repin and Tao Sheng and Liang Shen and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {2018 Low-Power Image Recognition Challenge}, journal = {CoRR}, volume = {abs/1810.01732}, year = {2018}, url = {http://arxiv.org/abs/1810.01732}, eprinttype = {arXiv}, eprint = {1810.01732}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-03311, author = {Eunwoo Song and Jin{-}Seob Kim and Kyungguen Byun and Hong{-}Goo Kang}, title = {Speaker-adaptive neural vocoders for statistical parametric speech synthesis systems}, journal = {CoRR}, volume = {abs/1811.03311}, year = {2018}, url = {http://arxiv.org/abs/1811.03311}, eprinttype = {arXiv}, eprint = {1811.03311}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-03311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouKZLJJL17, author = {Yadong Zhou and Dae Wook Kim and Junjie Zhang and Lili Liu and Huan Jin and Hongbo Jin and Ting Liu}, title = {ProGuard: Detecting Malicious Accounts in Social-Network-Based Online Promotions}, journal = {{IEEE} Access}, volume = {5}, pages = {1990--1999}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2654272}, doi = {10.1109/ACCESS.2017.2654272}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhouKZLJJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ZongLAK17, author = {Nansu Zong and Sungin Lee and Jinhyun Ahn and Hong{-}Gee Kim}, title = {Supporting inter-topic entity search for biomedical Linked Data based on heterogeneous relationships}, journal = {Comput. Biol. Medicine}, volume = {87}, pages = {217--229}, year = {2017}, url = {https://doi.org/10.1016/j.compbiomed.2017.05.026}, doi = {10.1016/J.COMPBIOMED.2017.05.026}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ZongLAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/HongKJKK17, author = {Yeon{-}Ju Hong and Hesun Erin Kim and Young Hoon Jung and Sunghyon Kyeong and Jae{-}Jin Kim}, title = {Usefulness of the Mobile Virtual Reality Self-Training for Overcoming a Fear of Heights}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {20}, number = {12}, pages = {753--761}, year = {2017}, url = {https://doi.org/10.1089/cyber.2017.0085}, doi = {10.1089/CYBER.2017.0085}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/HongKJKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/NamKHCK17, author = {Won{-}Ho Nam and Taegon Kim and Eun{-}Mi Hong and Jin{-}Yong Choi and Jin{-}Taek Kim}, title = {A Wireless Sensor Network {(WSN)} application for irrigation facilities management based on Information and Communication Technologies (ICTs)}, journal = {Comput. Electron. Agric.}, volume = {143}, pages = {185--192}, year = {2017}, url = {https://doi.org/10.1016/j.compag.2017.10.007}, doi = {10.1016/J.COMPAG.2017.10.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/NamKHCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/KimHKJKK17, author = {Hesun Erin Kim and Yeon{-}Ju Hong and Min{-}Kyeong Kim and Young Hoon Jung and Sunghyon Kyeong and Jae{-}Jin Kim}, title = {Effectiveness of self-training using the mobile-based virtual reality program in patients with social anxiety disorder}, journal = {Comput. Hum. Behav.}, volume = {73}, pages = {614--619}, year = {2017}, url = {https://doi.org/10.1016/j.chb.2017.04.017}, doi = {10.1016/J.CHB.2017.04.017}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/KimHKJKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csr/HongKCH17, author = {Jin B. Hong and Dong Seong Kim and Chun{-}Jen Chung and Dijiang Huang}, title = {A survey on the usability and practical applications of Graphical Security Models}, journal = {Comput. Sci. Rev.}, volume = {26}, pages = {1--16}, year = {2017}, url = {https://doi.org/10.1016/j.cosrev.2017.09.001}, doi = {10.1016/J.COSREV.2017.09.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csr/HongKCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/BatchuluunKHKP17, author = {Ganbayar Batchuluun and Jong Hyun Kim and Hyung Gil Hong and Jin Kyu Kang and Kang Ryoung Park}, title = {Fuzzy system based human behavior recognition by combining behavior prediction and recognition}, journal = {Expert Syst. Appl.}, volume = {81}, pages = {108--133}, year = {2017}, url = {https://doi.org/10.1016/j.eswa.2017.03.052}, doi = {10.1016/J.ESWA.2017.03.052}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/BatchuluunKHKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimLKWNH17, author = {Joonki Kim and Kwonjong Lee and Jintae Kim and Hano Wang and Minsoo Na and Daesik Hong}, title = {A Novel {SCMA} System for Coexistence of Active Users and Inactive Users}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {12}, pages = {2730--2733}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2749220}, doi = {10.1109/LCOMM.2017.2749220}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KimLKWNH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/KimPK17, author = {Jin{-}Bum Kim and Rae{-}Hong Park and Hong{-}In Kim}, title = {Comprehensive analysis and evaluation to unsupervised binary hashing method in image similarity measurement}, journal = {{IET} Image Process.}, volume = {11}, number = {8}, pages = {633--639}, year = {2017}, url = {https://doi.org/10.1049/iet-ipr.2016.0935}, doi = {10.1049/IET-IPR.2016.0935}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/KimPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoci/KwonHK17, author = {Ik{-}Whan G. Kwon and Seock{-}Jin Hong and Sung{-}Ho Kim}, title = {Do Collaborative Relationships in Supply Chain Pay-Off?}, journal = {Int. J. Organ. Collect. Intell.}, volume = {7}, number = {1}, pages = {36--46}, year = {2017}, url = {https://doi.org/10.4018/IJOCI.2017010103}, doi = {10.4018/IJOCI.2017010103}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijoci/KwonHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AhnENZIK17, author = {Jinhyun Ahn and Jae{-}Hong Eom and Sejin Nam and Nansu Zong and Dong{-}Hyuk Im and Hong{-}Gee Kim}, title = {xStore: Federated temporal query processing for large scale {RDF} triples on a cloud environment}, journal = {Neurocomputing}, volume = {256}, pages = {5--12}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.03.116}, doi = {10.1016/J.NEUCOM.2016.03.116}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AhnENZIK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/KimKP17, author = {Hong{-}In Kim and Jin{-}Bum Kim and Rae{-}Hong Park}, title = {Efficient and Fast Iris Localization Using Binary Radial Gradient Features for Human-Computer Interaction}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {31}, number = {11}, pages = {1756015:1--1756015:19}, year = {2017}, url = {https://doi.org/10.1142/S0218001417560158}, doi = {10.1142/S0218001417560158}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/KimKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimKCCH17, author = {Soo Kyun Kim and Shin{-}Jin Kang and Yoo{-}Joo Choi and Min{-}Hyung Choi and Min Hong}, title = {Augmented-Reality Survey: from Concept to Application}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {2}, pages = {982--1004}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.02.019}, doi = {10.3837/TIIS.2017.02.019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/KimKCCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/ParkKKKHWYYHSPK17, author = {Jeonghong Park and Minju Kang and Taeyun Kim and Sungchur Kwon and Jungwook Han and Jeonghyeon Wang and Sukmin Yoon and Byunghyun Yoo and Seonghun Hong and Yeonjoo Shim and Jisung Park and Jinwhan Kim}, title = {Development of an Unmanned Surface Vehicle System for the 2014 Maritime RobotX Challenge}, journal = {J. Field Robotics}, volume = {34}, number = {4}, pages = {644--665}, year = {2017}, url = {https://doi.org/10.1002/rob.21659}, doi = {10.1002/ROB.21659}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/ParkKKKHWYYHSPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/GeHGK17, author = {Mengmeng Ge and Jin B. Hong and Walter Guttmann and Dong Seong Kim}, title = {A framework for automating security analysis of the internet of things}, journal = {J. Netw. Comput. Appl.}, volume = {83}, pages = {12--27}, year = {2017}, url = {https://doi.org/10.1016/j.jnca.2017.01.033}, doi = {10.1016/J.JNCA.2017.01.033}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/GeHGK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HanLKPLYHLJJ17, author = {Seung Uk Han and Youngyoun Lee and Yongdoo Kim and Jemin Park and Junhee Lim and Satoru Yamada and Hyeongsun Hong and Kyupil Lee and Gyoyoung Jin and Eunseung Jung}, title = {The improvement of {HEIP} immunity using {STI} engineering at {DRAM}}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {164--167}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.07.039}, doi = {10.1016/J.MICROREL.2017.07.039}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HanLKPLYHLJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/HuaTPCDR17, author = {Jingyu Hua and An Tang and Qingyun Pan and Kim{-}Kwang Raymond Choo and Hong Ding and Yizhi Ren}, title = {Practical \emph{m}-\emph{k}-Anonymization for Collaborative Data Publishing without Trusted Third Party}, journal = {Secur. Commun. Networks}, volume = {2017}, pages = {9532163:1--9532163:10}, year = {2017}, url = {https://doi.org/10.1155/2017/9532163}, doi = {10.1155/2017/9532163}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/HuaTPCDR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanKLKKHCH17, author = {Kook In Han and Seungdu Kim and In Gyu Lee and Jong Pil Kim and Jung{-}Ha Kim and Suck Won Hong and Byung Jin Cho and Wan Sik Hwang}, title = {Compliment Graphene Oxide Coating on Silk Fiber Surface via Electrostatic Force for Capacitive Humidity Sensor Applications}, journal = {Sensors}, volume = {17}, number = {2}, pages = {407}, year = {2017}, url = {https://doi.org/10.3390/s17020407}, doi = {10.3390/S17020407}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanKLKKHCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimMJ17, author = {Taesik Kim and Hong Min and Jinman Jung}, title = {A Mobility-Aware Adaptive Duty Cycling Mechanism for Tracking Objects during Tunnel Excavation}, journal = {Sensors}, volume = {17}, number = {3}, pages = {435}, year = {2017}, url = {https://doi.org/10.3390/s17030435}, doi = {10.3390/S17030435}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimMJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/PiaoLHK17, author = {Jin{-}Chun Piao and Jue{-}Min Lu and Chung{-}Pyo Hong and Shin{-}Dug Kim}, title = {Lightweight particle-based real-time fluid simulation for mobile environment}, journal = {Simul. Model. Pract. Theory}, volume = {77}, pages = {32--48}, year = {2017}, url = {https://doi.org/10.1016/j.simpat.2017.05.003}, doi = {10.1016/J.SIMPAT.2017.05.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/PiaoLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/LiuYXCHC17, author = {Jin Liu and Xiao Yu and Zheng Xu and Kim{-}Kwang Raymond Choo and Liang Hong and Xiaohui Cui}, title = {A cloud-based taxi trace mining framework for smart city}, journal = {Softw. Pract. Exp.}, volume = {47}, number = {8}, pages = {1081--1094}, year = {2017}, url = {https://doi.org/10.1002/spe.2435}, doi = {10.1002/SPE.2435}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/LiuYXCHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/HongNCCK17, author = {Yu{-}Jin Hong and Gi Pyo Nam and Heeseung Choi and Junghyun Cho and Ig{-}Jae Kim}, title = {A Novel Framework for Assessing Facial Attractiveness Based on Facial Proportions}, journal = {Symmetry}, volume = {9}, number = {12}, pages = {294}, year = {2017}, url = {https://doi.org/10.3390/sym9120294}, doi = {10.3390/SYM9120294}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/HongNCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangKSHR17, author = {Dong{-}Jin Chang and Wan Kim and Min{-}Jae Seo and Hyeok{-}Ki Hong and Seung{-}Tak Ryu}, title = {Normalized-Full-Scale-Referencing Digital-Domain Linearity Calibration for {SAR} {ADC}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {2}, pages = {322--332}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2016.2612692}, doi = {10.1109/TCSI.2016.2612692}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChangKSHR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiSLKKPS17, author = {Seungnam Choi and Yunjae Suh and Joohyun Lee and Jinkyu Kim and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A Self-Biased Current-Mode Amplifier With an Application to 10-bit Pipeline {ADC}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {7}, pages = {1706--1717}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2676105}, doi = {10.1109/TCSI.2017.2676105}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiSLKKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimRLOP17, author = {Kyeong Jin Kim and Marco Di Renzo and Hongwu Liu and Philip V. Orlik and H. Vincent Poor}, title = {Performance Analysis of Distributed Single Carrier Systems With Distributed Cyclic Delay Diversity}, journal = {{IEEE} Trans. Commun.}, volume = {65}, number = {12}, pages = {5514--5528}, year = {2017}, url = {https://doi.org/10.1109/TCOMM.2017.2742511}, doi = {10.1109/TCOMM.2017.2742511}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimRLOP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/AhnILK17, author = {Jinhyun Ahn and Dong{-}Hyuk Im and Taewhi Lee and Hong{-}Gee Kim}, title = {A dynamic and parallel approach for repetitive prime labeling of {XML} with MapReduce}, journal = {J. Supercomput.}, volume = {73}, number = {2}, pages = {810--836}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1803-y}, doi = {10.1007/S11227-016-1803-Y}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/AhnILK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LiuKTKP17, author = {Hongwu Liu and Kyeong Jin Kim and Theodoros A. Tsiftsis and Kyung Sup Kwak and H. Vincent Poor}, title = {Secrecy Performance of Finite-Sized Cooperative Full-Duplex Relay Systems With Unreliable Backhauls}, journal = {{IEEE} Trans. Signal Process.}, volume = {65}, number = {23}, pages = {6185--6200}, year = {2017}, url = {https://doi.org/10.1109/TSP.2017.2745463}, doi = {10.1109/TSP.2017.2745463}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/LiuKTKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LiuKKP17, author = {Hongwu Liu and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {QoS-Constrained Relay Control for Full-Duplex Relaying With {SWIPT}}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {16}, number = {5}, pages = {2936--2949}, year = {2017}, url = {https://doi.org/10.1109/TWC.2017.2672551}, doi = {10.1109/TWC.2017.2672551}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LiuKKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/HongHNLLLK17, author = {Yeong Taek Hong and Young{-}Sup Hwang and Sang Hyuk Nam and Dan Hee Lee and Seong Jin Lee and Jae Hwan Lee and Sung Jo Kim}, title = {A Seamless Screen Switching Scheme for N-Screen Services Based on Context Awareness}, journal = {Wirel. Pers. Commun.}, volume = {93}, number = {2}, pages = {553--570}, year = {2017}, url = {https://doi.org/10.1007/s11277-016-3204-1}, doi = {10.1007/S11277-016-3204-1}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/HongHNLLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/HongSKS17, author = {Changwan Hong and Aravind Sukumaran{-}Rajam and Jinsung Kim and P. Sadayappan}, title = {MultiGraph: Efficient Graph Processing on GPUs}, booktitle = {26th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017}, pages = {27--40}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACT.2017.48}, doi = {10.1109/PACT.2017.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/HongSKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ParkSHK17, author = {Se Jin Park and Murali Subramaniyam and Seunghee Hong and Damee Kim}, editor = {Vincent G. Duffy and Nancy J. Lightner}, title = {Service Based Healthcare Monitoring System for the Elderly - Physical Activity and Exercise}, booktitle = {Advances in Human Factors and Ergonomics in Healthcare and Medical Devices - Proceedings of the {AHFE} 2017 International Conferences on Human Factors and Ergonomics in Healthcare and Medical Devices, July 17-21, 2017, The Westin Bonaventure Hotel, Los Angeles, California, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {590}, pages = {337--342}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-60483-1\_34}, doi = {10.1007/978-3-319-60483-1\_34}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ParkSHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KoCHKKY17, author = {ByungSoo Ko and Ho{-}Jin Choi and Chansol Hong and Jong{-}Hwan Kim and Oh Chul Kwon and Chang D. Yoo}, title = {Neural network-based autonomous navigation for a homecare mobile robot}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {403--406}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881744}, doi = {10.1109/BIGCOMP.2017.7881744}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KoCHKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/HongKP17, author = {Youngsuk Hong and Jinkyu Kim and Frank C. Park}, title = {Comparative analysis of energy-based criteria for dynamics-based robot motion optimization}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2017, Mauna Lani Resort, HI, USA, August 27-30, 2017}, pages = {175--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCTA.2017.8062459}, doi = {10.1109/CCTA.2017.8062459}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccta/HongKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/JinKYSHLY17, author = {Boram Jin and Daewoo Kim and Se{-}Young Yun and Jinwoo Shin and Seongik Hong and Byoung{-}Joon Lee and Yung Yi}, title = {On the Delay Scaling Laws of Cache Networks}, booktitle = {Proceedings of the 12th International Conference on Future Internet Technologies, {CFI} 2017, Fukuoka, Japan, June 14 - 16, 2017}, pages = {3:1--3:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3095786.3095789}, doi = {10.1145/3095786.3095789}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/JinKYSHLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HongKK17, author = {Seongwoo Hong and Suk{-}Won Kim and Young{-}Jin Kim}, title = {3 Channel Dependency-Based Power Model for Mobile {AMOLED} Displays}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062181}, doi = {10.1145/3061639.3062181}, timestamp = {Tue, 26 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HongKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HongK17, author = {Jin Bum Hong and Dong Seong Kim}, title = {Discovering and Mitigating New Attack Paths Using Graphical Security Models}, booktitle = {47th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks Workshops, {DSN} Workshops 2017, Denver, CO, USA, June 26-29, 2017}, pages = {45--52}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DSN-W.2017.18}, doi = {10.1109/DSN-W.2017.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/HongK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeHSJNKK17, author = {Ju Hyung Lee and Hyun Ki Hong and Byeong{-}Wook Song and Yu Jin Jung and Young Cheol Na and Nam Hyun Kim and Bong{-}Soo Kim}, title = {Preliminary study on Low Intensity Focused Ultrasound system For neuromodulation}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {4545--4548}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037867}, doi = {10.1109/EMBC.2017.8037867}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeHSJNKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eos/LeeHJHKKK17, author = {Jun Ho Lee and Sunglyoung Hwang and Dohwan Jeong and Jinsuk Hong and Youngsoo Kim and Yeonsoo Kim and Hyunsook Kim}, editor = {James J. Butler and Xiaoxiong (Jack) Xiong and Xingfa Gu}, title = {Simple alignment procedure for a {VNIR} imaging spectrometer with a Shack-Hartmann wavefront sensor and a field identifier}, booktitle = {Earth Observing Systems XXII, {SPIE} Optical Engineering + Applications, San Diego, California, USA, 6-10 August 2017}, series = {{SPIE} Proceedings}, volume = {10402}, pages = {104020H}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2275404}, doi = {10.1117/12.2275404}, timestamp = {Thu, 19 May 2022 21:17:47 +0200}, biburl = {https://dblp.org/rec/conf/eos/LeeHJHKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkSHK17, author = {Se Jin Park and Murali Subramaniyam and Seunghee Hong and Damee Kim}, editor = {Constantine Stephanidis}, title = {Framework of Health Monitoring Service for the Elderly Drivers Community}, booktitle = {{HCI} International 2017 - Posters' Extended Abstracts - 19th International Conference, {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {714}, pages = {275--279}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58753-0\_41}, doi = {10.1007/978-3-319-58753-0\_41}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ParkSHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/JeongCKSHJK17, author = {Se{-}Yeon Jeong and I{-}Ju Choi and Yeong{-}Jin Kim and Yong{-}Min Shin and Jeong{-}Hun Han and Goo{-}Hong Jung and Kyoung{-}Gon Kim}, editor = {Bilge Mutlu and Manfred Tscheligi and Astrid Weiss and James E. Young}, title = {A Study on {ROS} Vulnerabilities and Countermeasure}, booktitle = {Companion of the 2017 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2017, Vienna, Austria, March 6-9, 2017}, pages = {147--148}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3029798.3038437}, doi = {10.1145/3029798.3038437}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/JeongCKSHJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hscma/LeeKSK17, author = {Jinkyu Lee and Keulbit Kim and Turaj Shabestary and Hong{-}Goo Kang}, title = {Deep bi-directional long short-term memory based speech enhancement for wind noise reduction}, booktitle = {Hands-free Speech Communications and Microphone Arrays, {HSCMA} 2017, San Francisco, CA, USA, March 1-3, 2017}, pages = {41--45}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HSCMA.2017.7895558}, doi = {10.1109/HSCMA.2017.7895558}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hscma/LeeKSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3d/HongLKPK17, author = {Keehoon Hong and Yongjun Lim and Hayan Kim and Minsik Park and Jinwoong Kim}, title = {Table-top electronic holographic display satisfying stereopsis along 360 degree}, booktitle = {International Conference on 3D Immersion, {IC3D} 2017, Brussels, Belgium, December 11-12, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IC3D.2017.8251898}, doi = {10.1109/IC3D.2017.8251898}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3d/HongLKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimKPS17, author = {Wonjun Kim and Jinhong Kim and Daeyoung Park and Byonghyo Shim}, title = {Multiple subspace matching pursuit for spectrum sensing}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {3594--3598}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7952826}, doi = {10.1109/ICASSP.2017.7952826}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KimKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YooBLKCS17, author = {Jin Hyeok Yoo and Jisu Bae and Sun Hong Lim and Sunwoo Kim and Jun Won Choi and Byonghyo Shim}, title = {Sampling-based tracking of time-varying channels for millimeter wave-band communications}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996518}, doi = {10.1109/ICC.2017.7996518}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/YooBLKCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanLMFPZVHL17, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tomas Vojir and Gustav H{\"{a}}ger and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andrej Muhic and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Antoine Manzanera and Antoine Tran and A. Aydin Alatan and Bogdan Mocanu and Boyu Chen and Chang Huang and Changsheng Xu and Chong Sun and Dalong Du and David Zhang and Dawei Du and Deepak Mishra and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Francesco Battistone and Gorthi R. K. Sai Subrahmanyam and Goutam Bhat and Guan Huang and Guilherme Sousa Bastos and Guna Seetharaman and Hongliang Zhang and Houqiang Li and Huchuan Lu and Isabela Drummond and Jack Valmadre and Jae{-}chan Jeong and Jaeil Cho and Jae{-}Yeong Lee and Jana Noskova and Jianke Zhu and Jin Gao and Jingyu Liu and Ji{-}Wan Kim and Jo{\~{a}}o F. Henriques and Jos{\'{e}} M. Mart{\'{\i}}nez and Junfei Zhuang and Junliang Xing and Junyu Gao and Kai Chen and Kannappan Palaniappan and Karel Lebeda and Ke Gao and Kris M. Kitani and Lei Zhang and Lijun Wang and Lingxiao Yang and Longyin Wen and Luca Bertinetto and Mahdieh Poostchi and Martin Danelljan and Matthias Mueller and Mengdan Zhang and Ming{-}Hsuan Yang and Nianhao Xie and Ning Wang and Ondrej Miksik and Payman Moallem and Pallavi M. Venugopal and Pedro Senna and Philip H. S. Torr and Qiang Wang and Qifeng Yu and Qingming Huang and Rafael Martin Nieto and Richard Bowden and Risheng Liu and Ruxandra Tapu and Simon Hadfield and Siwei Lyu and Stuart Golodetz and Sunglok Choi and Tianzhu Zhang and Titus B. Zaharia and Vincenzo Santopietro and Wei Zou and Weiming Hu and Wenbing Tao and Wenbo Li and Wengang Zhou and Xianguo Yu and Xiao Bian and Yang Li and Yifan Xing and Yingruo Fan and Zheng Zhu and Zhipeng Zhang and Zhiqun He}, title = {The Visual Object Tracking {VOT2017} Challenge Results}, booktitle = {2017 {IEEE} International Conference on Computer Vision Workshops, {ICCV} Workshops 2017, Venice, Italy, October 22-29, 2017}, pages = {1949--1972}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCVW.2017.230}, doi = {10.1109/ICCVW.2017.230}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/KristanLMFPZVHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKHJ17, author = {Dongjin Hong and Jinyong Kim and Daeyoung Hyun and Jaehoon Paul Jeong}, title = {A monitoring-based load balancing scheme for network security functions}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {668--672}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8191063}, doi = {10.1109/ICTC.2017.8191063}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongKHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongLKKKBS17, author = {Ju Yeon Hong and Wangjoo Lee and Bong{-}Su Kim and Min{-}Soo Kang and Jung{-}Bin Kim and Woo{-}Jin Byun and Myung Sun Song}, title = {Use of tractroid factor in deformed parabolic reflector antenna which transfers orbital angular momentum modes}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1229--1231}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190906}, doi = {10.1109/ICTC.2017.8190906}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongLKKKBS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongNLKJ17, author = {Yoon{-}Kee Hong and Hong{-}Soon Nam and Seok{-}Jin Lee and Taehyung Kim and Youn{-}Kwae Jeong}, title = {Hierarchy architecture security design for energy cloud}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1187--1189}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190893}, doi = {10.1109/ICTC.2017.8190893}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongNLKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HyunKHJ17, author = {Daeyoung Hyun and Jinyoug Kim and Dongjin Hong and Jaehoon Paul Jeong}, title = {SDN-based network security functions for effective DDoS attack mitigation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {834--839}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190794}, doi = {10.1109/ICTC.2017.8190794}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HyunKHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/NamLKHJ17, author = {Hong{-}Soon Nam and Seok{-}Jin Lee and Tae{-}Hyung Kim and Yoon{-}Kee Hong and Youn{-}Kwae Jeong}, title = {Optimization mechanism of energy cluster for zero energy town}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1121--1123}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190873}, doi = {10.1109/ICTC.2017.8190873}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/NamLKHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimCHJP17, author = {Youngjun Kim and Hyungoo Choi and Kiwon Hong and Minkyu Joo and Jinwoo Park}, title = {Fast handoff by multi-beacon listening in {IEEE} 802.11 {WLAN} networks}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {806--808}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993909}, doi = {10.1109/ICUFN.2017.7993909}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimCHJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeKLM17, author = {Youngjae Lee and Jinhong Kim and Haemin Lee and Kiyoung Moon}, title = {IoT-based data transmitting system using a {UWB} and {RFID} system in smart warehouse}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {545--547}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993846}, doi = {10.1109/ICUFN.2017.7993846}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/LeeKLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispec/AlavizadehKHJ17, author = {Hooman Alavizadeh and Dong Seong Kim and Jin B. Hong and Julian Jang{-}Jaccard}, editor = {Joseph K. Liu and Pierangela Samarati}, title = {Effective Security Analysis for Combinations of {MTD} Techniques on Cloud Computing (Short Paper)}, booktitle = {Information Security Practice and Experience - 13th International Conference, {ISPEC} 2017, Melbourne, VIC, Australia, December 13-15, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10701}, pages = {539--548}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-72359-4\_32}, doi = {10.1007/978-3-319-72359-4\_32}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispec/AlavizadehKHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AnHKYKHYJLJBHK17, author = {Jae{-}Sung An and Sang{-}Hyun Han and Ju Eon Kim and Dong{-}Hyun Yoon and Young{-}Hwan Kim and Han{-}Hee Hong and Jae{-}Hun Ye and Sung{-}Jin Jung and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Kwang{-}Hyun Baek and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {9.6 {A} 3.9kHz-frame-rate capacitive touch system with pressure/tilt angle expressions of active stylus using multiple-frequency driving method for 65{\({''}\)} 104{\texttimes}64 touch screen panel}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {168--169}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870314}, doi = {10.1109/ISSCC.2017.7870314}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AnHKYKHYJLJBHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoSCCKPS17, author = {Hwasuk Cho and Kihwan Seong and Kwang{-}Hee Choi and Jin{-}Hyeok Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {8.7 {A} 0.0047mm\({}^{\mbox{2}}\) highly synthesizable {TDC-} and DCO-less fractional-N {PLL} with a seamless lock range of fREF to 1GHz}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {154--155}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870307}, doi = {10.1109/ISSCC.2017.7870307}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoSCCKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YiCHBCJKSP17, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {23.7 {A} time-based receiver with 2-tap {DFE} for a 12Gb/s/pin single-ended transceiver of mobile {DRAM} interface in 0.8V 65nm {CMOS}}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {400--401}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870430}, doi = {10.1109/ISSCC.2017.7870430}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YiCHBCJKSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsda/ParkKS17, author = {Jinsoo Park and Inseon Kim and Hong{-}Yeop Song}, title = {Interpretation of polar codes with Plotkin construction based on Gaussian approximation}, booktitle = {Eighth International Workshop on Signal Design and Its Applications in Communications, {IWSDA} 2017, Sapporo, Japan, September 24-28, 2017}, pages = {196--198}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWSDA.2017.8097085}, doi = {10.1109/IWSDA.2017.8097085}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/iwsda/ParkKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeHSK17, author = {Min Jin Lee and Helen Hong and Kyu Won Shim and Yong Oock Kim}, editor = {Samuel G. Armato III and Nicholas A. Petrick}, title = {Computer-assisted quantification of the skull deformity for craniosynostosis from 3D head {CT} images using morphological descriptor and hierarchical classification}, booktitle = {Medical Imaging 2017: Computer-Aided Diagnosis, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10134}, pages = {101343F}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254448}, doi = {10.1117/12.2254448}, timestamp = {Sun, 21 Jan 2018 18:54:42 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeeHSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mspn/KimJCJ17, author = {Hong Jin Kim and Moon Yong Jung and Won Sang Chin and Ju Wook Jang}, editor = {Samia Bouzefrane and Soumya Banerjee and Fran{\c{c}}oise Sailhan and Selma Boumerdassi and Eric Renault}, title = {Identifying Service Contexts for QoS Support in IoT Service Oriented Software Defined Networks}, booktitle = {Mobile, Secure, and Programmable Networking - Third International Conference, {MSPN} 2017, Paris, France, June 29-30, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10566}, pages = {99--108}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-67807-8\_8}, doi = {10.1007/978-3-319-67807-8\_8}, timestamp = {Mon, 26 Apr 2021 09:27:03 +0200}, biburl = {https://dblp.org/rec/conf/mspn/KimJCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JungPKHH17, author = {Jinman Jung and Jisu Park and Seoyeon Kim and Mhanwoo Heo and Jiman Hong}, title = {A Virtual {CPU} Scheduling Model for {I/O} Performance in Paravirtualized Environments}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2017, Krakow, Poland, September 20-23, 2017}, pages = {281--286}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3129676.3131703}, doi = {10.1145/3129676.3131703}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/JungPKHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimJMHJ17, author = {Bongjae Kim and Jinmang Jung and Hong Min and Junyoung Heo and Hyedong Jung}, title = {Performance Evaluations of Multiple GPUs based on {MPI} Environments}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2017, Krakow, Poland, September 20-23, 2017}, pages = {303--304}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3129676.3129716}, doi = {10.1145/3129676.3129716}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/KimJMHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KangKJKMH17, author = {Donghwa Kang and Seoyeon Kim and Jinman Jung and Bongjae Kim and Hong Min and Junyoung Heo}, editor = {Ahmed Seffah and Birgit Penzenstadler and Carina Alves and Xin Peng}, title = {Genetic algorithm based patching scheme for worm containment on social network}, booktitle = {Proceedings of the Symposium on Applied Computing, {SAC} 2017, Marrakech, Morocco, April 3-7, 2017}, pages = {1826--1828}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3019612.3019912}, doi = {10.1145/3019612.3019912}, timestamp = {Wed, 01 Feb 2023 17:58:48 +0100}, biburl = {https://dblp.org/rec/conf/sac/KangKJKMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KangKLJH17, author = {Kiwook Kang and Kyoungmin Kim and Jinwoo Lee and Joonhyouk Jang and Jiman Hong}, editor = {Ahmed Seffah and Birgit Penzenstadler and Carina Alves and Xin Peng}, title = {Security software module update for heterogeneous VoT}, booktitle = {Proceedings of the Symposium on Applied Computing, {SAC} 2017, Marrakech, Morocco, April 3-7, 2017}, pages = {510--511}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3019612.3026743}, doi = {10.1145/3019612.3026743}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/KangKLJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeLHK17, author = {Yujin Lee and Yeon{-}Chang Lee and Jiwon Hong and Sang{-}Wook Kim}, title = {Exploiting job transition patterns for effective job recommendation}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {2414--2419}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122984}, doi = {10.1109/SMC.2017.8122984}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/Hong0LK17, author = {Jin Bum Hong and Seunghyun Yoon and Hyuk Lim and Dong Seong Kim}, title = {Optimal Network Reconfiguration for Software Defined Networks Using Shuffle-Based Online {MTD}}, booktitle = {36th {IEEE} Symposium on Reliable Distributed Systems, {SRDS} 2017, Hong Kong, Hong Kong, September 26-29, 2017}, pages = {234--243}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SRDS.2017.32}, doi = {10.1109/SRDS.2017.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/Hong0LK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/GeHAK17, author = {Mengmeng Ge and Jin B. Hong and Hani Alzaid and Dong Seong Kim}, title = {Security Modeling and Analysis of Cross-Protocol IoT Devices}, booktitle = {2017 {IEEE} Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017}, pages = {1043--1048}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/Trustcom/BigDataSE/ICESS.2017.350}, doi = {10.1109/TRUSTCOM/BIGDATASE/ICESS.2017.350}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/GeHAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/YusufGHAK17, author = {Simon Yusuf Enoch and Mengmeng Ge and Jin B. Hong and Hani Alzaid and Dong Seong Kim}, title = {Evaluating the Effectiveness of Security Metrics for Dynamic Networks}, booktitle = {2017 {IEEE} Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017}, pages = {277--284}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/Trustcom/BigDataSE/ICESS.2017.248}, doi = {10.1109/TRUSTCOM/BIGDATASE/ICESS.2017.248}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/YusufGHAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKLKC17, author = {Sunkey Lee and Jin{-}young Kim and Sungmin Lee and Sangwon Kim and Hongsoo Choi}, title = {Characterization of a mm-scale swimming microrobot for 3D manipulation}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {622--623}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992686}, doi = {10.1109/URAI.2017.7992686}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeeKLKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChungKJKYCH17, author = {Wonsuk Chung and Beomju Kim and Insik Jung and Jintae Kim and Hyunkyu Yu and Sooyong Choi and Daesik Hong}, title = {Synchronization Method for {FBMC} Systems}, booktitle = {86th {IEEE} Vehicular Technology Conference, {VTC} Fall 2017, Toronto, ON, Canada, September 24-27, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCFall.2017.8287939}, doi = {10.1109/VTCFALL.2017.8287939}, timestamp = {Mon, 20 Dec 2021 11:29:16 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChungKJKYCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webist/SongKJW17, author = {Jinwoo Song and Hyunjune Kim and Ming Jin and Honguk Woo}, editor = {Tim A. Majchrzak and Paolo Traverso and Karl{-}Heinz Krempels and Val{\'{e}}rie Monfort}, title = {A Revisit to Web Browsing on Wearable Devices}, booktitle = {Proceedings of the 13th International Conference on Web Information Systems and Technologies, {WEBIST} 2017, Porto, Portugal, April 25-27, 2017}, pages = {212--224}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006232102120224}, doi = {10.5220/0006232102120224}, timestamp = {Wed, 14 Jun 2017 09:36:50 +0200}, biburl = {https://dblp.org/rec/conf/webist/SongKJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-02081, author = {Ha Tran Hong Phan and Ashnil Kumar and David Feng and Michael J. Fulham and Jinman Kim}, title = {An unsupervised long short-term memory neural network for event detection in cell videos}, journal = {CoRR}, volume = {abs/1709.02081}, year = {2017}, url = {http://arxiv.org/abs/1709.02081}, eprinttype = {arXiv}, eprint = {1709.02081}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-02081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-09325, author = {Kimin Lee and Honglak Lee and Kibok Lee and Jinwoo Shin}, title = {Training Confidence-calibrated Classifiers for Detecting Out-of-Distribution Samples}, journal = {CoRR}, volume = {abs/1711.09325}, year = {2017}, url = {http://arxiv.org/abs/1711.09325}, eprinttype = {arXiv}, eprint = {1711.09325}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-09325.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-09721, author = {Seung Gwan Hong and Yu Min Hwang and Sun Yui Lee and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Analysis of the Game-Theoretic Modeling of Backscatter Wireless Sensor Networks under Smart Interference}, journal = {CoRR}, volume = {abs/1712.09721}, year = {2017}, url = {http://arxiv.org/abs/1712.09721}, eprinttype = {arXiv}, eprint = {1712.09721}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-09721.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimKS17, author = {Sungwook Kim and Jinsu Kim and Jae Hong Seo}, title = {A New Approach for Practical Function-Private Inner Product Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {4}, year = {2017}, url = {http://eprint.iacr.org/2017/004}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KimKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/0002KPY16, author = {Seonghun Hong and Jinwhan Kim and Juhyun Pyo and Son{-}cheol Yu}, title = {A robust loop-closure method for visual {SLAM} in unstructured seafloor environments}, journal = {Auton. Robots}, volume = {40}, number = {6}, pages = {1095--1109}, year = {2016}, url = {https://doi.org/10.1007/s10514-015-9512-6}, doi = {10.1007/S10514-015-9512-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/0002KPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimKBSS16, author = {Hongkeun Kim and Seongjun Kim and Juhoon Back and Hyungbo Shim and Jin Heon Seo}, title = {Design of stable parallel feedforward compensator and its application to synchronization problem}, journal = {Autom.}, volume = {64}, pages = {208--216}, year = {2016}, url = {https://doi.org/10.1016/j.automatica.2015.11.020}, doi = {10.1016/J.AUTOMATICA.2015.11.020}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimKBSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/SodsongHCLKB16, author = {Wasuwee Sodsong and Jingun Hong and Seongwook Chung and Yeong{-}Kyu Lim and Shin{-}Dug Kim and Bernd Burgstaller}, title = {Dynamic partitioning-based {JPEG} decompression on heterogeneous multicore architectures}, journal = {Concurr. Comput. Pract. Exp.}, volume = {28}, number = {2}, pages = {517--536}, year = {2016}, url = {https://doi.org/10.1002/cpe.3620}, doi = {10.1002/CPE.3620}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/SodsongHCLKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/JinHK16, author = {Tae Hwan Jin and Hong Gul Han and Tae Wook Kim}, title = {A 0.7-dB NF, +8.2-dBm {IIP3} {CMOS} low noise amplifier using frequency selective feedback}, journal = {Int. J. Circuit Theory Appl.}, volume = {44}, number = {1}, pages = {21--37}, year = {2016}, url = {https://doi.org/10.1002/cta.2059}, doi = {10.1002/CTA.2059}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/JinHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtm/KimKK16, author = {Jin{-}Han Kim and Sung{-}Hong Kim and Kilsun Kim}, title = {The role of learning capability in market-oriented firms in the context of open innovation-based technology acquisition: empirical evidence from the Korean manufacturing sector}, journal = {Int. J. Technol. Manag.}, volume = {70}, number = {2/3}, pages = {135--156}, year = {2016}, url = {https://doi.org/10.1504/IJTM.2016.075155}, doi = {10.1504/IJTM.2016.075155}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtm/KimKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ElibolSHKGG16, author = {Armagan Elibol and Hyunjung Shim and Seonghun Hong and Jinwhan Kim and Nuno Gracias and Rafael Garc{\'{\i}}a}, title = {Online underwater optical mapping for trajectories with gaps}, journal = {Intell. Serv. Robotics}, volume = {9}, number = {3}, pages = {217--229}, year = {2016}, url = {https://doi.org/10.1007/s11370-016-0195-4}, doi = {10.1007/S11370-016-0195-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/ElibolSHKGG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/HongK16, author = {Jin B. Hong and Dong Seong Kim}, title = {Towards scalable security analysis using multi-layered security models}, journal = {J. Netw. Comput. Appl.}, volume = {75}, pages = {156--168}, year = {2016}, url = {https://doi.org/10.1016/j.jnca.2016.08.024}, doi = {10.1016/J.JNCA.2016.08.024}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/HongK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimHRKHJCSR16, author = {Wan Kim and Hyeok{-}Ki Hong and Yi{-}Ju Roh and Hyun{-}Wook Kang and Sun{-}Il Hwang and Dong{-}Shin Jo and Dong{-}Jin Chang and Min{-}Jae Seo and Seung{-}Tak Ryu}, title = {A 0.6 {V} 12 b 10 MS/s Low-Noise Asynchronous SAR-Assisted Time-Interleaved {SAR} {(SATI-SAR)} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {8}, pages = {1826--1839}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2563780}, doi = {10.1109/JSSC.2016.2563780}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimHRKHJCSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ParkJOKHJR16, author = {Segeun Park and Hyuckchai Jung and Jeonghoon Oh and Ilgweon Kim and Hyoungsun Hong and Gyoyoung Jin and Yonghan Roh}, title = {Off-state degradation with ac bias in {PMOSFET}}, journal = {Microelectron. Reliab.}, volume = {65}, pages = {16--19}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.08.007}, doi = {10.1016/J.MICROREL.2016.08.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ParkJOKHJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/PiaoJHK16, author = {Jin{-}Chun Piao and Hyeon{-}Sub Jung and Chung{-}Pyo Hong and Shin{-}Dug Kim}, title = {Improving performance on object recognition for real-time on mobile devices}, journal = {Multim. Tools Appl.}, volume = {75}, number = {16}, pages = {9623--9640}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2999-1}, doi = {10.1007/S11042-015-2999-1}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/PiaoJHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KimK16, author = {Jin{-}Hong Kim and Jiwoo Kim}, title = {Reliability Estimation of Blu-Ray Recordable Media with Effect of Initial Recording Performance}, journal = {Qual. Reliab. Eng. Int.}, volume = {32}, number = {1}, pages = {133--137}, year = {2016}, url = {https://doi.org/10.1002/qre.1733}, doi = {10.1002/QRE.1733}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/KimK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChaHLPK16, author = {Young{-}Su Cha and Jin Hong and Jaemin Lee and Jung{-}Min Park and Keehoon Kim}, title = {Flexible Piezoelectric Energy Harvesting from Mouse Click Motions}, journal = {Sensors}, volume = {16}, number = {7}, pages = {1045}, year = {2016}, url = {https://doi.org/10.3390/s16071045}, doi = {10.3390/S16071045}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChaHLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKHKHLLKKHC16, author = {Dong Seok Kim and Yong Tae Kim and Seok Bok Hong and Jinwoon Kim and Nam Su Heo and Moon{-}Keun Lee and Seok Jae Lee and Byeong{-}Il Kim and In Soo Kim and Yun Suk Huh and Bong Gill Choi}, title = {Development of Lateral Flow Assay Based on Size-Controlled Gold Nanoparticles for Detection of Hepatitis {B} Surface Antigen}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2154}, year = {2016}, url = {https://doi.org/10.3390/s16122154}, doi = {10.3390/S16122154}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKHKHLLKKHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamJKHH16, author = {Ki{-}Tae Nam and Dae{-}Jin Jang and Yong Chol Kim and Yoon Heo and Eung{-}Pyo Hong}, title = {A Study of a Handrim-Activated Power-Assist Wheelchair Based on a Non-Contact Torque Sensor}, journal = {Sensors}, volume = {16}, number = {8}, pages = {1251}, year = {2016}, url = {https://doi.org/10.3390/s16081251}, doi = {10.3390/S16081251}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamJKHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sivp/KimKLLP16, author = {Hong{-}In Kim and Jin{-}Bum Kim and J.{-}E. Lee and T.{-}Y. Lee and Rae{-}Hong Park}, title = {Gaze estimation using a webcam for region of interest detection}, journal = {Signal Image Video Process.}, volume = {10}, number = {5}, pages = {895--902}, year = {2016}, url = {https://doi.org/10.1007/s11760-015-0837-6}, doi = {10.1007/S11760-015-0837-6}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sivp/KimKLLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/JeongKMSKPKSK16, author = {Hongsil Jeong and Kyung{-}Joong Kim and Seho Myung and Jong{-}Woong Shin and Jinwoo Kim and Sung Ik Park and Sunhyoung Kwon and Yijun Shi and Sang{-}Hyo Kim}, title = {Flexible and Robust Transmission for Physical Layer Signaling of {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {204--215}, year = {2016}, url = {https://doi.org/10.1109/TBC.2016.2518624}, doi = {10.1109/TBC.2016.2518624}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/JeongKMSKPKSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinCJSKMKK16, author = {Wongyu Shin and Jungwhan Choi and Jaemin Jang and Jinwoong Suh and Yongkee Kwon and Youngsuk Moon and Hongsik Kim and Lee{-}Sup Kim}, title = {{Q-DRAM:} Quick-Access {DRAM} with Decoupled Restoring from Row-Activation}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {7}, pages = {2213--2227}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2479587}, doi = {10.1109/TC.2015.2479587}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShinCJSKMKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JinHK16, author = {Tae Hwan Jin and Hong Gul Han and Tae Wook Kim}, title = {Time-of-Arrival Measurement Using Adaptive {CMOS} {IR-UWB} Range Finder With Scalable Resolution}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {10}, pages = {1605--1615}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2583661}, doi = {10.1109/TCSI.2016.2583661}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JinHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangHPKAR16, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Sanghoon Park and Ki{-}Jin Kim and Kwang{-}Ho Ahn and Seung{-}Tak Ryu}, title = {A Sign-Equality-Based Background Timing-Mismatch Calibration Algorithm for Time-Interleaved ADCs}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {6}, pages = {518--522}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2530819}, doi = {10.1109/TCSII.2016.2530819}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangHPKAR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YiLBSCJKSP16, author = {Il{-}Min Yi and Soo{-}Min Lee and Seung{-}Jun Bae and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A 40 mV-Differential-Channel-Swing Transceiver Using a {RX} Current-Integrating {TIA} and a {TX} Pre-Emphasis Equalizer With a {CML} Driver at 9 Gb/s}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {122--133}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2500420}, doi = {10.1109/TCSI.2015.2500420}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YiLBSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/HongK16, author = {Jin B. Hong and Dong Seong Kim}, title = {Assessing the Effectiveness of Moving Target Defenses Using Security Models}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {13}, number = {2}, pages = {163--177}, year = {2016}, url = {https://doi.org/10.1109/TDSC.2015.2443790}, doi = {10.1109/TDSC.2015.2443790}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/HongK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LiuKKP16, author = {Hongwu Liu and Kyeong Jin Kim and Kyung Sup Kwak and H. Vincent Poor}, title = {Power Splitting-Based {SWIPT} With Decode-and-Forward Full-Duplex Relaying}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {15}, number = {11}, pages = {7561--7577}, year = {2016}, url = {https://doi.org/10.1109/TWC.2016.2604801}, doi = {10.1109/TWC.2016.2604801}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LiuKKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/JangCHLYOMLKCK16, author = {Jinyoung Jang and MinKeun Chung and Seung Chan Hwang and Yeon{-}Geun Lim and Hong{-}jib Yoon and TaeckKeun Oh and Byung{-}Wook Min and Yongshik Lee and Kwang Soon Kim and Chan{-}Byoung Chae and Dong Ku Kim}, title = {Smart Small Cell with Hybrid Beamforming for 5G: Theoretical Feasibility and Prototype Results}, journal = {{IEEE} Wirel. Commun.}, volume = {23}, number = {6}, pages = {124--131}, year = {2016}, url = {https://doi.org/10.1109/MWC.2016.1500387WC}, doi = {10.1109/MWC.2016.1500387WC}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/JangCHLYOMLKCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/KimHNMPT16, author = {Dong Seong Kim and Jin B. Hong and Tuan Anh Nguyen and Fumio Machida and Jong Sou Park and Kishor S. Trivedi}, title = {Availability Modeling and Analysis of a Virtualized System Using Stochastic Reward Nets}, booktitle = {2016 {IEEE} International Conference on Computer and Information Technology, {CIT} 2016, Nadi, Fiji, December 8-10, 2016}, pages = {210--218}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CIT.2016.97}, doi = {10.1109/CIT.2016.97}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/KimHNMPT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/YusufGHKKK16, author = {Simon Yusuf Enoch and Mengmeng Ge and Jin B. Hong and Huy Kang Kim and Paul Kim and Dong Seong Kim}, title = {Security Modelling and Analysis of Dynamic Enterprise Networks}, booktitle = {2016 {IEEE} International Conference on Computer and Information Technology, {CIT} 2016, Nadi, Fiji, December 8-10, 2016}, pages = {249--256}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CIT.2016.88}, doi = {10.1109/CIT.2016.88}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/YusufGHKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimLKLSYSYSK16, author = {Sung{-}Rae Kim and Kijun Lee and Gyuyeol Kong and Myung{-}Kyu Lee and Dongmin Shin and Geunyeong Yu and Beomkyu Shin and Pilsang Yoon and Hongrak Son and Jun Jin Kong}, title = {A post-processing algorithm for reducing strong error effects in {NAND} flash memory}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {465--468}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APCCAS.2016.7804004}, doi = {10.1109/APCCAS.2016.7804004}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimLKLSYSYSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeOKLSKKKYHLPL16, author = {Dong{-}Soo Lee and SeongJin Oh and Sung{-}Jin Kim and CheolHo Lee and ChangHun Song and Jungyeon Kim and WooSeob Kim and HongJin Kim and Sang{-}Sun Yoo and Sukkyun Hong and Jeong{-}Woo Lee and YoungGun Pu and Kang{-}Yoon Lee}, title = {Low power {FSK} transceiver using {ADPLL} with direct modulation and integrated {SPDT} for {BLE} application}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {113--116}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844148}, doi = {10.1109/ASSCC.2016.7844148}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeOKLSKKKYHLPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimKJLK16, author = {Hongjun Kim and Jinkyu Kang and Seongah Jeong and Kwang{-}Eog Lee and Joonhyuk Kang}, title = {Secure beamforming and self-energy recycling with full-duplex wireless-powered relay}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {662--667}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444859}, doi = {10.1109/CCNC.2016.7444859}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/KimKJLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YooYYHJC16, author = {Young Joon Yoo and Kimin Yun and Sangdoo Yun and Jonghee Hong and Hawook Jeong and Jin Young Choi}, title = {Visual Path Prediction in Complex Scenes with Crowded Moving Objects}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {2668--2677}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.292}, doi = {10.1109/CVPR.2016.292}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YooYYHJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPCVHL16, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin and Tom{\'{a}}s Voj{\'{\i}}r and Gustav H{\"{a}}ger and Alan Lukezic and Gustavo Fern{\'{a}}ndez and Abhinav Gupta and Alfredo Petrosino and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andr{\'{e}}s Sol{\'{\i}}s Montero and Andrea Vedaldi and Andreas Robinson and Andy Jinhua Ma and Anton Varfolomieiev and A. Aydin Alatan and Aykut Erdem and Bernard Ghanem and Bin Liu and Bohyung Han and Brais Mart{\'{\i}}nez and Chang{-}Ming Chang and Changsheng Xu and Chong Sun and Daijin Kim and Dapeng Chen and Dawei Du and Deepak Mishra and Dit{-}Yan Yeung and Erhan Gundogdu and Erkut Erdem and Fahad Shahbaz Khan and Fatih Porikli and Fei Zhao and Filiz Bunyak and Francesco Battistone and Gao Zhu and Giorgio Roffo and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Guna Seetharaman and Henry Medeiros and Hongdong Li and Honggang Qi and Horst Bischof and Horst Possegger and Huchuan Lu and Hyemin Lee and Hyeonseob Nam and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jae{-}chan Jeong and Jaeil Cho and Jae{-}Yeong Lee and Jianke Zhu and Jiayi Feng and Jin Gao and Jin Young Choi and Jingjing Xiao and Ji{-}Wan Kim and Jiyeoup Jeong and Jo{\~{a}}o F. Henriques and Jochen Lang and Jongwon Choi and Jos{\'{e}} M. Mart{\'{\i}}nez and Junliang Xing and Junyu Gao and Kannappan Palaniappan and Karel Lebeda and Ke Gao and Krystian Mikolajczyk and Lei Qin and Lijun Wang and Longyin Wen and Luca Bertinetto and Madan Kumar Rapuru and Mahdieh Poostchi and Mario Edoardo Maresca and Martin Danelljan and Matthias Mueller and Mengdan Zhang and Michael Arens and Michel F. Valstar and Ming Tang and Mooyeol Baek and Muhammad Haris Khan and Naiyan Wang and Nana Fan and Noor Al{-}Shakarji and Ondrej Miksik and Osman Akin and Payman Moallem and Pedro Senna and Philip H. S. Torr and Pong C. Yuen and Qingming Huang and Rafael Martin Nieto and Rengarajan Pelapur and Richard Bowden and Robert Lagani{\`{e}}re and Rustam Stolkin and Ryan Walsh and Sebastian Bernd Krah and Shengkun Li and Shengping Zhang and Shizeng Yao and Simon Hadfield and Simone Melzi and Siwei Lyu and Siyi Li and Stefan Becker and Stuart Golodetz and Sumithra Kakanuru and Sunglok Choi and Tao Hu and Thomas Mauthner and Tianzhu Zhang and Tony P. Pridmore and Vincenzo Santopietro and Weiming Hu and Wenbo Li and Wolfgang H{\"{u}}bner and Xiangyuan Lan and Xiaomeng Wang and Xin Li and Yang Li and Yiannis Demiris and Yifan Wang and Yuankai Qi and Zejian Yuan and Zexiong Cai and Zhan Xu and Zhenyu He and Zhizhen Chi}, editor = {Gang Hua and Herv{\'{e}} J{\'{e}}gou}, title = {The Visual Object Tracking {VOT2016} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2016 Workshops - Amsterdam, The Netherlands, October 8-10 and 15-16, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9914}, pages = {777--823}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48881-3\_54}, doi = {10.1007/978-3-319-48881-3\_54}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPCVHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/expressive/KimTSLH16, author = {Honghoe Kim and Paul Taele and Jinsil Seo and Jeffrey Liew and Tracy Hammond}, editor = {Angus G. Forbes and Lyn Bartram and Pierre B{\'{e}}nard and Holger Winnem{\"{o}}ller and Yotam I. Gingold and Ergun Akleman}, title = {EasySketch\({}^{\mbox{2}}\): a novel sketch-based interface for improving children's fine motor skills and school readiness}, booktitle = {5th Joint Symposium on Computational Aesthetics, Sketch-Based Interfaces and Modeling, and Non-Photorealistic Animation and Rendering, Expressive 2016, Lisbon, Portugal, May 7-9, 2016, Proceedings}, pages = {69--78}, publisher = {{ACM}}, year = {2016}, url = {https://dl.acm.org/doi/10.5555/2981324.2981335}, doi = {10.5555/2981324.2981335}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/expressive/KimTSLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grades/RestHKMC16, author = {Oskar van Rest and Sungpack Hong and Jinha Kim and Xuming Meng and Hassan Chafi}, editor = {Peter A. Boncz and Josep Llu{\'{\i}}s Larriba{-}Pey}, title = {{PGQL:} a property graph query language}, booktitle = {Proceedings of the Fourth International Workshop on Graph Data Management Experiences and Systems, Redwood Shores, CA, USA, June 24 - 24, 2016}, pages = {7}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2960414.2960421}, doi = {10.1145/2960414.2960421}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grades/RestHKMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HongNCCK16, author = {Yu{-}Jin Hong and Gi Pyo Nam and Heeseung Choi and Junghyun Cho and Ig{-}Jae Kim}, editor = {Norbert A. Streitz and Panos Markopoulos}, title = {3-Dimensional Face from a Single Face Image with Various Expressions}, booktitle = {Distributed, Ambient and Pervasive Interactions - 4th International Conference, {DAPI} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9749}, pages = {202--209}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39862-4\_19}, doi = {10.1007/978-3-319-39862-4\_19}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/HongNCCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RyuKLKHLCC16, author = {Eun Jeong Ryu and Minhyeok Kim and Joowoo Lee and Soomin Kim and Jiyoung Hong and Jieun Lee and Minhaeng Cho and Jinhae Choi}, editor = {Constantine Stephanidis}, title = {Designing Smartphone Keyboard for Elderly Users}, booktitle = {{HCI} International 2016 - Posters' Extended Abstracts - 18th International Conference, {HCI} International 2016, Toronto, Canada, July 17-22, 2016, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {617}, pages = {439--444}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40548-3\_73}, doi = {10.1007/978-3-319-40548-3\_73}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/RyuKLKHLCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimOP16, author = {Jinok Kim and Jongsuk Oh and Rae{-}Hong Park}, title = {Removing non-uniform camera shake using blind motion deblurring}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, pages = {351--352}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE.2016.7430643}, doi = {10.1109/ICCE.2016.7430643}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimOP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/KimJKJAS16, author = {Jin{-}Seob Kim and Young{-}Sun Joo and Hong{-}Goo Kang and Inseon Jang and Chunghyun Ahn and Jeongil Seo}, title = {A pitch-synchronous speech analysis and synthesis method for {DNN-SPSS} system}, booktitle = {2016 {IEEE} International Conference on Digital Signal Processing, {DSP} 2016, Beijing, China, October 16-18, 2016}, pages = {408--411}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICDSP.2016.7868589}, doi = {10.1109/ICDSP.2016.7868589}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/KimJKJAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/AhnILK16, author = {Jinhyun Ahn and Dong{-}Hyuk Im and Taewhi Lee and Hong{-}Gee Kim}, title = {Parallel Prime Number Labeling of Large {XML} Data Using MapReduce}, booktitle = {6th International Conference on {IT} Convergence and Security, {ICITCS} 2016, Prague, Czech Republic, September 26, 2016}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICITCS.2016.7740360}, doi = {10.1109/ICITCS.2016.7740360}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/AhnILK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimNPSA16, author = {Chanki Kim and Jong{-}Seon No and Jinsoo Park and Hong{-}Yeop Song and Jaeha Ahn}, title = {Anti-jamming partially regular {LDPC} codes for follower jamming with Rayleigh block fading in frequency hopping spread spectrum}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {583--587}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763538}, doi = {10.1109/ICTC.2016.7763538}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimNPSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/NamKKJL16, author = {Hong{-}Soon Nam and Jin{-}Tae Kim and Tae{-}Hyung Kim and Youn{-}Kwae Jeong and Il{-}Woo Lee}, title = {A budget-constrained {ECM} decision algorithm for existing building retrofit}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {797--799}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763300}, doi = {10.1109/ICTC.2016.7763300}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/NamKKJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhKLKPY16, author = {Se{-}Won Oh and Hyeon Soo Kim and Ho Sung Lee and Sun Jin Kim and Hongkyu Park and Woongshik You}, title = {Study on the multi-modal data preprocessing for knowledge-converged super brain}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1088--1093}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763375}, doi = {10.1109/ICTC.2016.7763375}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/OhKLKPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KoKLS16, author = {Dong Wook Ko and Yong Nyeon Kim and Jin Han Lee and Il Hong Suh}, title = {A scene-based dependable indoor navigation system}, booktitle = {2016 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2016, Daejeon, South Korea, October 9-14, 2016}, pages = {1530--1537}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IROS.2016.7759248}, doi = {10.1109/IROS.2016.7759248}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KoKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/ChaJLKCY16, author = {Eun Ju Cha and Kyong Hwan Jin and Dongwook Lee and Eung{-}Yeop Kim and Seung Hong Choi and Jong Chul Ye}, title = {Improved temporal resolution of twist imaging using annihilating filter-based low rank Hankel matrix approach}, booktitle = {13th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2016, Prague, Czech Republic, April 13-16, 2016}, pages = {314--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISBI.2016.7493272}, doi = {10.1109/ISBI.2016.7493272}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/ChaJLKCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/PhanKKF16, author = {Ha Tran Hong Phan and Ashnil Kumar and Jinman Kim and Dagan Feng}, title = {Transfer learning of a convolutional neural network for HEp-2 cell image classification}, booktitle = {13th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2016, Prague, Czech Republic, April 13-16, 2016}, pages = {1208--1211}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISBI.2016.7493483}, doi = {10.1109/ISBI.2016.7493483}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/PhanKKF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/KyungKHCJP16, author = {Yeunwoong Kyung and Youngjun Kim and Kiwon Hong and Hyungoo Choi and Mingyu Joo and Jinwoo Park}, title = {Mobility-aware load distribution scheme for scalable SDN-based mobile networks}, booktitle = {{IEEE} Symposium on Computers and Communication, {ISCC} 2016, Messina, Italy, June 27-30, 2016}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCC.2016.7543725}, doi = {10.1109/ISCC.2016.7543725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/KyungKHCJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKJYKCKKKO16, author = {Jong{-}Chern Lee and Jihwan Kim and Kyung Whan Kim and Young Jun Ku and Dae Suk Kim and Chunseok Jeong and Tae Sik Yun and Hongjung Kim and Ho Sung Cho and Yeon Ok Kim and Jae{-}Hwan Kim and Jin Ho Kim and Sangmuk Oh and Hyun Sung Lee and Ki Hun Kwon and Dong Beom Lee and Young Jae Choi and Jeajin Lee and Hyeon Gon Kim and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {18.3 {A} 1.2V 64Gb 8-channel 256GB/s {HBM} {DRAM} with peripheral-base-die architecture and small-swing technique on heavy load interface}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {318--319}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418035}, doi = {10.1109/ISSCC.2016.7418035}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKJYKCKKKO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jist/AhnIK16, author = {Jinhyun Ahn and Dong{-}Hyuk Im and Hong{-}Gee Kim}, editor = {Yuan{-}Fang Li and Wei Hu and Jin Song Dong and Grigoris Antoniou and Zhe Wang and Jun Sun and Yang Liu}, title = {A MapReduce-Based Approach for Prefix-Based Labeling of Large {XML} Data}, booktitle = {Semantic Technology - 6th Joint International Conference, {JIST} 2016, Singapore, Singapore, November 2-4, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10055}, pages = {83--98}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-50112-3\_7}, doi = {10.1007/978-3-319-50112-3\_7}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jist/AhnIK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKKHYK16, author = {Hansang Lee and Hyeun A. Kim and Hyeonjin Kim and Helen Hong and Young Cheol Yoon and Junmo Kim}, editor = {Georgia D. Tourassi and Samuel G. Armato III}, title = {Multi-atlas segmentation of the cartilage in knee {MR} images with sequential volume- and bone-mask-based registrations}, booktitle = {Medical Imaging 2016: Computer-Aided Diagnosis, San Diego, California, United States, 27 February - 3 March 2016}, series = {{SPIE} Proceedings}, volume = {9785}, pages = {97853H}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2216630}, doi = {10.1117/12.2216630}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/LeeKKHYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ParkHKCH16, author = {Yosub Park and Jihaeng Heo and Jintae Kim and Sooyong Choi and Daesik Hong}, title = {Effects of channel estimation errors on ultra-dense small cell networks}, booktitle = {27th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2016, Valencia, Spain, September 4-8, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PIMRC.2016.7794688}, doi = {10.1109/PIMRC.2016.7794688}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ParkHKCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimMHJ16, author = {Bongjae Kim and Hong Min and Junyoung Heo and Jinman Jung}, title = {Dynamic Offloading Algorithm for Drone Computation}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, pages = {123--124}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2987386.2987437}, doi = {10.1145/2987386.2987437}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/KimMHJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/ParkKKYLLJHK16, author = {Junho Park and Min{-}Ji Kim and Eung{-}Hee Kim and Sung{-}Kwon Yang and Sungin Lee and Jin{-}Muk Lim and Hyunwhan Joe and Kyung{-}Sik Ha and Hong{-}Gee Kim}, editor = {Cui Tao and Guoqian Jiang and Dezhao Song and Jeff Heflin and Frank Schilder}, title = {BiDIP: a Biological Data Integration Platform for Transcriptome Analysis}, booktitle = {Proceedings of the First International Workshop on Biomedical Data Integration and Discovery {(BMDID} 2016) co-located with The 15th International Semantic Web Conference {(ISWC} 2016), Kobe, Japan, October 17, 2016}, series = {{CEUR} Workshop Proceedings}, volume = {1709}, publisher = {CEUR-WS.org}, year = {2016}, url = {https://ceur-ws.org/Vol-1709/BMDID\_2016\_paper\_8.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:07 +0100}, biburl = {https://dblp.org/rec/conf/semweb/ParkKKYLLJHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/0002K16, author = {Seonghun Hong and Jinwhan Kim}, title = {Visual {SLAM} with keyframe selection for underwater structure inspection using an autonomous underwater vehicle}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {558--562}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7625778}, doi = {10.1109/URAI.2016.7625778}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/0002K16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YiBCLJCSCJKSP16, author = {Il{-}Min Yi and Seung{-}Jun Bae and Min{-}Kyun Chae and Soo{-}Min Lee and Young Jae Jang and Young{-}Chul Cho and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A low-EMI four-bit four-wire single-ended {DRAM} interface by using a three-level balanced coding scheme}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573524}, doi = {10.1109/VLSIC.2016.7573524}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YiBCLJCSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/RuffellHKK16, author = {Matthew Ruffell and Jin B. Hong and Hyoungshick Kim and Dong Seong Kim}, editor = {Dooho Choi and Sylvain Guilley}, title = {Towards Automated Exploit Generation for Embedded Systems}, booktitle = {Information Security Applications - 17th International Workshop, {WISA} 2016, Jeju Island, Korea, August 25-27, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10144}, pages = {161--173}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-56549-1\_14}, doi = {10.1007/978-3-319-56549-1\_14}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/RuffellHKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dasfaa/2016w, editor = {Hong Gao and Jinho Kim and Yasushi Sakurai}, title = {Database Systems for Advanced Applications - {DASFAA} 2016 International Workshops: BDMS, BDQM, MoI, and SeCoP, Dallas, TX, USA, April 16-19, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9645}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-32055-7}, doi = {10.1007/978-3-319-32055-7}, isbn = {978-3-319-32054-0}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/2016w.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ChoiSKKK15, author = {Hong Jun Choi and Dong Oh Son and Jong{-}Myon Kim and Jinsul Kim and Cheol Hong Kim}, title = {A novel memory management technique for cloud client devices}, journal = {Clust. Comput.}, volume = {18}, number = {3}, pages = {1111--1116}, year = {2015}, url = {https://doi.org/10.1007/s10586-015-0470-8}, doi = {10.1007/S10586-015-0470-8}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ChoiSKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/MenZJK15, author = {Hongzhi Men and Nan Zhao and Minglu Jin and Jae Moung Kim}, title = {Optimal Transceiver Design for Interference Alignment Based Cognitive Radio Networks}, journal = {{IEEE} Commun. Lett.}, volume = {19}, number = {8}, pages = {1442--1445}, year = {2015}, url = {https://doi.org/10.1109/LCOMM.2015.2442243}, doi = {10.1109/LCOMM.2015.2442243}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/MenZJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangHPKAR15, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Sanghoon Park and Ki{-}Jin Kim and Kwang{-}Ho Ahn and Seung{-}Tak Ryu}, title = {Ternary-level thermometer {C-DAC} switching scheme for flash-assisted {SAR} ADCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150302}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150302}, doi = {10.1587/ELEX.12.20150302}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangHPKAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimHL15, author = {Namgi Kim and Jin{-}A. Hong and Byoung{-}Dai Lee}, title = {Equation-Based Transmission Power Control for Wearable Sensor Systems}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {98-A}, number = {7}, pages = {1558--1561}, year = {2015}, url = {https://doi.org/10.1587/transfun.E98.A.1558}, doi = {10.1587/TRANSFUN.E98.A.1558}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangPKL15, author = {Jin Ah Kang and Nam In Park and Hong Kook Kim and Seong Ro Lee}, title = {Adaptive Speech Streaming Based on Speech Quality Estimation and Artificial Bandwidth Extension for Voice over Wireless Multimedia Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {395752:1--395752:8}, year = {2015}, url = {https://doi.org/10.1155/2015/395752}, doi = {10.1155/2015/395752}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KangPKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/VengertsevKSS15, author = {Dmitry Vengertsev and Hongkeun Kim and Jin Heon Seo and Hyungbo Shim}, title = {Consensus of output-coupled high-order linear multi-agent systems under deterministic and Markovian switching networks}, journal = {Int. J. Syst. Sci.}, volume = {46}, number = {10}, pages = {1790--1799}, year = {2015}, url = {https://doi.org/10.1080/00207721.2013.835884}, doi = {10.1080/00207721.2013.835884}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/VengertsevKSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/LeeKPKSKWA15, author = {Hyun{-}Taek Lee and Sung{-}In Kim and Jong Min Park and Ho{-}Jin Kim and Dae{-}Seob Song and Hyung{-}Il Kim and Hong{-}Gyun Wu and Sung{-}Hoon Ahn}, title = {Shape memory alloy (SMA)-based head and neck immobilizer for radiotherapy}, journal = {J. Comput. Des. Eng.}, volume = {2}, number = {3}, pages = {176--182}, year = {2015}, url = {https://doi.org/10.1016/j.jcde.2015.03.004}, doi = {10.1016/J.JCDE.2015.03.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/LeeKPKSKWA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/HwangHK15, author = {Chi{-}Ok Hwang and Sungpyo Hong and Jinwoo Kim}, title = {Off-centered "Walk-on-Spheres" {(WOS)} algorithm}, journal = {J. Comput. Phys.}, volume = {303}, pages = {331--335}, year = {2015}, url = {https://doi.org/10.1016/j.jcp.2015.10.002}, doi = {10.1016/J.JCP.2015.10.002}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/HwangHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/KimCLKC15, author = {Hongsuk Kim and Min Young Chung and Tae{-}Jin Lee and Mihui Kim and Hyunseung Choo}, title = {Scheduling Based on Maximum {PF} Selection with Contiguity Constraint for {SC-FDMA} in {LTE} Uplink}, journal = {J. Inf. Sci. Eng.}, volume = {31}, number = {4}, pages = {1455--1473}, year = {2015}, url = {http://www.iis.sinica.edu.tw/page/jise/2015/201507\_17.html}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jise/KimCLKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongKKPCPR15, author = {Hyeok{-}Ki Hong and Wan Kim and Hyun{-}Wook Kang and Sun{-}Jae Park and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {A Decision-Error-Tolerant 45 nm {CMOS} 7b 1 GS/s Nonbinary 2b/Cycle {SAR} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {2}, pages = {543--555}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2364833}, doi = {10.1109/JSSC.2014.2364833}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongKKPCPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLKSPKHJLCSY15, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Namkyu Jang and Jaemo Yang and Haekang Jung and Joohwan Cho and Hyeongon Kim and Jinkook Kim}, title = {A 1.1 {V} 2y-nm 4.35 Gb/s/pin 8 Gb {LPDDR4} Mobile Device With Bandwidth Improvement Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1945--1959}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2429588}, doi = {10.1109/JSSC.2015.2429588}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongLKSPKHJLCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZongNEAJK15, author = {Nansu Zong and Sejin Nam and Jae{-}Hong Eom and Jinhyun Ahn and Hyunwhan Joe and Hong{-}Gee Kim}, title = {Aligning ontologies with subsumption and equivalence relations in Linked Data}, journal = {Knowl. Based Syst.}, volume = {76}, pages = {30--41}, year = {2015}, url = {https://doi.org/10.1016/j.knosys.2014.11.022}, doi = {10.1016/J.KNOSYS.2014.11.022}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/ZongNEAJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LimKY15, author = {Jin Hong Lim and Jeong Jin Kim and Jeon{-}Wook Yang}, title = {Improved surface morphology of a Ti/Al/Ni/Au ohmic contact for AlGaN/GaN heterostructure by Al\({}_{\mbox{2}}\)O\({}_{\mbox{3}}\) particles}, journal = {Microelectron. Reliab.}, volume = {55}, number = {12}, pages = {2565--2568}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.10.005}, doi = {10.1016/J.MICROREL.2015.10.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LimKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/KimSHHC15, author = {Jinha Kim and Hyungyu Shin and Wook{-}Shin Han and Sungpack Hong and Hassan Chafi}, title = {Taming Subgraph Isomorphism for {RDF} Query Processing}, journal = {Proc. {VLDB} Endow.}, volume = {8}, number = {11}, pages = {1238--1249}, year = {2015}, url = {http://www.vldb.org/pvldb/vol8/p1238-kim.pdf}, doi = {10.14778/2809974.2809985}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/KimSHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/KimS0PK15, author = {Jung Woo Kim and Jungjoo Seo and Jin Hong and Kunsoo Park and Sung{-}Ryul Kim}, title = {High-speed parallel implementations of the rainbow method based on perfect tables in a heterogeneous system}, journal = {Softw. Pract. Exp.}, volume = {45}, number = {6}, pages = {837--855}, year = {2015}, url = {https://doi.org/10.1002/spe.2257}, doi = {10.1002/SPE.2257}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/KimS0PK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/speech/KimNYHJK15, author = {Jinryoul Kim and Kyoung Won Nam and Sunhyun Yook and Sung Hwa Hong and Dong Pyo Jang and In{-}Young Kim}, title = {Effect of the degree of sensorineural hearing impairment on the results of subjective evaluations of a noise-reduction algorithm}, journal = {Speech Commun.}, volume = {68}, pages = {1--10}, year = {2015}, url = {https://doi.org/10.1016/j.specom.2015.01.001}, doi = {10.1016/J.SPECOM.2015.01.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/speech/KimNYHJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/OhKH15, author = {Sedo Oh and Youngjin Kim and Jisun Hong}, title = {Urban Traffic Flow Prediction System Using a Multifactor Pattern Recognition Model}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {16}, number = {5}, pages = {2744--2755}, year = {2015}, url = {https://doi.org/10.1109/TITS.2015.2419614}, doi = {10.1109/TITS.2015.2419614}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/OhKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/AhnIK15, author = {Jinhyun Ahn and Dong{-}Hyuk Im and Hong{-}Gee Kim}, title = {SigMR: MapReduce-based {SPARQL} query processing by signature encoding and multi-way join}, journal = {J. Supercomput.}, volume = {71}, number = {10}, pages = {3695--3725}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1459-z}, doi = {10.1007/S11227-015-1459-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/AhnIK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimHHY15, author = {Chang{-}Sei Kim and Jin{-}Oh Hahn and Keum{-}Shik Hong and Wan{-}Suk Yoo}, title = {Estimation of Tire-Road Friction Based on Onboard 6-DoF Acceleration Measurement}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {8}, pages = {3368--3377}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2358616}, doi = {10.1109/TVT.2014.2358616}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimHHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/JiaHK15, author = {Fangcheng Jia and Jin B. Hong and Dong Seong Kim}, editor = {Yulei Wu and Geyong Min and Nektarios Georgalas and Jia Hu and Luigi Atzori and Xiaolong Jin and Stephen A. Jarvis and Lei (Chris) Liu and Ram{\'{o}}n Ag{\"{u}}ero Calvo}, title = {Towards Automated Generation and Visualization of Hierarchical Attack Representation Models}, booktitle = {15th {IEEE} International Conference on Computer and Information Technology, {CIT} 2015; 14th {IEEE} International Conference on Ubiquitous Computing and Communications, {IUCC} 2015; 13th {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2015; 13th {IEEE} International Conference on Pervasive Intelligence and Computing, PICom 2015, Liverpool, United Kingdom, October 26-28, 2015}, pages = {1689--1696}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CIT/IUCC/DASC/PICOM.2015.255}, doi = {10.1109/CIT/IUCC/DASC/PICOM.2015.255}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/JiaHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeHCK15, author = {Jin Ha Lee and Sungsoo (Ray) Hong and Hyerim Cho and Yea{-}Seul Kim}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {{VIZMO} Game Browser: Accessing Video Games by Visual Style and Mood}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {149--152}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702264}, doi = {10.1145/2702123.2702264}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeHCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexa/LeeHKC15, author = {Hyunjo Lee and Seung{-}Tae Hong and Hyung Jin Kim and Jae{-}Woo Chang}, editor = {Qiming Chen and Abdelkader Hameurlain and Farouk Toumani and Roland R. Wagner and Hendrik Decker}, title = {A Travel Time Prediction Algorithm Using Rule-Based Classification on MapReduce}, booktitle = {Database and Expert Systems Applications - 26th International Conference, {DEXA} 2015, Valencia, Spain, September 1-4, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9262}, pages = {440--452}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22852-5\_37}, doi = {10.1007/978-3-319-22852-5\_37}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dexa/LeeHKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimLLKKJPSYJP15, author = {Hanbyul Kim and Hong Ji Lee and Woongwoo Lee and Sungjun Kwon and Sang Kyong Kim and Hyo Seon Jeon and Hyeyoung Park and Chae Won Shin and Won{-}Jin Yi and Beom Seok Jeon and Kwang Suk Park}, title = {Unconstrained detection of freezing of Gait in Parkinson's disease patients using smartphone}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {3751--3754}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319209}, doi = {10.1109/EMBC.2015.7319209}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimLLKKJPSYJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/KimT15, author = {Jin Ryong Kim and Hong Z. Tan}, title = {Effect of information content in sensory feedback on typing performance using a flat keyboard}, booktitle = {2015 {IEEE} World Haptics Conference, {WHC} 2015, Evanston, IL, USA, June 22-26, 2015}, pages = {228--234}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WHC.2015.7177718}, doi = {10.1109/WHC.2015.7177718}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haptics/KimT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimKJHCH15, author = {Minsun Kim and Yongjae Kim and Jaeyoung Ji and Ji{-}Young Hong and Jinhae Coi and Kwang{-}Hee Han}, editor = {Constantine Stephanidis}, title = {Can Color Tell? Smartphone {LED} Notification Color and Users' Perception of the Situation}, booktitle = {{HCI} International 2015 - Posters' Extended Abstracts - International Conference, {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {529}, pages = {22--26}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21383-5\_4}, doi = {10.1007/978-3-319-21383-5\_4}, timestamp = {Wed, 24 May 2017 08:29:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimKJHCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/EomHPK15, author = {Taehoon Eom and Jin B. Hong and Jong Sou Park and Dong Seong Kim}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {Security Modeling and Analysis of a {SDN} Based Web Service}, booktitle = {Algorithms and Architectures for Parallel Processing - {ICA3PP} International Workshops and Symposiums, Zhangjiajie, China, November 18-20, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9532}, pages = {746--756}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27161-3\_68}, doi = {10.1007/978-3-319-27161-3\_68}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/EomHPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/HongCHK15, author = {Jin B. Hong and Chun{-}Jen Chung and Dijiang Huang and Dong Seong Kim}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {Scalable Network Intrusion Detection and Countermeasure Selection in Virtual Network Systems}, booktitle = {Algorithms and Architectures for Parallel Processing - {ICA3PP} International Workshops and Symposiums, Zhangjiajie, China, November 18-20, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9532}, pages = {582--592}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27161-3\_53}, doi = {10.1007/978-3-319-27161-3\_53}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/HongCHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/KhadayMSKKKKSYW15, author = {Bakytgul Khaday and Eric T. Matson and John A. Springer and Young Ki Kwon and Hansu Kim and Sunbin Kim and Daulet Kenzhebalin and Cho Sukyeong and Jinwoong Yoon and Hong Seung Woo}, editor = {Donald G. Bailey and Gourab Sen Gupta and Serge N. Demidenko}, title = {Wireless Sensor Network and Big Data in Cooperative Fire Security system using {HARMS}}, booktitle = {6th International Conference on Automation, Robotics and Applications, {ICARA} 2015, Queenstown, New Zealand, February 17-19, 2015}, pages = {405--410}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICARA.2015.7081182}, doi = {10.1109/ICARA.2015.7081182}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icara/KhadayMSKKKKSYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYCH15, author = {Jong Ho Kim and YoungKeun Yoon and Young Jun Chong and Heon Jin Hong}, title = {Millimeter-wave delay spread measurement and simulation at LoS urban low-rise environments}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1194--1196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354773}, doi = {10.1109/ICTC.2015.7354773}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimYCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YoonKCH15, author = {YoungKeun Yoon and Jong Ho Kim and Young Jun Chong and Heon{-}Jin Hong}, title = {Site specific analysis on mm-Wave radio propagation in commercial small urban}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1312--1314}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354804}, doi = {10.1109/ICTC.2015.7354804}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YoonKCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimKHHCJ15, author = {Ki{-}Hyun Kim and Hyung{-}Shin Kwon and Hee{-}Il Hong and Hong{-}Sun Hwang and Kang{-}Yong Cho and Gyo{-}Young Jin}, title = {The classification methodology of chip quality using canonical correlation analysis-based variable selection on chip level data}, booktitle = {2015 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2015, Singapore, December 6-9, 2015}, pages = {381--385}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IEEM.2015.7385673}, doi = {10.1109/IEEM.2015.7385673}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieem/KimKHHCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKYKHLP15, author = {Jinhong Ahn and Jeaheung Lim and Seok{-}Hyang Kim and Jun{-}Yeon Yun and Changhyun Kim and Sang{-}Hoon Hong and Myoung{-}Jin Lee and Youngjune Park}, title = {16.6 Double-side {CMOS-CNT} biosensor array with padless structure for simple bare-die measurements in a medical environment}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063045}, doi = {10.1109/ISSCC.2015.7063045}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKYKHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJCCJCKPS15, author = {Jongmi Lee and Youngwoo Ji and Seungnam Choi and Young{-}Chul Cho and Seong{-}Jin Jang and Joo{-}Sun Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {5.7 {A} 29nW bandgap reference circuit}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062945}, doi = {10.1109/ISSCC.2015.7062945}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJCCJCKPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063105}, doi = {10.1109/ISSCC.2015.7063105}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PyoSLBKKSKOLLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimKH15, author = {Hyeonjin Kim and Hannah Kim and Helen Hong}, editor = {Lubomir M. Hadjiiski and Georgia D. Tourassi}, title = {Chest wall segmentation in automated 3D breast ultrasound using rib shadow enhancement and multi-plane cumulative probability enhanced map}, booktitle = {Medical Imaging 2015: Computer-Aided Diagnosis, Orlando, Florida, United States, 21-26 February 2015}, series = {{SPIE} Proceedings}, volume = {9414}, pages = {941423}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2082376}, doi = {10.1117/12.2082376}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/NguyenEAPHK15, author = {Tuan Anh Nguyen and Taehoon Eom and SeongMo An and Jong Sou Park and Jin B. Hong and Dong Seong Kim}, editor = {Guojun Wang and Tatsuhiro Tsuchiya and Dong Xiang}, title = {Availability Modeling and Analysis for Software Defined Networks}, booktitle = {21st {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2015, Zhangjiajie, China, November 18-20, 2015}, pages = {159--168}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PRDC.2015.27}, doi = {10.1109/PRDC.2015.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/NguyenEAPHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/RuffellHK15, author = {Matthew Ruffell and Jin B. Hong and Dong Seong Kim}, editor = {Guojun Wang and Tatsuhiro Tsuchiya and Dong Xiang}, title = {Analyzing the Effectiveness of Privacy Related Add-Ons Employed to Thwart Web Based Tracking}, booktitle = {21st {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2015, Zhangjiajie, China, November 18-20, 2015}, pages = {264--272}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PRDC.2015.29}, doi = {10.1109/PRDC.2015.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/RuffellHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LimKH15, author = {Jinju Lim and Beomsu Kim and Min{-}Cheol Hong}, editor = {Esmaeil S. Nadimi and Tom{\'{a}}s Cern{\'{y}} and Sung{-}Ryul Kim and Wei Wang}, title = {Video stabilization based on the detection and alpha-trimmed means smoothing filtering of undesirable motion}, booktitle = {Proceedings of the 2015 Conference on research in adaptive and convergent systems, {RACS} 2015, Prague, Czech Republic, October 9-12, 2015}, pages = {158--163}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811411.2811500}, doi = {10.1145/2811411.2811500}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/LimKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/WuYK15, author = {Hongyan Wu and Atsuko Yamaguchi and Jin{-}Dong Kim}, editor = {Thorsten Liebig and Achille Fokoue}, title = {Dynamic Join Order Optimization for {SPARQL} Endpoint Federation}, booktitle = {Proceedings of the 11th International Workshop on Scalable Semantic Web Knowledge Base Systems co-located with 14th International Semantic Web Conference {(ISWC} 2015), Bethlehem, PA, USA, October 11, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1457}, pages = {48--62}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1457/SSWS2015\_paper4.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:07 +0100}, biburl = {https://dblp.org/rec/conf/semweb/WuYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LiKLJKKHS15, author = {Ji{-}Hong Li and Jung{-}Tae Kim and Munjik Lee and Sung Chul Jee and Hyung{-}Ju Kang and Min{-}Gyu Kim and Sung{-}Mun Hong and Jinho Suh}, title = {Initial alignment consideration for underwater robot's strapdown inertial navigation system}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {231--234}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358943}, doi = {10.1109/URAI.2015.7358943}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LiKLJKKHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JangCHLYOMLKCK15, author = {Jinyoung Jang and MinKeun Chung and Hae Gwang Hwang and Yeon{-}Geun Lim and Hong{-}jib Yoon and TaeckKeun Oh and Byung{-}Wook Min and Yongshik Lee and Kwang Soon Kim and Chan{-}Byoung Chae and Dong Ku Kim}, title = {Smart Small Cell for 5G: Theoretical Feasibility and Prototype Results}, journal = {CoRR}, volume = {abs/1506.09109}, year = {2015}, url = {http://arxiv.org/abs/1506.09109}, eprinttype = {arXiv}, eprint = {1506.09109}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JangCHLYOMLKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KimSHHC15, author = {Jinha Kim and Hyungyu Shin and Wook{-}Shin Han and Sungpack Hong and Hassan Chafi}, title = {Taming Subgraph Isomorphism for {RDF} Query Processing}, journal = {CoRR}, volume = {abs/1506.01973}, year = {2015}, url = {http://arxiv.org/abs/1506.01973}, eprinttype = {arXiv}, eprint = {1506.01973}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KimSHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuKK15, author = {Hongwu Liu and Kyeong Jin Kim and Kyung Sup Kwak}, title = {Power Splitting for Full-Duplex Relay with Wireless Information and Power Transfer}, journal = {CoRR}, volume = {abs/1504.04697}, year = {2015}, url = {http://arxiv.org/abs/1504.04697}, eprinttype = {arXiv}, eprint = {1504.04697}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuKPK15, author = {Hongwu Liu and Kyeong Jin Kim and H. Vincent Poor and Kyung Sup Kwak}, title = {Relay Control for Full-Duplex Relaying with Wireless Information and Energy Transfer}, journal = {CoRR}, volume = {abs/1503.04381}, year = {2015}, url = {http://arxiv.org/abs/1503.04381}, eprinttype = {arXiv}, eprint = {1503.04381}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimKS15, author = {Jinsu Kim and Sungwook Kim and Jae Hong Seo}, title = {Multilinear Map via Scale-Invariant {FHE:} Enhancing Security and Efficiency}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {992}, year = {2015}, url = {http://eprint.iacr.org/2015/992}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KimKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acj/OhMK14, author = {Dong{-}Ik Oh and Seung{-}Jin Moon and Hong{-}Kyu Kim}, title = {The Enhanced Posture Correction Calibration Devices Using Bio-Sensors on {WSN}}, journal = {J. Res. Pract. Inf. Technol.}, volume = {46}, number = {2-3}, year = {2014}, url = {http://ws.acs.org.au/jrpit/JRPITVolumes/JRPIT46/JRPIT46.23.77\%20Seung\%20-jin\%20Moon\%20The\%20Enhanced\%20Posture\%20Correction.pdf}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/acj/OhMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biomedsem/KatayamaWAKYYOKKWWKOBKAAAAABBBBCCCCCC14, author = {Toshiaki Katayama and Mark D. Wilkinson and Kiyoko F. Aoki{-}Kinoshita and Shuichi Kawashima and Yasunori Yamamoto and Atsuko Yamaguchi and Shinobu Okamoto and Shin Kawano and Jin{-}Dong Kim and Yue Wang and Hongyan Wu and Yoshinobu Kano and Hiromasa Ono and Hidemasa Bono and Simon Kocbek and Jan Aerts and Yukie Akune and Erick Antezana and Kazuharu Arakawa and Bruno Aranda and Joachim Baran and Jerven T. Bolleman and Raoul Jean Pierre Bonnal and Pier Luigi Buttigieg and Matthew P. Campbell and Yi{-}an Chen and Hirokazu Chiba and Peter J. A. Cock and Kevin Bretonnel Cohen and Alexandru Constantin}, title = {BioHackathon series in 2011 and 2012: penetration of ontology and linked data in life science domains}, journal = {J. Biomed. Semant.}, volume = {5}, pages = {5}, year = {2014}, url = {https://doi.org/10.1186/2041-1480-5-5}, doi = {10.1186/2041-1480-5-5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biomedsem/KatayamaWAKYYOKKWWKOBKAAAAABBBBCCCCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/ChoiKC14, author = {Hong{-}Seok Choi and In{-}Su Kim and Jin Young Choi}, title = {Combining histogram-wise and pixel-wise matchings for kernel tracking through constrained optimization}, journal = {Comput. Vis. Image Underst.}, volume = {118}, pages = {61--70}, year = {2014}, url = {https://doi.org/10.1016/j.cviu.2013.09.002}, doi = {10.1016/J.CVIU.2013.09.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/ChoiKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSLYCLHCP14, author = {Seung{-}Hoon Kim and Jihye Shin and Jinju Lee and Xiao Ying and Hanbyul Choi and Kyungmin Lee and Chaerin Hong and Sang{-}Bock Cho and Sung Min Park}, title = {A multi-channel current-mode {CMOS} optical receiver array for active optical {HDMI} cables}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {23}, pages = {20140927}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140927}, doi = {10.1587/ELEX.11.20140927}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSLYCLHCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ParkKLK14, author = {Nam In Park and Jin Ah Kang and Seong Ro Lee and Hong Kook Kim}, title = {A Packet Loss Concealment Technique Improving Quality of Service for Wideband Speech Coding in Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/852798}, doi = {10.1155/2014/852798}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ParkKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/YounYKH14, author = {Sun Hee Youn and Ma Ga (Mark) Yang and Jin Hwan Kim and Paul C. Hong}, title = {Supply chain information capabilities and performance outcomes: An empirical study of Korean steel suppliers}, journal = {Int. J. Inf. Manag.}, volume = {34}, number = {3}, pages = {369--380}, year = {2014}, url = {https://doi.org/10.1016/j.ijinfomgt.2014.01.008}, doi = {10.1016/J.IJINFOMGT.2014.01.008}, timestamp = {Mon, 23 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/YounYKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmmsc/Kim14a, author = {Jin Hong Kim}, title = {On the Equivalence of B-Rigidity and C-Rigidity for Quasitoric Manifolds}, journal = {Int. J. Math. Math. Sci.}, volume = {2014}, pages = {825850:1--825850:5}, year = {2014}, url = {https://doi.org/10.1155/2014/825850}, doi = {10.1155/2014/825850}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmmsc/Kim14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/Kim014, author = {Byoung{-}Il Kim and Jin Hong}, title = {Analysis of the Perfect Table Fuzzy Rainbow Tradeoff}, journal = {J. Appl. Math.}, volume = {2014}, pages = {765394:1--765394:19}, year = {2014}, url = {https://doi.org/10.1155/2014/765394}, doi = {10.1155/2014/765394}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/Kim014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooYJCKKB14, author = {Taegeun Yoo and Hong Chang Yeoh and Yun{-}Hwan Jung and Seong Jin Cho and Yong Sin Kim and Sung{-}Mo Kang and Kwang{-}Hyun Baek}, title = {A 2 GHz 130 mW Direct-Digital Frequency Synthesizer With a Nonlinear {DAC} in 55 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {12}, pages = {2976--2989}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2014.2359674}, doi = {10.1109/JSSC.2014.2359674}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YooYJCKKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TsujikawaZHDJGHPIF14, author = {Tetsuya Tsujikawa and Sami S. Zoghbi and Jinsoo Hong and Sean R. Donohue and Kimberly J. Jenko and Robert L. Gladding and Christer Halldin and Victor W. Pike and Robert B. Innis and Masahiro Fujita}, title = {In vitro and in vivo evaluation of \({}^{\mbox{11}}\)C-SD5024, a novel {PET} radioligand for human brain imaging of cannabinoid CB\({}_{\mbox{1}}\) receptors}, journal = {NeuroImage}, volume = {84}, pages = {733--741}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2013.09.043}, doi = {10.1016/J.NEUROIMAGE.2013.09.043}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/TsujikawaZHDJGHPIF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/KimJH14, author = {Jin{-}Mook Kim and Hwa{-}Young Jeong and Bong{-}Hwa Hong}, title = {A study of privacy problem solving using device and user authentication for {M2M} environments}, journal = {Secur. Commun. Networks}, volume = {7}, number = {10}, pages = {1528--1535}, year = {2014}, url = {https://doi.org/10.1002/sec.695}, doi = {10.1002/SEC.695}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/KimJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/LeeJKWS14, author = {Hong{-}Rae Lee and Yo{-}Won Jeong and Jin{-}Soo Kim and Dapeng Wu and Kwang{-}deok Seo}, title = {Estimation of accurate effective loss rate for {FEC} video transmission}, journal = {Signal Process. Image Commun.}, volume = {29}, number = {6}, pages = {678--698}, year = {2014}, url = {https://doi.org/10.1016/j.image.2014.03.006}, doi = {10.1016/J.IMAGE.2014.03.006}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/LeeJKWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/JeonKMI14, author = {Yo{-}Seb Jeon and Young{-}Jin Kim and Moonsik Min and Gi{-}Hong Im}, title = {Distributed Block Diagonalization with Selective Zero Forcing for Multicell {MU-MIMO} Systems}, journal = {{IEEE} Signal Process. Lett.}, volume = {21}, number = {5}, pages = {605--609}, year = {2014}, url = {https://doi.org/10.1109/LSP.2014.2311459}, doi = {10.1109/LSP.2014.2311459}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/JeonKMI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KimHCJH14, author = {Junghak Kim and Jeounglak Ha and Bumsuk Choi and Youngho Jeong and Jinwoo Hong}, title = {Design and Implementation for Interactive Augmented Broadcasting System}, journal = {{IEEE} Trans. Broadcast.}, volume = {60}, number = {2}, pages = {217--226}, year = {2014}, url = {https://doi.org/10.1109/TBC.2013.2295478}, doi = {10.1109/TBC.2013.2295478}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KimHCJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/SongKPI14, author = {Moon{-}Gun Song and Young{-}Jin Kim and Eun{-}Yeong Park and Gi{-}Hong Im}, title = {Rate Adaptation and Power Allocation for Cognitive Radio Networks with HARQ-Based Primary System}, journal = {{IEEE} Trans. Commun.}, volume = {62}, number = {4}, pages = {1178--1187}, year = {2014}, url = {https://doi.org/10.1109/TCOMM.2014.021714.130140}, doi = {10.1109/TCOMM.2014.021714.130140}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/SongKPI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KimEFHIPPT14, author = {Jinil Kim and Peter Eades and Rudolf Fleischer and Seok{-}Hee Hong and Costas S. Iliopoulos and Kunsoo Park and Simon J. Puglisi and Takeshi Tokuyama}, title = {Order-preserving matching}, journal = {Theor. Comput. Sci.}, volume = {525}, pages = {68--79}, year = {2014}, url = {https://doi.org/10.1016/j.tcs.2013.10.006}, doi = {10.1016/J.TCS.2013.10.006}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/KimEFHIPPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/KimLKLHL14, author = {Jinho Kim and Jun Lee and Hyoeng Kyu Kang and Dae Sun Kim and Choong Seon Hong and Sungwon Lee}, title = {An ID/Locator Separation-Based Mobility Management Architecture for WSNs}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {13}, number = {10}, pages = {2240--2254}, year = {2014}, url = {https://doi.org/10.1109/TMC.2013.142}, doi = {10.1109/TMC.2013.142}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/KimLKLHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/NahKKJPHMP14, author = {Jae{-}Ho Nah and Hyuck{-}Joo Kwon and Dong{-}Seok Kim and Cheol{-}Ho Jeong and Jin{-}Hong Park and Tack{-}Don Han and Dinesh Manocha and Woo{-}Chan Park}, title = {RayCore: {A} Ray-Tracing Hardware Architecture for Mobile Devices}, journal = {{ACM} Trans. Graph.}, volume = {33}, number = {5}, pages = {162:1--162:15}, year = {2014}, url = {https://doi.org/10.1145/2629634}, doi = {10.1145/2629634}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/NahKKJPHMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JinLKK14, author = {Yongnu Jin and Hongwu Liu and Kyeong Jin Kim and Kyung Sup Kwak}, title = {A Reconfigurable Digital Receiver for Transmitted Reference Pulse Cluster {UWB} Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {9}, pages = {4734--4740}, year = {2014}, url = {https://doi.org/10.1109/TVT.2014.2313337}, doi = {10.1109/TVT.2014.2313337}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/JinLKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/ChoHPLK14, author = {Chang{-}Woo Cho and Chung{-}Pyo Hong and Jin{-}Chun Piao and Yeong{-}Kyu Lim and Shin{-}Dug Kim}, title = {Performance optimization of 3D applications by OpenGL {ES} library hooking in mobile devices}, booktitle = {2014 {IEEE/ACIS} 13th International Conference on Computer and Information Science, {ICIS} 2014, Taiyuan, China, June 4-6, 2014}, pages = {471--476}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICIS.2014.6912179}, doi = {10.1109/ICIS.2014.6912179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/ChoHPLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HongKLLHKJ14, author = {Kwangjin Hong and Jinuk Kim and Hongwon Lee and Jihoon Lee and Jiwoong Heo and Sunchul Kim and Keechul Jung}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Data-Driven Computer Go Based on Hadoop}, booktitle = {28th International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2014 Workshops, Victoria, BC, Canada, May 13-16, 2014}, pages = {347--351}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WAINA.2014.61}, doi = {10.1109/WAINA.2014.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HongKLLHKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/ChuHJKKJC14, author = {Gilwhoan Chu and Jincheol Hong and Dong{-}Hyun Jeong and Dongsu Kim and Sooho Kim and Seungwoo Jeong and Junghoon Choo}, title = {The experiments of wearable robot for carrying heavy-weight objects of shipbuilding works}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {978--983}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CoASE.2014.6899445}, doi = {10.1109/COASE.2014.6899445}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/ChuHJKKJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HongK14, author = {Jin B. Hong and Dong Seong Kim}, title = {Scalable Security Models for Assessing Effectiveness of Moving Target Defenses}, booktitle = {44th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2014, Atlanta, GA, USA, June 23-26, 2014}, pages = {515--526}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSN.2014.54}, doi = {10.1109/DSN.2014.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/HongK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HongKH14, author = {Jin B. Hong and Dong Seong Kim and Abdelkrim Haqiq}, title = {What Vulnerability Do We Need to Patch First?}, booktitle = {44th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2014, Atlanta, GA, USA, June 23-26, 2014}, pages = {684--689}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSN.2014.68}, doi = {10.1109/DSN.2014.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/HongKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKKKLH14, author = {Haesoon Lee and Dongkyu Kim and Seokjung Kim and Jinmin Kim and Chungyong Lee and Daesik Hong}, title = {Rotated precoder based self-interference cancellation in full-duplex communication}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914367}, doi = {10.1109/ELINFOCOM.2014.6914367}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKKKLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OhLKCSK14, author = {Darong Oh and Suwon Lee and Jinhyuk Kim and Hongsoo Choi and Jong{-}Mo Seo and Kyo{-}In Koo}, title = {Magnetically guided micro-droplet using biological magnetic material for smart drug delivery system}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {1390--1393}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943859}, doi = {10.1109/EMBC.2014.6943859}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/OhLKCSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/KimT14, author = {Jin Ryong Kim and Hong Z. Tan}, title = {A study of touch typing performance with keyclick feedback}, booktitle = {{IEEE} Haptics Symposium, {HAPTICS} 2014, Houston, TX, USA, February 23-26, 2014}, pages = {227--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HAPTICS.2014.6775459}, doi = {10.1109/HAPTICS.2014.6775459}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haptics/KimT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/KimT14a, author = {Jin Ryong Kim and Hong Z. Tan}, editor = {Malika Auvray and Christian Duriez}, title = {Haptic Feedback Intensity Affects Touch Typing Performance on a Flat Keyboard}, booktitle = {Haptics: Neuroscience, Devices, Modeling, and Applications - 9th International Conference, EuroHaptics 2014, Versailles, France, June 24-26, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8618}, pages = {369--375}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44193-0\_46}, doi = {10.1007/978-3-662-44193-0\_46}, timestamp = {Thu, 23 Jun 2022 19:54:46 +0200}, biburl = {https://dblp.org/rec/conf/haptics/KimT14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChoHAK14, author = {Junghyun Cho and Yu{-}Jin Hong and Sang Chul Ahn and Ig{-}Jae Kim}, editor = {Norbert A. Streitz and Panos Markopoulos}, title = {Expression Recognition Driven Virtual Human Animation}, booktitle = {Distributed, Ambient, and Pervasive Interactions - Second International Conference, {DAPI} 2014, Held as Part of {HCI} Interational 2014, Heraklion, Crete, Greece, June 22-27, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8530}, pages = {89--96}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07788-8\_9}, doi = {10.1007/978-3-319-07788-8\_9}, timestamp = {Fri, 11 Jun 2021 15:56:14 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChoHAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HongKCK14, author = {Yu{-}Jin Hong and Jaewon Kim and Junghyun Cho and Ig{-}Jae Kim}, editor = {Masaaki Kurosu}, title = {3D Face-Aware Electronics with Low-Resolution Imaging}, booktitle = {Human-Computer Interaction. Theories, Methods, and Tools - 16th International Conference, {HCI} International 2014, Heraklion, Crete, Greece, June 22-27, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8510}, pages = {603--610}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07233-3\_55}, doi = {10.1007/978-3-319-07233-3\_55}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HongKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/MalikMKKKH14, author = {Saransh Malik and Sangmi Moon and Bora Kim and Cheol Hong Kim and Daejin Kim and Intae Hwang}, title = {Novel {MCS} Based Relay Protocols for Throughput Optimization Using {AMC} in LTE-Advanced System}, booktitle = {47th Hawaii International Conference on System Sciences, {HICSS} 2014, Waikoloa, HI, USA, January 6-9, 2014}, pages = {5114--5121}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HICSS.2014.629}, doi = {10.1109/HICSS.2014.629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/MalikMKKKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/SetiawanPKKJKYYH14, author = {Yuhanes Dedy Setiawan and Pandu Sandi Pratama and Jin Wook Kim and Dae Hwan Kim and Young Seok Jung and Sang Bong Kim and Suk Min Yoon and Tae Kyeong Yeo and Sup Hong}, title = {Path replanning and controller design for trajectory tracking of automated guided vehicles}, booktitle = {2014 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2014, Delhi, India, September 24-27, 2014}, pages = {771--777}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICACCI.2014.6968519}, doi = {10.1109/ICACCI.2014.6968519}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/SetiawanPKKJKYYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/KimCHCS14, author = {Sangpil Kim and Hyong Sig Cho and Seunghwan Hong and Han{-}Jin Cho and Hong{-}Gyoo Sohn}, title = {Genetic algorithm to find the shortest path on raster data}, booktitle = {The International Conference on Control, Automation and Information Sciences, {ICCAIS} 2014, Gwangju, South Korea, December 2-5, 2014}, pages = {144--147}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAIS.2014.7020547}, doi = {10.1109/ICCAIS.2014.7020547}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccais/KimCHCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/KimLPLS14, author = {Mi{-}Kyeong Kim and Bin Li and Je{-}Sung Park and Su{-}Jin Lee and Hong{-}Gyoo Sohn}, title = {Optimal locations of terrestrial laser scanner for indoor mapping using genetic algorithm}, booktitle = {The International Conference on Control, Automation and Information Sciences, {ICCAIS} 2014, Gwangju, South Korea, December 2-5, 2014}, pages = {140--143}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAIS.2014.7020546}, doi = {10.1109/ICCAIS.2014.7020546}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccais/KimLPLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimKP14, author = {Jin{-}Bum Kim and Hong{-}In Kim and Rae{-}Hong Park}, title = {Head pose estimation using a coplanar face model for human computer interaction}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {560--561}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6776133}, doi = {10.1109/ICCE.2014.6776133}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimKP14a, author = {Hong{-}In Kim and Jin{-}Bum Kim and Rae{-}Hong Park}, title = {A new iris circle localization method using multi-directional gradient filters for gaze control}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {568--569}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6776136}, doi = {10.1109/ICCE.2014.6776136}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimKP14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimPBHJ14, author = {Kwangsoo Kim and Dong{-}Hwan Park and Hyochan Bang and Geonsoo Hong and Seong{-}Il Jin}, title = {Smart coffee vending machine using sensor and actuator networks}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {71--72}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6775913}, doi = {10.1109/ICCE.2014.6775913}, timestamp = {Thu, 11 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimPBHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChunJSKK14, author = {Chan Jun Chun and Seok Hee Jeong and Jong Won Shin and Hong Kook Kim and Jin Ah Kang}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {Feasibility Study for Objective Measurement on Sound Localization Using Auditory Evoked Potential}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {610--613}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.158}, doi = {10.1109/IIH-MSP.2014.158}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChunJSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/OhLKP14, author = {Inn Yeal Oh and Hae Jin Lee and Hong Yi Kim and Chul Soon Park}, title = {mmWave mirror link between the mobile device and the public display in vehicles}, booktitle = {14th International Symposium on Communications and Information Technologies, {ISCIT} 2014, Incheon, South Korea, September 24-26, 2014}, pages = {540--541}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCIT.2014.7011972}, doi = {10.1109/ISCIT.2014.7011972}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iscit/OhLKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/YiKKJ14, author = {Chae Hong Yi and Jin Kim and Key Ho Kwon and Jae Wook Jeon}, title = {Method of software redundancy for home healthcare system based on {ISO} 26262}, booktitle = {14th International Symposium on Communications and Information Technologies, {ISCIT} 2014, Incheon, South Korea, September 24-26, 2014}, pages = {436--438}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCIT.2014.7011949}, doi = {10.1109/ISCIT.2014.7011949}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscit/YiKKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKCKJCKLSJCA14, author = {Sungdae Choi and Duckju Kim and Sungwook Choi and Byungryul Kim and Sunghyun Jung and Kichang Chun and Namkyeong Kim and Wanseob Lee and Taisik Shin and Hyunjong Jin and Hyunchul Cho and Sunghoon Ahn and Yonghwan Hong and Ingon Yang and Byoungyoung Kim and Pil{-}Seon Yoo and Youngdon Jung and Jinwoo Lee and Jae{-}Hyeon Shin and Taeyun Kim and Kunwoo Park and Jinwoong Kim}, title = {19.2 {A} 93.4mm\({}^{\mbox{2}}\) 64Gb {MLC} NAND-flash memory with 16nm {CMOS} technology}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {328--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757455}, doi = {10.1109/ISSCC.2014.6757455}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKCKJCKLSJCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKLPHBSCOY14, author = {Gyeonghoon Kim and Youchang Kim and Kyuho Jason Lee and Seongwook Park and Injoon Hong and Kyeongryeol Bong and Dongjoo Shin and Sungpill Choi and Jinwook Oh and Hoi{-}Jun Yoo}, title = {10.4 {A} 1.22TOPS and 1.52mW/MHz augmented reality multi-core processor with neural network NoC for {HMD} applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {182--183}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757391}, doi = {10.1109/ISSCC.2014.6757391}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKLPHBSCOY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKPKKPSCKK14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Hongjung Kim and Ju Young Kim and Young Jun Park and Jae Hwan Kim and Dae Suk Kim and Heat Bit Park and Jin Wook Shin and Jang Hwan Cho and Ki Hun Kwon and Min Jeong Kim and Jaejin Lee and Kunwoo Park and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.2 {A} 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory {(HBM)} stacked {DRAM} with effective microbump {I/O} test methods using 29nm process and {TSV}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {432--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757501}, doi = {10.1109/ISSCC.2014.6757501}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKPKKPSCKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/HongK14, author = {Seungpyo Hong and Jinwook Kim}, editor = {George Bebis and Richard Boyle and Bahram Parvin and Darko Koracin and Ryan P. McMahan and Jason Jerald and Hui Zhang and Steven Mark Drucker and Chandra Kambhamettu and Maha El Choubassi and Zhigang Deng and Mark Carlson}, title = {Pose-Aware Smoothing Filter for Depth Images}, booktitle = {Advances in Visual Computing - 10th International Symposium, {ISVC} 2014, Las Vegas, NV, USA, December 8-10, 2014, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8888}, pages = {662--670}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14364-4\_64}, doi = {10.1007/978-3-319-14364-4\_64}, timestamp = {Tue, 21 Mar 2023 20:54:36 +0100}, biburl = {https://dblp.org/rec/conf/isvc/HongK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jist/AhnIEZK14, author = {Jinhyun Ahn and Dong{-}Hyuk Im and Jae{-}Hong Eom and Nansu Zong and Hong{-}Gee Kim}, editor = {Thepchai Supnithi and Takahira Yamaguchi and Jeff Z. Pan and Vilas Wuwongse and Marut Buranarach}, title = {G-Diff: {A} Grouping Algorithm for {RDF} Change Detection on MapReduce}, booktitle = {Semantic Technology - 4th Joint International Conference, {JIST} 2014, Chiang Mai, Thailand, November 9-11, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8943}, pages = {230--235}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-15615-6\_17}, doi = {10.1007/978-3-319-15615-6\_17}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jist/AhnIEZK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/LeeNLK14, author = {Jinseok Lee and Hongjun Noh and Jaesung Lim and Kikeun Kim}, title = {Frequency Hopping Packing Algorithm for {MF-TDMA} with Various Types of Satellite Terminals}, booktitle = {2014 {IEEE} Military Communications Conference, {MILCOM} 2014, Baltimore, MD, USA, October 6-8, 2014}, pages = {592--596}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MILCOM.2014.105}, doi = {10.1109/MILCOM.2014.105}, timestamp = {Fri, 16 Sep 2022 20:28:33 +0200}, biburl = {https://dblp.org/rec/conf/milcom/LeeNLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/LuoDKYZJ14, author = {Haiming Luo and Hongwei Du and Donghyun Kim and Qiang Ye and Rongrong Zhu and Jinglan Jia}, title = {Imperfection Better Than Perfection: Beyond Optimal Lifetime Barrier Coverage in Wireless Sensor Networks}, booktitle = {10th International Conference on Mobile Ad-hoc and Sensor Networks, {MSN} 2014, Maui, HI, USA, December 19-21, 2014}, pages = {24--29}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MSN.2014.51}, doi = {10.1109/MSN.2014.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msn/LuoDKYZJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/SodsongHCLKB14, author = {Wasuwee Sodsong and Jingun Hong and Seongwook Chung and Yeong{-}Kyu Lim and Shin{-}Dug Kim and Bernd Burgstaller}, editor = {Pavan Balaji and Minyi Guo and Zhiyi Huang}, title = {Dynamic Partitioning-based {JPEG} Decompression on Heterogeneous Multicore Architectures}, booktitle = {Proceedings of the 2014 {PPOPP} International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2014, Orlando, Florida, USA, February 15, 2014}, pages = {80}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2578948.2560684}, doi = {10.1145/2578948.2560684}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/SodsongHCLKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HongHKK14, author = {Jiwon Hong and Won{-}Seok Hwang and Jin{-}Hyung Kim and Sang{-}Wook Kim}, editor = {Yookun Cho and Sung Y. Shin and Sang{-}Wook Kim and Chih{-}Cheng Hung and Jiman Hong}, title = {Context-aware music recommendation in mobile smart devices}, booktitle = {Symposium on Applied Computing, {SAC} 2014, Gyeongju, Republic of Korea - March 24 - 28, 2014}, pages = {1463--1468}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2554850.2554991}, doi = {10.1145/2554850.2554991}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/HongHKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartgreens/KimSSK14, author = {Wook Won Kim and Hong Yeul Shin and Je{-}Seok Shin and Jin O. Kim}, editor = {Markus Helfert and Karl{-}Heinz Krempels and Brian Donnellan}, title = {Battery Charge and Discharge Optimization for Vehicle-to-Grid Regulation Service}, booktitle = {{SMARTGREENS} 2014 - Proceedings of the 3rd International Conference on Smart Grids and Green {IT} Systems, Barcelona, Spain, 3-4 April, 2014}, pages = {113--117}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0004963101130117}, doi = {10.5220/0004963101130117}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartgreens/KimSSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soups/DasKDH14, author = {Sauvik Das and Tiffany Hyun{-}Jin Kim and Laura A. Dabbish and Jason I. Hong}, editor = {Lorrie Faith Cranor and Lujo Bauer and Robert Biddle}, title = {The Effect of Social Influence on Security Sensitivity}, booktitle = {Tenth Symposium on Usable Privacy and Security, {SOUPS} 2014, Menlo Park, CA, USA, July 9-11, 2014}, pages = {143--157}, publisher = {{USENIX} Association}, year = {2014}, timestamp = {Thu, 05 Sep 2024 15:37:23 +0200}, biburl = {https://dblp.org/rec/conf/soups/DasKDH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/KwonKPH14, author = {Jang Jin Kwon and Doohwan Kim and Jae Jin Park and Jang{-}Eui Hong}, title = {Collision Analysis of Safety Devices to Prevent Hazards in Safety Critical Systems}, booktitle = {Eighth International Conference on Software Security and Reliability, {SERE} 2014, San Francisco, California, USA, June 30 - July 2, 2014}, pages = {245--254}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SERE.2014.38}, doi = {10.1109/SERE.2014.38}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssiri/KwonKPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/HongEPK14, author = {Jin B. Hong and Taehoon Eom and Jong Sou Park and Dong Seong Kim}, title = {Scalable Security Analysis Using a Partition and Merge Approach in an Infrastructure as a Service Cloud}, booktitle = {2014 {IEEE} 11th Intl Conf on Ubiquitous Intelligence and Computing and 2014 {IEEE} 11th Intl Conf on Autonomic and Trusted Computing and 2014 {IEEE} 14th Intl Conf on Scalable Computing and Communications and Its Associated Workshops, Bali, Indonesia, December 9-12, 2014}, pages = {50--57}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom.2014.94}, doi = {10.1109/UIC-ATC-SCALCOM.2014.94}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/HongEPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimHKKKC14, author = {Sehoon Kim and Jin{-}Young Hong and Seil Kim and Sung{-}Hoon Kim and Jun{-}Hyung Kim and Jake Chun}, title = {Restful Design and Implementation of Smart Appliances for Smart Home}, booktitle = {2014 {IEEE} 11th Intl Conf on Ubiquitous Intelligence and Computing and 2014 {IEEE} 11th Intl Conf on Autonomic and Trusted Computing and 2014 {IEEE} 14th Intl Conf on Scalable Computing and Communications and Its Associated Workshops, Bali, Indonesia, December 9-12, 2014}, pages = {717--722}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom.2014.64}, doi = {10.1109/UIC-ATC-SCALCOM.2014.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/KimHKKKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLHSK14, author = {Young{-}Ho Choi and Jung{-}Woo Lee and Sung{-}Ho Hong and Jin{-}Ho Suh and Jong{-}Geol Kim}, title = {The development of the modular autonomous underwater navigation system based on OPRoS}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {625--628}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057481}, doi = {10.1109/URAI.2014.7057481}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ChoiLHSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKLBCJNLCH14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Kang Seol Lee and Sang Jin Byeon and Jin{-}Hee Cho and Han Ho Jin and Sang Kyun Nam and Jaejin Lee and Jun Hyun Chun and Sung{-}Joo Hong}, title = {An exact measurement and repair circuit of {TSV} connections for 128GB/s high-bandwidth memory(HBM) stacked {DRAM}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858368}, doi = {10.1109/VLSIC.2014.6858368}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKLBCJNLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkKSI14, author = {Eun{-}Yeong Park and Young{-}Jin Kim and Moon{-}Gun Song and Gi{-}Hong Im}, title = {MSE-Based Transceiver Design for Decentralized Transmit Power Minimization of Multi-Cell {MIMO} Cognitive Radio Systems}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7022993}, doi = {10.1109/VTCSPRING.2014.7022993}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkKSI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GillinghamCCKMOPS13, author = {Peter Gillingham and David Chinn and Eric Choi and Jin{-}Ki Kim and Don MacDonald and Hakjune Oh and Hong{-}Beom Pyeon and Roland Schuetz}, title = {800 MB/s {DDR} {NAND} Flash Memory Multi-Chip Package With Source-Synchronous Interface for Point-to-Point Ring Topology}, journal = {{IEEE} Access}, volume = {1}, pages = {811--816}, year = {2013}, url = {https://doi.org/10.1109/ACCESS.2013.2294433}, doi = {10.1109/ACCESS.2013.2294433}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GillinghamCCKMOPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimSBS13, author = {Hongkeun Kim and Hyungbo Shim and Juhoon Back and Jin Heon Seo}, title = {Consensus of output-coupled linear multi-agent systems under fast switching network: Averaging approach}, journal = {Autom.}, volume = {49}, number = {1}, pages = {267--272}, year = {2013}, url = {https://doi.org/10.1016/j.automatica.2012.09.025}, doi = {10.1016/J.AUTOMATICA.2012.09.025}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/KimSBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/HongK13, author = {Jinpyo Hong and Hwangnam Kim}, title = {A Dual Mobility Model with User Profiling: Decoupling User Mobile Patterns from Association Patterns}, journal = {Comput. J.}, volume = {56}, number = {6}, pages = {771--784}, year = {2013}, url = {https://doi.org/10.1093/comjnl/bxs142}, doi = {10.1093/COMJNL/BXS142}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/HongK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/CambriaHKZVLYCLLLFOLALCNMGZDYMOJTTKYCL13, author = {Erik Cambria and Guang{-}Bin Huang and Liyanaarachchi Lekamalage Chamara Kasun and Hongming Zhou and Chi{-}Man Vong and Jiarun Lin and Jianping Yin and Zhiping Cai and Qiang Liu and Kuan Li and Victor C. M. Leung and Liang Feng and Yew{-}Soon Ong and Meng{-}Hiot Lim and Anton Akusok and Amaury Lendasse and Francesco Corona and Rui Nian and Yoan Miche and Paolo Gastaldo and Rodolfo Zunino and Sergio Decherchi and Xuefeng Yang and Kezhi Mao and Beom{-}Seok Oh and Je{-}Hyoung Jeon and Kar{-}Ann Toh and Andrew Beng Jin Teoh and Jaihie Kim and Hanchao Yu and Yiqiang Chen and Junfa Liu}, title = {Extreme Learning Machines}, journal = {{IEEE} Intell. Syst.}, volume = {28}, number = {6}, pages = {30--59}, year = {2013}, url = {https://doi.org/10.1109/MIS.2013.140}, doi = {10.1109/MIS.2013.140}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/CambriaHKZVLYCLLLFOLALCNMGZDYMOJTTKYCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HongJKC13, author = {Soongi Hong and Hong Lin Jin and Yong{-}goo Kim and Yoonsik Choe}, title = {Variable-Length Code Based on Order Complexity and Its Application in Random Permuted Symbol}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {7}, pages = {1657--1661}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.1657}, doi = {10.1587/TRANSFUN.E96.A.1657}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HongJKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KimH13, author = {Kyong{-}jin Kim and Seng{-}Phil Hong}, title = {Privacy Care Architecture in Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/369502}, doi = {10.1155/2013/369502}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/KimH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KimPJHKK13, author = {Kyungmin Kim and Kwang{-}Il Park and Yewon Jeong and June Seok Hong and Hak{-}Jin Kim and Wooju Kim}, title = {{HMM} and Rule-Based Hybrid Intruder Detection Approach by Synthesizing Decisions of Sensors}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/503965}, doi = {10.1155/2013/503965}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KimPJHKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HongKC13, author = {Jung{-}Hyun Hong and Won{-}Jin Kim and Ki{-}Seok Chung}, title = {A Parallelization Technique with Integrated Multi-Threading for Video Decoding on Multi-core Systems}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {7}, number = {10}, pages = {2479--2496}, year = {2013}, url = {https://doi.org/10.3837/tiis.2013.10.009}, doi = {10.3837/TIIS.2013.10.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/HongKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LakdawalaSFLDTBALNLRSCKVPKVSVRJRYS13, author = {Hasnain Lakdawala and Mark Schaecher and Chang{-}Tsung Fu and Rahul Dilip Limaye and Jon Duster and Yulin Tan and Ajay Balankutty and Erkan Alpman and Chun C. Lee and Khoa Minh Nguyen and Hyung{-}Jin Lee and Ashoke Ravi and Satoshi Suzuki and Brent R. Carlton and Hyung Seok Kim and Marian Verhelst and Stefano Pellerano and Tong Kim and Satish Venkatesan and Durgesh Srivastava and Peter Vandervoorn and Jad Rizk and Chia{-}Hong Jan and Sunder Ramamurthy and Raj Yavatkar and Krishnamurthy Soumyanath}, title = {A 32 nm SoC With Dual Core {ATOM} Processor and {RF} WiFi Transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {91--103}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2222812}, doi = {10.1109/JSSC.2012.2222812}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LakdawalaSFLDTBALNLRSCKVPKVSVRJRYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPHLKWY13, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 320 mW 342 {GOPS} Real-Time Dynamic Object Recognition Processor for {HD} 720p Video Streams}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {33--45}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2220651}, doi = {10.1109/JSSC.2012.2220651}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhKPHLKWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShinGLDCSSJPETKMKDGM13, author = {Jinuk Luke Shin and Robert T. Golla and Hongping Penny Li and Sudesna Dash and Youngmoon Choi and Alan P. Smith and Harikaran Sathianathan and Mayur Joshi and Heechoul Park and Mohamed Elgebaly and Sebastian Turullols and Song Kim and Robert P. Masleid and Georgios K. Konstadinidis and Mary Jo Doherty and Greg Grohoski and Curtis McAllister}, title = {The Next Generation 64b {SPARC} Core in a {T4} SoC Processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {82--90}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2223036}, doi = {10.1109/JSSC.2012.2223036}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShinGLDCSSJPETKMKDGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ParkKPPH13, author = {Jin{-}Hong Park and Il{-}San Kim and Woo{-}Chan Park and Yong{-}Jin Park and Tack{-}Don Han}, title = {A pixel pipeline architecture with selective z-test scheme for 3D graphics processors}, journal = {Microprocess. Microsystems}, volume = {37}, number = {3}, pages = {373--380}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2012.06.001}, doi = {10.1016/J.MICPRO.2012.06.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/ParkKPPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/YoonKY13, author = {Ju Hong Yoon and Du Yong Kim and Kuk{-}Jin Yoon}, title = {Gaussian mixture importance sampling function for unscented {SMC-PHD} filter}, journal = {Signal Process.}, volume = {93}, number = {9}, pages = {2664--2670}, year = {2013}, url = {https://doi.org/10.1016/j.sigpro.2013.03.004}, doi = {10.1016/J.SIGPRO.2013.03.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/YoonKY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimLSI13, author = {Young{-}Jin Kim and Hyoung{-}Jin Lim and Moon{-}Gun Song and Gi{-}Hong Im}, title = {Power Efficient Transceiver Designs for Multi-Cell Coordination in {MIMO} Cognitive Radio Networks}, journal = {{IEEE} Trans. Commun.}, volume = {61}, number = {10}, pages = {4127--4138}, year = {2013}, url = {https://doi.org/10.1109/TCOMM.2013.090513.120403}, doi = {10.1109/TCOMM.2013.090513.120403}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimLSI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeKJLY13, author = {Jang{-}Woo Lee and Hong{-}Jung Kim and Chun{-}Seok Jeong and Jae{-}Jin Lee and Changsik Yoo}, title = {Skew Compensation Technique for Source-Synchronous Parallel {DRAM} Interface}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {11}, pages = {2155--2159}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2227853}, doi = {10.1109/TVLSI.2012.2227853}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeKJLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KimSCI13, author = {Young{-}Jin Kim and Moon{-}Gun Song and Yong{-}Sang Cho and Gi{-}Hong Im}, title = {Decentralized Beamformer Design with Limited Multi-Cell Cooperation for Interference Channel of Cognitive Radio Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {12}, number = {8}, pages = {4142--4152}, year = {2013}, url = {https://doi.org/10.1109/TWC.2013.071913.121996}, doi = {10.1109/TWC.2013.071913.121996}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KimSCI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/KimH13, author = {Byoung{-}Il Kim and Jin Hong}, editor = {Colin Boyd and Leonie Simpson}, title = {Analysis of the Non-perfect Table Fuzzy Rainbow Tradeoff}, booktitle = {Information Security and Privacy - 18th Australasian Conference, {ACISP} 2013, Brisbane, Australia, July 1-3, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7959}, pages = {347--362}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39059-3\_24}, doi = {10.1007/978-3-642-39059-3\_24}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/acisp/KimH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/MalikMKJKKH13, author = {Saransh Malik and Sangmi Moon and Bora Kim and Noori Jun and Cheol Hong Kim and Daejin Kim and Intae Hwang}, title = {Precoding optimization for Interference Cancellation in multiuser relay networks for LTE-advanced}, booktitle = {10th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {737--740}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCNC.2013.6488538}, doi = {10.1109/CCNC.2013.6488538}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/MalikMKJKKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/HongK13, author = {Jin B. Hong and Dong Seong Kim}, title = {Scalable security analysis in hierarchical attack representation model using centrality measures}, booktitle = {43rd Annual {IEEE/IFIP} Conference on Dependable Systems and Networks Workshop, {DSN} Workshops 2013, Budapest, Hungary, June 24-27, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSNW.2013.6615507}, doi = {10.1109/DSNW.2013.6615507}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/HongK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fc/KimGGHP13, author = {Tiffany Hyun{-}Jin Kim and Virgil D. Gligor and Jorge Guajardo and Jason I. Hong and Adrian Perrig}, editor = {Andrew A. Adams and Michael Brenner and Matthew Smith}, title = {Soulmate or Acquaintance? Visualizing Tie Strength for Trust Inference}, booktitle = {Financial Cryptography and Data Security - {FC} 2013 Workshops, {USEC} and {WAHC} 2013, Okinawa, Japan, April 1, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7862}, pages = {112--130}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41320-9\_8}, doi = {10.1007/978-3-642-41320-9\_8}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fc/KimGGHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fc/KimYGHP13, author = {Tiffany Hyun{-}Jin Kim and Akira Yamada and Virgil D. Gligor and Jason I. Hong and Adrian Perrig}, editor = {Ahmad{-}Reza Sadeghi}, title = {RelationGram: Tie-Strength Visualization for User-Controlled Online Identity Authentication}, booktitle = {Financial Cryptography and Data Security - 17th International Conference, {FC} 2013, Okinawa, Japan, April 1-5, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7859}, pages = {69--77}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39884-1\_7}, doi = {10.1007/978-3-642-39884-1\_7}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fc/KimYGHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NahLKLHYL13, author = {Jeongho Nah and Jun Lee and Hongjune Kim and Jinseok Lee and Seok Joong Hwang and Donghoon Yoo and Jaejin Lee}, title = {An OpenCL optimizing compiler for reconfigurable processors}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {184--191}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718351}, doi = {10.1109/FPT.2013.6718351}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NahLKLHYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/KimK13, author = {Jin{-}Hong Kim and Seung{-}Cheon Kim}, editor = {James J. Park and Hamid R. Arabnia and Cheonshik Kim and Weisong Shi and Joon{-}Min Gil}, title = {Adaptive Smart Vehicle Middleware Platform for Aspect Oriented Software Engineering}, booktitle = {Grid and Pervasive Computing - 8th International Conference, {GPC} 2013 and Colocated Workshops, Seoul, Korea, May 9-11, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7861}, pages = {659--664}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38027-3\_71}, doi = {10.1007/978-3-642-38027-3\_71}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/gpc/KimK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/KimK13a, author = {Jin{-}Hong Kim and Seung{-}Cheon Kim}, editor = {James J. Park and Hamid R. Arabnia and Cheonshik Kim and Weisong Shi and Joon{-}Min Gil}, title = {Toward Hybrid Model for Architecture-Oriented Semantic Schema of Self-adaptive System}, booktitle = {Grid and Pervasive Computing - 8th International Conference, {GPC} 2013 and Colocated Workshops, Seoul, Korea, May 9-11, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7861}, pages = {832--837}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38027-3\_94}, doi = {10.1007/978-3-642-38027-3\_94}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gpc/KimK13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YangKSK13, author = {Ungyeon Yang and Namkyu Kim and Jinseok Seo and Ki{-}Hong Kim}, title = {Seamlessly expanded natural viewing area of Stereoscopic 3D display system}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {167--168}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486842}, doi = {10.1109/ICCE.2013.6486842}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YangKSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/KimKCSKKL13, author = {Yongjune Kim and B. V. K. Vijaya Kumar and Kyoung Lae Cho and Hongrak Son and Jaehong Kim and Jun Jin Kong and Jaejin Lee}, title = {Modulation coding for flash memories}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2013, San Diego, CA, USA, January 28-31, 2013}, pages = {961--967}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCNC.2013.6504220}, doi = {10.1109/ICCNC.2013.6504220}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/KimKCSKKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/NguyenHK13, author = {Hoang{-}Phong Nguyen and Seungpyo Hong and Jinwook Kim}, title = {Hierarchical OBB-sphere tree for large-scale range data management}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {839--843}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738173}, doi = {10.1109/ICIP.2013.6738173}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/NguyenHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YoonYK13, author = {Ju Hong Yoon and Kuk{-}Jin Yoon and Du Yong Kim}, title = {Multi-object tracking using hybrid observation in {PHD} filter}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {3890--3894}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738801}, doi = {10.1109/ICIP.2013.6738801}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/YoonYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/JungKKCPRP13, author = {Younho Jung and Cheol Hong Kim and Jinsul Kim and Su{-}il Choi and PyungKoo Park and Ho Yong Ryu and Jaehyung Park}, title = {A Residual Power Balancing Routing by Traffic-Splitting Transmission in Mobile Ad-Hoc Networks}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579359}, doi = {10.1109/ICISA.2013.6579359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/JungKKCPRP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/HongSKL13, author = {Jin{-}A. Hong and Sangmin Seo and Namgi Kim and Byoung{-}Dai Lee}, title = {A study of secure data transmissions in mobile cloud computing from the energy consumption side}, booktitle = {The International Conference on Information Networking 2013, {ICOIN} 2013, Bangkok, Thailand, January 28-30, 2013}, pages = {250--255}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICOIN.2013.6496385}, doi = {10.1109/ICOIN.2013.6496385}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/HongSKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKC13, author = {Heonjin Hong and Jongho Kim and Youngjun Chong}, title = {Measurement and analysis of noise at {UHF} band in Korea}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {856--857}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675500}, doi = {10.1109/ICTC.2013.6675500}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKPH13, author = {Junghak Kim and Seungchul Kim and Sangtaick Park and Jinwoo Hong}, title = {Home appliances controlling through Smart {TV} set-top box with screen-mirroring remote controller}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {1009--1012}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675541}, doi = {10.1109/ICTC.2013.6675541}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimKPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPH13, author = {Seungchul Kim and Sangtaick Park and Jinwoo Hong}, title = {{GUI} screen-sharing smart remote control for smart {TV} user interface}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {711--713}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675458}, doi = {10.1109/ICTC.2013.6675458}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPPKNS13, author = {Jung{-}Hyun Kim and Jin Soo Park and Ki{-}Hyeon Park and Inseon Kim and Mi{-}Young Nam and Hong{-}Yeop Song}, title = {Reliability comparison of various regenerating codes for cloud services}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {649--653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675444}, doi = {10.1109/ICTC.2013.6675444}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimPPKNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkPKKSL13, author = {Ki{-}Hyeon Park and Jin Soo Park and Jung{-}Hyun Kim and Inseon Kim and Hong{-}Yeop Song and Jang Yong Lee}, title = {Performance comparison of {LDPC} convolutional codes for memory size and encoder block size}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {512--515}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675408}, doi = {10.1109/ICTC.2013.6675408}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkPKKSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/HongKKKKS13, author = {Seung Ho Hong and Se Hwan Kim and Jin Ho Kim and Yun Gi Kim and Gi Myung Kim and Won Seok Song}, title = {Integrated BACnet-ZigBee communication for building energy management system}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {5723--5728}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IECON.2013.6700072}, doi = {10.1109/IECON.2013.6700072}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/HongKKKKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-1/ParkPPK13, author = {Hong{-}Seok Park and Jin{-}Woo Park and Myon{-}Woong Park and Jae{-}Kwan Kim}, editor = {Alain Bernard and Louis Rivest and Debasish Dutta}, title = {Development of Automatic Assembly Sequence Generating System Based on the New Type of Parts Liaison Graph}, booktitle = {Product Lifecycle Management for Society - 10th {IFIP} {WG} 5.1 International Conference, {PLM} 2013, Nantes, France, July 6-10, 2013, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {409}, pages = {540--549}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41501-2\_54}, doi = {10.1007/978-3-642-41501-2\_54}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-1/ParkPPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HongSLKW13, author = {Seok Jin Hong and Soo{-}Cheol Shin and Hee{-}Jun Lee and Hak{-}Sung Kim and Chung{-}Yuen Won}, title = {{DC} distribution system integrated {BESS} for commercial building applications}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563784}, doi = {10.1109/ISIE.2013.6563784}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/HongSLKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.