Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Jim Huang"
@article{DBLP:journals/cbm/JahanLPH24, author = {Israt Jahan and Md. Tahmid Rahman Laskar and Chun Peng and Jimmy Xiangji Huang}, title = {A comprehensive evaluation of large Language models on benchmark biomedical text processing tasks}, journal = {Comput. Biol. Medicine}, volume = {171}, pages = {108189}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108189}, doi = {10.1016/J.COMPBIOMED.2024.108189}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/JahanLPH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/HuangTZ24, author = {Jim Junhui Huang and Charmaine Xinying Tan and Weibiao Zhou}, title = {Universal modeling for optimizing leafy vegetable production in an environment-controlled vertical farm}, journal = {Comput. Electron. Agric.}, volume = {219}, pages = {108715}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.108715}, doi = {10.1016/J.COMPAG.2024.108715}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/HuangTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/PanLLPHH24, author = {Min Pan and Teng Li and Yu Liu and Quanli Pei and Ellen Anne Huang and Jimmy X. Huang}, title = {A semantically enhanced text retrieval framework with abstractive summarization}, journal = {Comput. Intell.}, volume = {40}, number = {1}, year = {2024}, url = {https://doi.org/10.1111/coin.12603}, doi = {10.1111/COIN.12603}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/PanLLPHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/PanZLLPHH24, author = {Min Pan and Shuting Zhou and Teng Li and Yu Liu and Quanli Pei and Angela J. Huang and Jimmy X. Huang}, title = {Utilizing passage-level relevance and kernel pooling for enhancing BERT-based document reranking}, journal = {Comput. Intell.}, volume = {40}, number = {3}, year = {2024}, url = {https://doi.org/10.1111/coin.12656}, doi = {10.1111/COIN.12656}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/PanZLLPHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/WangHTWHLB24, author = {Jiajia Wang and Jimmy Xiangji Huang and Xinhui Tu and Junmei Wang and Angela Jennifer Huang and Md. Tahmid Rahman Laskar and Amran Bhuiyan}, title = {Utilizing {BERT} for Information Retrieval: Survey, Applications, Resources, and Challenges}, journal = {{ACM} Comput. Surv.}, volume = {56}, number = {7}, pages = {185:1--185:33}, year = {2024}, url = {https://doi.org/10.1145/3648471}, doi = {10.1145/3648471}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/WangHTWHLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/BhuiyanHA24, author = {Amran Bhuiyan and Jimmy Xiangji Huang and Aijun An}, title = {{IGMG:} Instance-guided multi-granularity for domain generalizable person re-identification}, journal = {Comput. Vis. Image Underst.}, volume = {240}, pages = {103905}, year = {2024}, url = {https://doi.org/10.1016/j.cviu.2023.103905}, doi = {10.1016/J.CVIU.2023.103905}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cviu/BhuiyanHA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/ChaiH24, author = {Sheng Chai and Jimmy Huang}, title = {Dependent Task Scheduling Using Parallel Deep Neural Networks in Mobile Edge Computing}, journal = {J. Grid Comput.}, volume = {22}, number = {1}, pages = {27}, year = {2024}, url = {https://doi.org/10.1007/s10723-024-09744-8}, doi = {10.1007/S10723-024-09744-8}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/grid/ChaiH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HuangWLLZ24, author = {Fanjing Huang and Panlong Wu and Xingxiu Li and Jimin Li and Ruohan Zhao}, title = {Adaptive event-triggered pseudolinear consensus filter for multi-UAVs bearings-only target tracking}, journal = {Neurocomputing}, volume = {571}, pages = {127127}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2023.127127}, doi = {10.1016/J.NEUCOM.2023.127127}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/HuangWLLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZengLJLGWLLIH24, author = {Qunsong Zeng and Jiawei Liu and Mingrui Jiang and Jun Lan and Yi Gong and Zhongrui Wang and Yida Li and Can Li and Jim Ignowski and Kaibin Huang}, title = {Realizing In-Memory Baseband Processing for Ultrafast and Energy-Efficient 6G}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {3}, pages = {5169--5183}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3307405}, doi = {10.1109/JIOT.2023.3307405}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ZengLJLGWLLIH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/ZhangZXH24, author = {Yi Zhang and Guangyou Zhou and Zhiwen Xie and Jimmy Xiangji Huang}, title = {Number-enhanced representation with hierarchical recursive tree decoding for math word problem solving}, journal = {Inf. Process. Manag.}, volume = {61}, number = {2}, pages = {103585}, year = {2024}, url = {https://doi.org/10.1016/j.ipm.2023.103585}, doi = {10.1016/J.IPM.2023.103585}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/ZhangZXH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/BaiHPWYORP24, author = {Xinzhu Bai and Yanping Huang and Hong Peng and Jun Wang and Qian Yang and David Orellana{-}Mart{\'{\i}}n and Antonio Ram{\'{\i}}rez{-}de{-}Arellano and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez}, title = {Sequence recommendation using multi-level self-attention network with gated spiking neural {P} systems}, journal = {Inf. Sci.}, volume = {656}, pages = {119916}, year = {2024}, url = {https://doi.org/10.1016/j.ins.2023.119916}, doi = {10.1016/J.INS.2023.119916}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/BaiHPWYORP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WuLHW24, author = {Jimmy Ming{-}Tai Wu and Wen{-}Yu Lin and Ko{-}Wei Huang and Mu{-}En Wu}, title = {On the design of searching algorithm for parameter plateau in quantitative trading strategies using particle swarm optimization}, journal = {Knowl. Based Syst.}, volume = {293}, pages = {111630}, year = {2024}, url = {https://doi.org/10.1016/j.knosys.2024.111630}, doi = {10.1016/J.KNOSYS.2024.111630}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WuLHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangWPMNRBM24, author = {Jiandong Huang and Hui Wang and Ultan F. Power and James A. D. McLaughlin and Chris D. Nugent and Enayetur Rahman and Judit Barabas and Paul Maguire}, title = {Detecting Respiratory Viruses Using a Portable {NIR} Spectrometer - {A} Preliminary Exploration with a Data Driven Approach}, journal = {Sensors}, volume = {24}, number = {1}, pages = {308}, year = {2024}, url = {https://doi.org/10.3390/s24010308}, doi = {10.3390/S24010308}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangWPMNRBM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sivp/SaZZSDH24, author = {Jiming Sa and Xuecheng Zhang and Chi Zhang and Yuyan Song and Liwei Ding and Yechen Huang}, title = {Depth grid-based local description for 3D point clouds}, journal = {Signal Image Video Process.}, volume = {18}, number = {5}, pages = {4085--4102}, year = {2024}, url = {https://doi.org/10.1007/s11760-024-03056-w}, doi = {10.1007/S11760-024-03056-W}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sivp/SaZZSDH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/HuangCBDTX24, author = {He Huang and Shigang Chen and Ran Ben Basat and Haipeng Dai and Amirhosein Taherkordi and Jun Xu}, title = {Guest Editorial Introduction to the Special Section on Next-Generation Traffic Measurement With Network-Wide Perspective and Artificial Intelligence}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {11}, number = {3}, pages = {2332--2337}, year = {2024}, url = {https://doi.org/10.1109/TNSE.2024.3389428}, doi = {10.1109/TNSE.2024.3389428}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/HuangCBDTX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wias/HuangH24, author = {Yizheng Huang and Jimmy X. Huang}, title = {Exploring ChatGPT for next-generation information retrieval: Opportunities and challenges}, journal = {Web Intell.}, volume = {22}, number = {1}, pages = {31--44}, year = {2024}, url = {https://doi.org/10.3233/WEB-230363}, doi = {10.3233/WEB-230363}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wias/HuangH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/YangZKXHA24, author = {Kailai Yang and Tianlin Zhang and Ziyan Kuang and Qianqian Xie and Jimin Huang and Sophia Ananiadou}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {MentaLLaMA: Interpretable Mental Health Analysis on Social Media with Large Language Models}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {4489--4500}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3648137}, doi = {10.1145/3589334.3648137}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/YangZKXHA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/YuanXHA24, author = {Chenhan Yuan and Qianqian Xie and Jimin Huang and Sophia Ananiadou}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {Back to the Future: Towards Explainable Temporal Reasoning with Large Language Models}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {1963--1974}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645376}, doi = {10.1145/3589334.3645376}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/YuanXHA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10822, author = {Boxiao Pan and Zhan Xu and Chun{-}Hao Paul Huang and Krishna Kumar Singh and Yang Zhou and Leonidas J. Guibas and Jimei Yang}, title = {ActAnywhere: Subject-Aware Video Background Generation}, journal = {CoRR}, volume = {abs/2401.10822}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10822}, doi = {10.48550/ARXIV.2401.10822}, eprinttype = {arXiv}, eprint = {2401.10822}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01077, author = {Jiaqi Wang and Junyu Luo and Muchao Ye and Xiaochen Wang and Yuan Zhong and Aofei Chang and Guanjie Huang and Ziyi Yin and Cao Xiao and Jimeng Sun and Fenglong Ma}, title = {Recent Advances in Predictive Modeling with Electronic Health Records}, journal = {CoRR}, volume = {abs/2402.01077}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01077}, doi = {10.48550/ARXIV.2402.01077}, eprinttype = {arXiv}, eprint = {2402.01077}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07405, author = {Xiao Zhang and Ruoyu Xiang and Chenhan Yuan and Duanyu Feng and Weiguang Han and Alejandro Lopez{-}Lira and Xiao{-}Yang Liu and Sophia Ananiadou and Min Peng and Jimin Huang and Qianqian Xie}, title = {D{\'{o}}lares or Dollars? Unraveling the Bilingual Prowess of Financial LLMs Between Spanish and English}, journal = {CoRR}, volume = {abs/2402.07405}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07405}, doi = {10.48550/ARXIV.2402.07405}, eprinttype = {arXiv}, eprint = {2402.07405}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07405.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07595, author = {Yuning Huang and Jingchen Zou and Lanxi Meng and Xin Yue and Qing Zhao and Jianqiang Li and Changwei Song and Gabriel Jimenez and Shaowu Li and Guanghui Fu}, title = {Comparative Analysis of ImageNet Pre-Trained Deep Learning Models and DINOv2 in Medical Imaging Classification}, journal = {CoRR}, volume = {abs/2402.07595}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07595}, doi = {10.48550/ARXIV.2402.07595}, eprinttype = {arXiv}, eprint = {2402.07595}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07595.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11203, author = {Yizheng Huang and Jimmy Huang}, title = {Exploring ChatGPT for Next-generation Information Retrieval: Opportunities and Challenges}, journal = {CoRR}, volume = {abs/2402.11203}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11203}, doi = {10.48550/ARXIV.2402.11203}, eprinttype = {arXiv}, eprint = {2402.11203}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11203.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12659, author = {Qianqian Xie and Weiguang Han and Zhengyu Chen and Ruoyu Xiang and Xiao Zhang and Yueru He and Mengxi Xiao and Dong Li and Yongfu Dai and Duanyu Feng and Yijing Xu and Haoqiang Kang and Ziyan Kuang and Chenhan Yuan and Kailai Yang and Zheheng Luo and Tianlin Zhang and Zhiwei Liu and Guojun Xiong and Zhiyang Deng and Yuechen Jiang and Zhiyuan Yao and Haohang Li and Yangyang Yu and Gang Hu and Jiajia Huang and Xiao{-}Yang Liu and Alejandro Lopez{-}Lira and Benyou Wang and Yanzhao Lai and Hao Wang and Min Peng and Sophia Ananiadou and Jimin Huang}, title = {The FinBen: An Holistic Financial Benchmark for Large Language Models}, journal = {CoRR}, volume = {abs/2402.12659}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12659}, doi = {10.48550/ARXIV.2402.12659}, eprinttype = {arXiv}, eprint = {2402.12659}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12659.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12749, author = {Qianqian Xie and Qingyu Chen and Aokun Chen and Cheng Peng and Yan Hu and Fongci Lin and Xueqing Peng and Jimin Huang and Jeffrey Zhang and Vipina Kuttichi Keloth and Xingyu Zhou and Huan He and Lucila Ohno{-}Machido and Yonghui Wu and Hua Xu and Jiang Bian}, title = {Me LLaMA: Foundation Large Language Models for Medical Applications}, journal = {CoRR}, volume = {abs/2402.12749}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12749}, doi = {10.48550/ARXIV.2402.12749}, eprinttype = {arXiv}, eprint = {2402.12749}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00784, author = {Jiajia Wang and Jimmy X. Huang and Xinhui Tu and Junmei Wang and Angela Jennifer Huang and Md. Tahmid Rahman Laskar and Amran Bhuiyan}, title = {Utilizing {BERT} for Information Retrieval: Survey, Applications, Resources, and Challenges}, journal = {CoRR}, volume = {abs/2403.00784}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00784}, doi = {10.48550/ARXIV.2403.00784}, eprinttype = {arXiv}, eprint = {2403.00784}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00784.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05574, author = {Mengxi Xiao and Qianqian Xie and Ziyan Kuang and Zhicheng Liu and Kailai Yang and Min Peng and Weiguang Han and Jimin Huang}, title = {HealMe: Harnessing Cognitive Reframing in Large Language Models for Psychotherapy}, journal = {CoRR}, volume = {abs/2403.05574}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05574}, doi = {10.48550/ARXIV.2403.05574}, eprinttype = {arXiv}, eprint = {2403.05574}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05574.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06249, author = {Gang Hu and Ke Qin and Chenhan Yuan and Min Peng and Alejandro Lopez{-}Lira and Benyou Wang and Sophia Ananiadou and Wanlong Yu and Jimin Huang and Qianqian Xie}, title = {No Language is an Island: Unifying Chinese and English in Financial Large Language Models, Instruction Data, and Benchmarks}, journal = {CoRR}, volume = {abs/2403.06249}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06249}, doi = {10.48550/ARXIV.2403.06249}, eprinttype = {arXiv}, eprint = {2403.06249}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06249.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17141, author = {Kailai Yang and Zhiwei Liu and Qianqian Xie and Tianlin Zhang and Nirui Song and Jimin Huang and Ziyan Kuang and Sophia Ananiadou}, title = {MetaAligner: Conditional Weak-to-Strong Correction for Generalizable Multi-Objective Alignment of Language Models}, journal = {CoRR}, volume = {abs/2403.17141}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17141}, doi = {10.48550/ARXIV.2403.17141}, eprinttype = {arXiv}, eprint = {2403.17141}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-03566, author = {Zixuan Huang and Justin Johnson and Shoubhik Debnath and James M. Rehg and Chao{-}Yuan Wu}, title = {PointInfinity: Resolution-Invariant Point Diffusion Models}, journal = {CoRR}, volume = {abs/2404.03566}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.03566}, doi = {10.48550/ARXIV.2404.03566}, eprinttype = {arXiv}, eprint = {2404.03566}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-03566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04120, author = {Rui Wang and Chuanfu Shen and Manuel J. Mar{\'{\i}}n{-}Jim{\'{e}}nez and George Q. Huang and Shiqi Yu}, title = {Cross-Modality Gait Recognition: Bridging LiDAR and Camera Modalities for Human Identification}, journal = {CoRR}, volume = {abs/2404.04120}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04120}, doi = {10.48550/ARXIV.2404.04120}, eprinttype = {arXiv}, eprint = {2404.04120}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-05386, author = {Ming Li and Lin Li and Xiaohui Tao and Jimmy Xiangji Huang}, title = {MealRec\({}^{\mbox{+}}\): {A} Meal Recommendation Dataset with Meal-Course Affiliation for Personalization and Healthiness}, journal = {CoRR}, volume = {abs/2404.05386}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.05386}, doi = {10.48550/ARXIV.2404.05386}, eprinttype = {arXiv}, eprint = {2404.05386}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-05386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10981, author = {Yizheng Huang and Jimmy Huang}, title = {A Survey on Retrieval-Augmented Text Generation for Large Language Models}, journal = {CoRR}, volume = {abs/2404.10981}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10981}, doi = {10.48550/ARXIV.2404.10981}, eprinttype = {arXiv}, eprint = {2404.10981}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-15807, author = {Zhiwen Xie and Yi Zhang and Guangyou Zhou and Jin Liu and Xinhui Tu and Jimmy Xiangji Huang}, title = {One Subgraph for All: Efficient Reasoning on Opening Subgraphs for Inductive Knowledge Graph Completion}, journal = {CoRR}, volume = {abs/2404.15807}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.15807}, doi = {10.48550/ARXIV.2404.15807}, eprinttype = {arXiv}, eprint = {2404.15807}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-15807.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-19143, author = {Lexiang Huang and Anjaly Parayil and Jue Zhang and Xiaoting Qin and Chetan Bansal and Jovan Stojkovic and Pantea Zardoshti and Pulkit A. Misra and Eli Cortez and Raphael Ghelman and {\'{I}}{\~{n}}igo Goiri and Saravan Rajmohan and Jim Kleewein and Rodrigo Fonseca and Timothy Zhu and Ricardo Bianchini}, title = {Workload Intelligence: Punching Holes Through the Cloud Abstraction}, journal = {CoRR}, volume = {abs/2404.19143}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.19143}, doi = {10.48550/ARXIV.2404.19143}, eprinttype = {arXiv}, eprint = {2404.19143}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-19143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05562, author = {Emrul Hasan and Mizanur Rahman and Chen Ding and Jimmy Xiangji Huang and Shaina Raza}, title = {Review-based Recommender Systems: {A} Survey of Approaches, Challenges and Future Perspectives}, journal = {CoRR}, volume = {abs/2405.05562}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05562}, doi = {10.48550/ARXIV.2405.05562}, eprinttype = {arXiv}, eprint = {2405.05562}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05562.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangWQHDFWL23, author = {Changlong Zhang and Jimin Wei and Shibo Qu and Changying Huang and Jingang Dai and Peipei Fu and Zhixiong Wang and Xinquan Li}, title = {Implementation of a V2P-Based {VRU} Warning System With {C-V2X} Technology}, journal = {{IEEE} Access}, volume = {11}, pages = {69903--69915}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3293122}, doi = {10.1109/ACCESS.2023.3293122}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangWQHDFWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/WuHLSXPXCGCWZHSS23, author = {Tingqing Wu and Zengqi Huang and Lin Li and Wei Sun and Tangyue Xue and Qi Pan and Hongfei Xie and Sisi Chen and Lutong Guo and Jimei Chi and Huadong Wang and Zeying Zhang and Teng Han and Meng Su and Yanlin Song}, title = {Wearable Perovskite-Based Shadow Recognition Sensor for Ambient and Nonobtrusive Human-Computer Interaction}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {1}, year = {2023}, url = {https://doi.org/10.1002/aisy.202200307}, doi = {10.1002/AISY.202200307}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/WuHLSXPXCGCWZHSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/AyadiKH23, author = {Hajer Ayadi and Mouna Torjmen Khemakhem and Jimmy X. Huang}, title = {Term dependency extraction using rule-based Bayesian Network for medical image retrieval}, journal = {Artif. Intell. Medicine}, volume = {140}, pages = {102551}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102551}, doi = {10.1016/J.ARTMED.2023.102551}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/AyadiKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/XuZHW23, author = {Peng Xu and Bing{-}Qi Zhang and Huan Huang and Kang{-}Jia Wang}, title = {Study on the Nonlinear Dynamics of the (3+1)-Dimensional Jimbo-Miwa Equation in Plasma Physics}, journal = {Axioms}, volume = {12}, number = {6}, pages = {592}, year = {2023}, url = {https://doi.org/10.3390/axioms12060592}, doi = {10.3390/AXIOMS12060592}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/XuZHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/KeyvanH23, author = {Kimiya Keyvan and Jimmy Xiangji Huang}, title = {How to Approach Ambiguous Queries in Conversational Search: {A} Survey of Techniques, Approaches, Tools, and Challenges}, journal = {{ACM} Comput. Surv.}, volume = {55}, number = {6}, pages = {129:1--129:40}, year = {2023}, url = {https://doi.org/10.1145/3534965}, doi = {10.1145/3534965}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/KeyvanH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/XieZLH23, author = {Penghui Xie and Guangyou Zhou and Jin Liu and Jimmy Xiangji Huang}, title = {Incorporating global-local neighbors with Gaussian mixture embedding for few-shot knowledge graph completion}, journal = {Expert Syst. Appl.}, volume = {234}, pages = {121086}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.121086}, doi = {10.1016/J.ESWA.2023.121086}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/XieZLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/JiangHWWXH23, author = {Chenru Jiang and Kaizhu Huang and Junwei Wu and Xinheng Wang and Jimin Xiao and Amir Hussain}, title = {PointGS: Bridging and fusing geometric and semantic space for 3D point cloud analysis}, journal = {Inf. Fusion}, volume = {91}, pages = {316--326}, year = {2023}, url = {https://doi.org/10.1016/j.inffus.2022.10.016}, doi = {10.1016/J.INFFUS.2022.10.016}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/JiangHWWXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/PanPLLHWH23, author = {Min Pan and Quanli Pei and Yu Liu and Teng Li and Ellen Anne Huang and Junmei Wang and Jimmy Xiangji Huang}, title = {{SPRF:} {A} semantic Pseudo-relevance Feedback enhancement for information retrieval via ConceptNet}, journal = {Knowl. Based Syst.}, volume = {274}, pages = {110602}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2023.110602}, doi = {10.1016/J.KNOSYS.2023.110602}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/PanPLLHWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/ZhangQHZXHL23, author = {Shufei Zhang and Zhuang Qian and Kaizhu Huang and Rui Zhang and Jimin Xiao and Yuan He and Canyi Lu}, title = {Robust generative adversarial network}, journal = {Mach. Learn.}, volume = {112}, number = {12}, pages = {5135--5161}, year = {2023}, url = {https://doi.org/10.1007/s10994-023-06367-0}, doi = {10.1007/S10994-023-06367-0}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/ZhangQHZXHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/WangFD0HLCLKDAB23, author = {Hanchen Wang and Tianfan Fu and Yuanqi Du and Wenhao Gao and Kexin Huang and Ziming Liu and Payal Chandak and Shengchao Liu and Peter Van Katwyk and Andreea Deac and Anima Anandkumar and Karianne Bergen and Carla P. Gomes and Shirley Ho and Pushmeet Kohli and Joan Lasenby and Jure Leskovec and Tie{-}Yan Liu and Arjun Manrai and Debora S. Marks and Bharath Ramsundar and Le Song and Jimeng Sun and Jian Tang and Petar Velickovic and Max Welling and Linfeng Zhang and Connor W. Coley and Yoshua Bengio and Marinka Zitnik}, title = {Scientific discovery in the age of artificial intelligence}, journal = {Nat.}, volume = {620}, number = {7972}, pages = {47--60}, year = {2023}, url = {https://doi.org/10.1038/s41586-023-06221-2}, doi = {10.1038/S41586-023-06221-2}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/WangFD0HLCLKDAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/HuangPLYWOP23, author = {Yanping Huang and Hong Peng and Qian Liu and Qian Yang and Jun Wang and David Orellana{-}Mart{\'{\i}}n and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez}, title = {Attention-enabled gated spiking neural {P} model for aspect-level sentiment classification}, journal = {Neural Networks}, volume = {157}, pages = {437--443}, year = {2023}, url = {https://doi.org/10.1016/j.neunet.2022.11.006}, doi = {10.1016/J.NEUNET.2022.11.006}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/HuangPLYWOP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/LiangTZHHT23, author = {Ke Liang and Jim Tan and Dongrui Zeng and Yongzhe Huang and Xiaolei Huang and Gang Tan}, title = {ABSLearn: a GNN-based framework for aliasing and buffer-size information retrieval}, journal = {Pattern Anal. Appl.}, volume = {26}, number = {3}, pages = {1171--1189}, year = {2023}, url = {https://doi.org/10.1007/s10044-023-01142-2}, doi = {10.1007/S10044-023-01142-2}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paa/LiangTZHHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmcgit/LiuHRMZ23, author = {Zihao Liu and Jing Huang and Allan Rocha and Jim Malmros and Jerry Zhang}, title = {Importance-Based Ray Strategies for Dynamic Diffuse Global Illumination}, journal = {Proc. {ACM} Comput. Graph. Interact. Tech.}, volume = {6}, number = {1}, pages = {9:1--9:20}, year = {2023}, url = {https://doi.org/10.1145/3585500}, doi = {10.1145/3585500}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmcgit/LiuHRMZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/JiangHZWXG23, author = {Chenru Jiang and Kaizhu Huang and Shufei Zhang and Xinheng Wang and Jimin Xiao and Yannis Goulermas}, title = {Aggregated pyramid gating network for human pose estimation without pre-training}, journal = {Pattern Recognit.}, volume = {138}, pages = {109429}, year = {2023}, url = {https://doi.org/10.1016/j.patcog.2023.109429}, doi = {10.1016/J.PATCOG.2023.109429}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/JiangHZWXG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/Tatemura0SHCZLZ23, author = {Jun'ichi Tatemura and Tao Zou and Jagan Sankaranarayanan and Yanlai Huang and Jim Chen and Yupu Zhang and Kevin Lai and Hao Zhang and Gokul Nath Babu Manoharan and Goetz Graefe and Divyakant Agrawal and Brad Adelberg and Shilpa Kolhar and Indrajit Roy}, title = {Progressive Partitioning for Parallelized Query Execution in Google's Napa}, journal = {Proc. {VLDB} Endow.}, volume = {16}, number = {12}, pages = {3475--3487}, year = {2023}, url = {https://www.vldb.org/pvldb/vol16/p3475-sankaranarayanan.pdf}, doi = {10.14778/3611540.3611541}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/Tatemura0SHCZLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/XieZ0ZH23, author = {Zhiwen Xie and Runjie Zhu and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, title = {{TARGAT:} {A} Time-Aware Relational Graph Attention Model for Temporal Knowledge Graph Embedding}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {31}, pages = {2246--2258}, year = {2023}, url = {https://doi.org/10.1109/TASLP.2023.3282101}, doi = {10.1109/TASLP.2023.3282101}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/XieZ0ZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/JiangHZWXNH23, author = {Chenru Jiang and Kaizhu Huang and Shufei Zhang and Xinheng Wang and Jimin Xiao and Zhenxing Niu and Amir Hussain}, title = {Towards Simple and Accurate Human Pose Estimation With Stair Network}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {7}, number = {3}, pages = {805--817}, year = {2023}, url = {https://doi.org/10.1109/TETCI.2022.3224954}, doi = {10.1109/TETCI.2022.3224954}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/JiangHZWXNH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/HuangGGHCS23, author = {Renlang Huang and Miao Guo and Chaojie Gu and Shibo He and Jiming Chen and Mingyang Sun}, title = {Toward Scalable and Efficient Hierarchical Deep Reinforcement Learning for 5G {RAN} Slicing}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {7}, number = {4}, pages = {2153--2162}, year = {2023}, url = {https://doi.org/10.1109/TGCN.2023.3295341}, doi = {10.1109/TGCN.2023.3295341}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgcn/HuangGGHCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/ZhuHSL23, author = {Xuliang Zhu and Xin Huang and Longxu Sun and Jiming Liu}, title = {A Novel Graph Indexing Approach for Uncovering Potential {COVID-19} Transmission Clusters}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {17}, number = {2}, pages = {24:1--24:24}, year = {2023}, url = {https://doi.org/10.1145/3538492}, doi = {10.1145/3538492}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/ZhuHSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhuHCXCZL23, author = {Xuliang Zhu and Xin Huang and Byron Choi and Jianliang Xu and William K. Cheung and Yanchun Zhang and Jiming Liu}, title = {Efficient and Optimal Algorithms for Tree Summarization With Weighted Terminologies}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {3}, pages = {2500--2514}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2021.3120722}, doi = {10.1109/TKDE.2021.3120722}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhuHCXCZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SongCKLH23, author = {Ling{-}Yen Song and Chih{-}Yun Chou and Tung{-}Chieh Kuo and Chien{-}Nan Liu and Juinn{-}Dar Huang}, title = {Machine Learning Assisted Circuit Sizing Approach for Low-Voltage Analog Circuits with Efficient Variation-Aware Optimization}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {2}, pages = {18:1--18:22}, year = {2023}, url = {https://doi.org/10.1145/3567422}, doi = {10.1145/3567422}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/SongCKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/ZouHRK23, author = {Jie Zou and Jimmy Xiangji Huang and Zhaochun Ren and Evangelos Kanoulas}, title = {Learning to Ask: Conversational Product Search via Representation Learning}, journal = {{ACM} Trans. Inf. Syst.}, volume = {41}, number = {2}, pages = {45:1--45:27}, year = {2023}, url = {https://doi.org/10.1145/3555371}, doi = {10.1145/3555371}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/ZouHRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LaskarBRBJH23, author = {Md. Tahmid Rahman Laskar and M. Saiful Bari and Mizanur Rahman and Md Amran Hossen Bhuiyan and Shafiq Joty and Jimmy Xiangji Huang}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {A Systematic Study and Comprehensive Evaluation of ChatGPT on Benchmark Datasets}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {431--469}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.29}, doi = {10.18653/V1/2023.FINDINGS-ACL.29}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/LaskarBRBJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/XieZ0ZH23, author = {Zhiwen Xie and Yi Zhang and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Learning Query Adaptive Anchor Representation for Inductive Relation Prediction}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {14041--14053}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.882}, doi = {10.18653/V1/2023.FINDINGS-ACL.882}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/XieZ0ZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/NahaliSKAH23, author = {Sepideh Nahali and Leila Safari and Alireza Khanteymoori and Hajer Ayadi and Jimmy X. Huang}, editor = {Am{\'{\i}}lcar Soares and Farhana H. Zulkernine and Renata Dividino and Reihaneh Rabbany and Qiang Ye and David Beach and Karim Ali}, title = {IsoGloVe: {A} New Count-based Graph Embedding Method based on Geodesic Distance}, booktitle = {36th Canadian Conference on Artificial Intelligence, Canadian AI, {CANAI} 2023, Montreal, Canada, June 5-9, 2023, Proceedings}, publisher = {Canadian Artificial Intelligence Association}, year = {2023}, url = {https://doi.org/10.21428/594757db.841b6ef2}, doi = {10.21428/594757DB.841B6EF2}, timestamp = {Tue, 08 Aug 2023 15:57:21 +0200}, biburl = {https://dblp.org/rec/conf/ai/NahaliSKAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangXFRHA23, author = {Zhaohui Liang and Zhiyun Xue and Yang Feng and Sivaramakrishnan Rajaraman and Jimmy Xiangji Huang and Sameer K. Antani}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Emergency Department Wait Time Forecast based on Semantic and Time Series Patterns in {COVID-19} Pandemic}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {3067--3072}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385758}, doi = {10.1109/BIBM58861.2023.10385758}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/LiangXFRHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionlp/JahanLPH23, author = {Israt Jahan and Md. Tahmid Rahman Laskar and Chun Peng and Jimmy Xiangji Huang}, editor = {Dina Demner{-}Fushman and Sophia Ananiadou and Kevin Cohen}, title = {Evaluation of ChatGPT on Biomedical Tasks: {A} Zero-Shot Comparison with Fine-Tuned Generative Transformers}, booktitle = {The 22nd Workshop on Biomedical Natural Language Processing and BioNLP Shared Tasks, BioNLP@ACL 2023, Toronto, Canada, 13 July 2023}, pages = {326--336}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.bionlp-1.30}, doi = {10.18653/V1/2023.BIONLP-1.30}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bionlp/JahanLPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cascon/AyadiHASZP23, author = {Hajer Ayadi and Jimmy X. Huang and Aijun An and Yiming Shao and Hao Zhou and Hossein Pourmodheji}, editor = {Paria Shirani and Iosif{-}Viorel Onut and Paula Branco}, title = {{TAMG:} Topology-Aware Multi-GPU Allocation via Deep Reinforcement Learning}, booktitle = {Proceedings of the 33rd Annual International Conference on Computer Science and Software Engineering, {CASCON} 2023, Las Vegas, NV, USA, September 11-14, 2023}, pages = {185--190}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3615924.3615946}, doi = {10.5555/3615924.3615946}, timestamp = {Thu, 11 Jan 2024 15:48:12 +0100}, biburl = {https://dblp.org/rec/conf/cascon/AyadiHASZP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HuangJTLSR23, author = {Zixuan Huang and Varun Jampani and Anh Thai and Yuanzhen Li and Stefan Stojanov and James M. Rehg}, title = {ShapeClipper: Scalable 3D Shape Learning from Single-View Images via Geometric and CLIP-Based Consistency}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {12912--12922}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01241}, doi = {10.1109/CVPR52729.2023.01241}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HuangJTLSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangHO23, author = {Jimuyang Zhang and Zanming Huang and Eshed Ohn{-}Bar}, title = {Coaching a Teachable Student}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {7805--7815}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00754}, doi = {10.1109/CVPR52729.2023.00754}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangHO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/JulienNH23, author = {Jean Jimmy Julien and Sirapop Nuannimnoi and Ching{-}Yao Huang}, title = {Automated Pricing-based Provisioning of {SDN/NFV} Services in Distributed Multi-access Edge Computing using Cooperative Multi-Agent Deep Reinforcement Learning}, booktitle = {10th International Conference on Dependable Systems and Their Applications, {DSA} 2023, Tokyo, Japan, August 10-11, 2023}, pages = {1--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSA59317.2023.00027}, doi = {10.1109/DSA59317.2023.00027}, timestamp = {Fri, 24 Nov 2023 19:56:37 +0100}, biburl = {https://dblp.org/rec/conf/dsa/JulienNH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/HuangH23, author = {Yizheng Huang and Jimmy X. Huang}, editor = {Kobi Gal and Ann Now{\'{e}} and Grzegorz J. Nalepa and Roy Fairstein and Roxana Radulescu}, title = {Diversified Prior Knowledge Enhanced General Language Model for Biomedical Information Retrieval}, booktitle = {{ECAI} 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Krak{\'{o}}w, Poland - Including 12th Conference on Prestigious Applications of Intelligent Systems {(PAIS} 2023)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {372}, pages = {1109--1115}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230385}, doi = {10.3233/FAIA230385}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecai/HuangH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LaskarRJHH23, author = {Md. Tahmid Rahman Laskar and Mizanur Rahman and Israt Jahan and Enamul Hoque and Jimmy Xiangji Huang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Can Large Language Models Fix Data Annotation Errors? An Empirical Study Using Debatepedia for Query-Focused Text Summarization}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {10245--10255}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.686}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.686}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LaskarRJHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/YangPLKNHFYLLS023, author = {Zhuolin Yang and Wei Ping and Zihan Liu and Vijay Korthikanti and Weili Nie and De{-}An Huang and Linxi Fan and Zhiding Yu and Shiyi Lan and Bo Li and Mohammad Shoeybi and Ming{-}Yu Liu and Yuke Zhu and Bryan Catanzaro and Chaowei Xiao and Anima Anandkumar}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Re-ViLM: Retrieval-Augmented Visual Language Model for Zero and Few-Shot Image Captioning}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11844--11857}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.793}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.793}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/YangPLKNHFYLLS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenZZHPYYYYZZZZGGHLYZ23, author = {Qihe Chen and Mengyi Zhang and Mingyang Zhang and Ruonan Huang and Jingyuan Pang and An Yang and Jing Yang and Hongyuan Yi and Weikun Yuan and Chengwen Zhang and Guohao Zhang and Yike Zhang and Zhejun Zhang and Juan Jimenez Garcia and Yuan Gao and Shifang Hou and Qi Liao and Senming Yang and Di Zhu}, editor = {Pei{-}Luen Patrick Rau}, title = {As with Wine, Life Gets Better with Age. Redefining Mobile User Interface {(UI)} Components in the Age-Friendly Design Transformation}, booktitle = {Cross-Cultural Design - 15th International Conference, {CCD} 2023, Held as Part of the 25th International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14022}, pages = {161--171}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35936-1\_12}, doi = {10.1007/978-3-031-35936-1\_12}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChenZZHPYYYYZZZZGGHLYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/ChengCYLH23, author = {Yu{-}Ping Cheng and Shu{-}Chen Cheng and Ming Yang and Jim{-}Min Lin and Yueh{-}Min Huang}, editor = {Yueh{-}Min Huang and T{\^{a}}nia Rocha}, title = {Applying Computational Thinking and Formative Assessment to Enhance the Learning Performance of Students in Virtual Programming Language}, booktitle = {Innovative Technologies and Learning - 6th International Conference, {ICITL} 2023, Porto, Portugal, August 28-30, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14099}, pages = {130--139}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40113-8\_13}, doi = {10.1007/978-3-031-40113-8\_13}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitl/ChengCYLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/SaZZSDH23, author = {Jiming Sa and Xuecheng Zhang and Chi Zhang and Yuyan Song and Liwei Ding and Yechen Huang}, editor = {Shaowen Yao and Zhenli He and Zheng Xiao and Wanqing Tu and Kenli Li and Lipo Wang}, title = {Point Cloud Pose Alignment Based on Improved Nearest Point Iteration}, booktitle = {9th International Conference on Systems and Informatics, {ICSAI} 2023, Changsha, China, December 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSAI61474.2023.10423350}, doi = {10.1109/ICSAI61474.2023.10423350}, timestamp = {Thu, 29 Feb 2024 20:53:03 +0100}, biburl = {https://dblp.org/rec/conf/icsai/SaZZSDH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LinWFZLHHML23, author = {Junjie Lin and Xingyue Wang and Jiansheng Fang and Na Zeng and Xiaoxi Lu and Jingqi Huang and Yan Hu and Heng Meng and Jiang Liu}, title = {Multi-Scale Retina Vessel Segmentation in {OCTA} with a Vascular Connectivity Module in the Convolutional Neural Network}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230688}, doi = {10.1109/ISBI53787.2023.10230688}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LinWFZLHHML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LuZWHHFL23, author = {Xiaoxi Lu and Na Zeng and Xingyue Wang and Jingqi Huang and Yan Hu and Jiansheng Fang and Jiang Liu}, title = {{FFNET:} An End-To-End Framework Based on Feature Pyramid Network and Filter Network for Pulmonary Nodule Detection}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230631}, doi = {10.1109/ISBI53787.2023.10230631}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LuZWHHFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChenHWLHCL23, author = {Hung{-}Ming Chen and Chu{-}Wen Ho and Shih{-}Hsien Wu and Wei Lu and Po{-}Tsang Huang and Hao{-}Ju Chang and Chien{-}Nan Jimmy Liu}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {Reshaping System Design in 3D Integration: Perspectives and Challenges}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {71--77}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3578918}, doi = {10.1145/3569052.3578918}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/ChenHWLHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LukasYHBTBWCBFMSWGWC23, author = {Christopher J. Lukas and Farah B. Yahya and Kuo{-}Ken Huang and Jim Boley and Daniel S. Truesdell and Jacob Breiholz and Atul Wokhlu and Kyle Craig and Jonathan K. Brown and Andrew Fitting and William Moore and Andy Shih and Alice Wang and Alain Gravel and David D. Wentzloff and Benton H. Calhoun}, title = {A 2.19{\(\mathrm{\mu}\)}W Self-Powered SoC with Integrated Multimodal Energy Harvesting, Dual-Channel up to -92dBm {WRX} and Energy-Aware Subsystem}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {238--239}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067337}, doi = {10.1109/ISSCC42615.2023.10067337}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LukasYHBTBWCBFMSWGWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/MuktadirHIJW23, author = {Golam Md Muktadir and Taorui Huang and Zarif Ikram and Abdul Jawad and Jim Whitehead}, title = {PedGrid - {A} Simple Yet Expressive Simulation Environment for Pedestrian Behavior Modeling}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {6181--6186}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422381}, doi = {10.1109/ITSC57777.2023.10422381}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/MuktadirHIJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HanZXPLH23, author = {Weiguang Han and Boyi Zhang and Qianqian Xie and Min Peng and Yanzhao Lai and Jimin Huang}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Select and Trade: Towards Unified Pair Trading with Hierarchical Reinforcement Learning}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {4123--4134}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599951}, doi = {10.1145/3580305.3599951}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HanZXPLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/DasLWLHHLZ023, author = {Avisha Das and Zhao Li and Qiang Wei and Jianfu Li and Liang{-}Chin Huang and Yan Hu and Rongbin Li and Wenjin Jim Zheng and Hua Xu}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Extracting Drug-Protein Relation from Literature Using Ensembles of Biomedical Transformers}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {639--643}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231043}, doi = {10.3233/SHTI231043}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/DasLWLHHLZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ThaiHS0BR23, author = {Anh Thai and Ahmad Humayun and Stefan Stojanov and Zixuan Huang and Bikram Boote and James M. Rehg}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Low-shot Object Learning with Mutual Exclusivity Bias}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/de6ff07cbd222c10d694c2b2f732aceb-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ThaiHS0BR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/XieHZLPLH23, author = {Qianqian Xie and Weiguang Han and Xiao Zhang and Yanzhao Lai and Min Peng and Alejandro Lopez{-}Lira and Jimin Huang}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{PIXIU:} {A} Comprehensive Benchmark, Instruction Dataset and Large Language Model for Finance}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/6a386d703b50f1cf1f61ab02a15967bb-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/XieHZLPLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/LoLHLFLHL023, author = {Shiwu Lo and Han{-}Ting Lin and Yao{-}Hung Hsieh and Chao{-}Ting Lin and Yu{-}Hsueh Fang and Ching{-}Shen Lin and Ching{-}Chun (Jim) Huang and Kam{-}Yiu Lam and Yuan{-}Hao Chang}, editor = {Roxana Geambasu and Ed Nightingale}, title = {{RON:} One-Way Circular Shortest Routing to Achieve Efficient and Bounded-waiting Spinlocks}, booktitle = {17th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {17--31}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/osdi23/presentation/lo}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/osdi/LoLHLFLHL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/NahaliAHPPS23, author = {Sepideh Nahali and Hajer Ayadi and Jimmy X. Huang and Esmat Pakizeh and Mir Mohsen Pedram and Leila Safari}, editor = {Hisashi Kashima and Tsuyoshi Id{\'{e}} and Wen{-}Chih Peng}, title = {A Dynamic and Task-Independent Reward Shaping Approach for Discrete Partially Observable Markov Decision Processes}, booktitle = {Advances in Knowledge Discovery and Data Mining - 27th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2023, Osaka, Japan, May 25-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13936}, pages = {337--348}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33377-4\_26}, doi = {10.1007/978-3-031-33377-4\_26}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pakdd/NahaliAHPPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiuH23, author = {Lei Liu and Jimmy Xiangji Huang}, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {Prompt Learning to Mitigate Catastrophic Forgetting in Cross-lingual Transfer for Open-domain Dialogue Generation}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {2287--2292}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618.3592043}, doi = {10.1145/3539618.3592043}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LiuH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/SpeerGHYRPMM23, author = {Samantha Speer and Ana P. Garcia{-}Alonzo and Joey Huang and Nickolina Yankova and Carolyn P. Ros{\'{e}} and Kylie A. Peppler and James McCann and Melisa Orta Martinez}, editor = {Sean Follmer and Jeff Han and J{\"{u}}rgen Steimle and Nathalie Henry Riche}, title = {SPEERLoom: An Open-Source Loom Kit for Interdisciplinary Engagement in Math, Engineering, and Textiles}, booktitle = {Proceedings of the 36th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2023, San Francisco, CA, USA, 29 October 2023- 1 November 2023}, pages = {93:1--93:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3586183.3606724}, doi = {10.1145/3586183.3606724}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/SpeerGHYRPMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-10724, author = {Weiguang Han and Boyi Zhang and Qianqian Xie and Min Peng and Yanzhao Lai and Jimin Huang}, title = {Select and Trade: Towards Unified Pair Trading with Hierarchical Reinforcement Learning}, journal = {CoRR}, volume = {abs/2301.10724}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.10724}, doi = {10.48550/ARXIV.2301.10724}, eprinttype = {arXiv}, eprint = {2301.10724}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-10724.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-04858, author = {Zhuolin Yang and Wei Ping and Zihan Liu and Vijay Korthikanti and Weili Nie and De{-}An Huang and Linxi Fan and Zhiding Yu and Shiyi Lan and Bo Li and Ming{-}Yu Liu and Yuke Zhu and Mohammad Shoeybi and Bryan Catanzaro and Chaowei Xiao and Anima Anandkumar}, title = {Re-ViLM: Retrieval-Augmented Visual Language Model for Zero and Few-Shot Image Captioning}, journal = {CoRR}, volume = {abs/2302.04858}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.04858}, doi = {10.48550/ARXIV.2302.04858}, eprinttype = {arXiv}, eprint = {2302.04858}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-04858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15614, author = {Arturo de Nieves Gutierrez de Rubalcava and Oscar Sanchez Pi{\~{n}}eiro and Rebeca Moreno Jimenez and Joseph Aylett{-}Bullock and Azra Ismail and Sofia Kyriazi and Catherine Schneider and Fred Sekidde and Giulia del Panta and Chao Huang and Vanessa Maign{\'{e}} and Miguel A. Luengo{-}Oroz and Katherine Hoffmann Pham}, title = {Modeling Population Movements under Uncertainty at the Border in Humanitarian Crises: {A} Situational Analysis Tool}, journal = {CoRR}, volume = {abs/2303.15614}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15614}, doi = {10.48550/ARXIV.2303.15614}, eprinttype = {arXiv}, eprint = {2303.15614}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15614.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00364, author = {Weiguang Han and Jimin Huang and Qianqian Xie and Boyi Zhang and Yanzhao Lai and Min Peng}, title = {Mastering Pair Trading with Risk-Aware Recurrent Reinforcement Learning}, journal = {CoRR}, volume = {abs/2304.00364}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00364}, doi = {10.48550/ARXIV.2304.00364}, eprinttype = {arXiv}, eprint = {2304.00364}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00364.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-05351, author = {Qianqian Xie and Weiguang Han and Yanzhao Lai and Min Peng and Jimin Huang}, title = {The Wall Street Neophyte: {A} Zero-Shot Analysis of ChatGPT Over MultiModal Stock Movement Prediction Challenges}, journal = {CoRR}, volume = {abs/2304.05351}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.05351}, doi = {10.48550/ARXIV.2304.05351}, eprinttype = {arXiv}, eprint = {2304.05351}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-05351.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06247, author = {Zixuan Huang and Varun Jampani and Anh Thai and Yuanzhen Li and Stefan Stojanov and James M. Rehg}, title = {ShapeClipper: Scalable 3D Shape Learning from Single-View Images via Geometric and CLIP-based Consistency}, journal = {CoRR}, volume = {abs/2304.06247}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06247}, doi = {10.48550/ARXIV.2304.06247}, eprinttype = {arXiv}, eprint = {2304.06247}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06247.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-12719, author = {Hongyang Jiang and Jingqi Huang and Chen Tang and Xiaoqing Zhang and Mengdi Gao and Jiang Liu}, title = {Eye tracking guided deep multiple instance learning with dual cross-attention for fundus disease detection}, journal = {CoRR}, volume = {abs/2304.12719}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.12719}, doi = {10.48550/ARXIV.2304.12719}, eprinttype = {arXiv}, eprint = {2304.12719}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-12719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06147, author = {Md. Tahmid Rahman Laskar and Mizanur Rahman and Israt Jahan and Enamul Hoque and Jimmy Huang}, title = {CQSumDP: {A} ChatGPT-Annotated Resource for Query-Focused Abstractive Summarization Based on Debatepedia}, journal = {CoRR}, volume = {abs/2305.06147}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06147}, doi = {10.48550/ARXIV.2305.06147}, eprinttype = {arXiv}, eprint = {2305.06147}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07393, author = {Lei Liu and Jimmy Xiangji Huang}, title = {Prompt Learning to Mitigate Catastrophic Forgetting in Cross-lingual Transfer for Open-domain Dialogue Generation}, journal = {CoRR}, volume = {abs/2305.07393}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07393}, doi = {10.48550/ARXIV.2305.07393}, eprinttype = {arXiv}, eprint = {2305.07393}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18486, author = {Md. Tahmid Rahman Laskar and M. Saiful Bari and Mizanur Rahman and Md Amran Hossen Bhuiyan and Shafiq Joty and Jimmy Xiangji Huang}, title = {A Systematic Study and Comprehensive Evaluation of ChatGPT on Benchmark Datasets}, journal = {CoRR}, volume = {abs/2305.18486}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18486}, doi = {10.48550/ARXIV.2305.18486}, eprinttype = {arXiv}, eprint = {2305.18486}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04504, author = {Israt Jahan and Md. Tahmid Rahman Laskar and Chun Peng and Jimmy Xiangji Huang}, title = {Evaluation of ChatGPT on Biomedical Tasks: {A} Zero-Shot Comparison with Fine-Tuned Generative Transformers}, journal = {CoRR}, volume = {abs/2306.04504}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04504}, doi = {10.48550/ARXIV.2306.04504}, eprinttype = {arXiv}, eprint = {2306.04504}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05443, author = {Qianqian Xie and Weiguang Han and Xiao Zhang and Yanzhao Lai and Min Peng and Alejandro Lopez{-}Lira and Jimin Huang}, title = {{PIXIU:} {A} Large Language Model, Instruction Data and Evaluation Benchmark for Finance}, journal = {CoRR}, volume = {abs/2306.05443}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05443}, doi = {10.48550/ARXIV.2306.05443}, eprinttype = {arXiv}, eprint = {2306.05443}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10014, author = {Jimuyang Zhang and Zanming Huang and Eshed Ohn{-}Bar}, title = {Coaching a Teachable Student}, journal = {CoRR}, volume = {abs/2306.10014}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10014}, doi = {10.48550/ARXIV.2306.10014}, eprinttype = {arXiv}, eprint = {2306.10014}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08423, author = {Xuan Zhang and Limei Wang and Jacob Helwig and Youzhi Luo and Cong Fu and Yaochen Xie and Meng Liu and Yuchao Lin and Zhao Xu and Keqiang Yan and Keir Adams and Maurice Weiler and Xiner Li and Tianfan Fu and Yucheng Wang and Haiyang Yu and Yuqing Xie and Xiang Fu and Alex Strasser and Shenglong Xu and Yi Liu and Yuanqi Du and Alexandra Saxton and Hongyi Ling and Hannah Lawrence and Hannes St{\"{a}}rk and Shurui Gui and Carl Edwards and Nicholas Gao and Adriana Ladera and Tailin Wu and Elyssa F. Hofgard and Aria Mansouri Tehrani and Rui Wang and Ameya Daigavane and Montgomery Bohde and Jerry Kurtin and Qian Huang and Tuong Phung and Minkai Xu and Chaitanya K. Joshi and Simon V. Mathis and Kamyar Azizzadenesheli and Ada Fang and Al{\'{a}}n Aspuru{-}Guzik and Erik J. Bekkers and Michael M. Bronstein and Marinka Zitnik and Anima Anandkumar and Stefano Ermon and Pietro Li{\`{o}} and Rose Yu and Stephan G{\"{u}}nnemann and Jure Leskovec and Heng Ji and Jimeng Sun and Regina Barzilay and Tommi S. Jaakkola and Connor W. Coley and Xiaoning Qian and Xiaofeng Qian and Tess E. Smidt and Shuiwang Ji}, title = {Artificial Intelligence for Science in Quantum, Atomistic, and Continuum Systems}, journal = {CoRR}, volume = {abs/2307.08423}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08423}, doi = {10.48550/ARXIV.2307.08423}, eprinttype = {arXiv}, eprint = {2307.08423}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08423.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06942, author = {Cynthia Huang and Yuqing Xie and Zhiying Jiang and Jimmy Lin and Ming Li}, title = {Approximating Human-Like Few-shot Learning with GPT-based Compression}, journal = {CoRR}, volume = {abs/2308.06942}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06942}, doi = {10.48550/ARXIV.2308.06942}, eprinttype = {arXiv}, eprint = {2308.06942}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-15394, author = {Renlang Huang and Minglei Zhao and Jiming Chen and Liang Li}, title = {{KDD-LOAM:} Jointly Learned Keypoint Detector and Descriptors Assisted LiDAR Odometry and Mapping}, journal = {CoRR}, volume = {abs/2309.15394}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.15394}, doi = {10.48550/ARXIV.2309.15394}, eprinttype = {arXiv}, eprint = {2309.15394}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-15394.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00566, author = {Duanyu Feng and Yongfu Dai and Jimin Huang and Yifang Zhang and Qianqian Xie and Weiguang Han and Alejandro Lopez{-}Lira and Hao Wang}, title = {Empowering Many, Biasing a Few: Generalist Credit Scoring through Large Language Models}, journal = {CoRR}, volume = {abs/2310.00566}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00566}, doi = {10.48550/ARXIV.2310.00566}, eprinttype = {arXiv}, eprint = {2310.00566}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01074, author = {Chenhan Yuan and Qianqian Xie and Jimin Huang and Sophia Ananiadou}, title = {Back to the Future: Towards Explainable Temporal Reasoning with Large Language Models}, journal = {CoRR}, volume = {abs/2310.01074}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01074}, doi = {10.48550/ARXIV.2310.01074}, eprinttype = {arXiv}, eprint = {2310.01074}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04270, author = {Israt Jahan and Md. Tahmid Rahman Laskar and Chun Peng and Jimmy Xiangji Huang}, title = {A Comprehensive Evaluation of Large Language Models on Benchmark Biomedical Text Processing Tasks}, journal = {CoRR}, volume = {abs/2310.04270}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04270}, doi = {10.48550/ARXIV.2310.04270}, eprinttype = {arXiv}, eprint = {2310.04270}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05620, author = {Yongfu Dai and Duanyu Feng and Jimin Huang and Haochen Jia and Qianqian Xie and Yifang Zhang and Weiguang Han and Wei Tian and Hao Wang}, title = {LAiW: {A} Chinese Legal Large Language Models Benchmark {(A} Technical Report)}, journal = {CoRR}, volume = {abs/2310.05620}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05620}, doi = {10.48550/ARXIV.2310.05620}, eprinttype = {arXiv}, eprint = {2310.05620}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05853, author = {Szeyi Chan and Jiachen Li and Bingsheng Yao and Amama Mahmood and Chien{-}Ming Huang and Holly Jimison and Elizabeth D. Mynatt and Dakuo Wang}, title = {"Mango Mango, How to Let The Lettuce Dry Without {A} Spinner?": Exploring User Perceptions of Using An LLM-Based Conversational Assistant Toward Cooking Partner}, journal = {CoRR}, volume = {abs/2310.05853}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05853}, doi = {10.48550/ARXIV.2310.05853}, eprinttype = {arXiv}, eprint = {2310.05853}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05853.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09760, author = {Wangyu Wu and Tianhong Dai and Xiaowei Huang and Fei Ma and Jimin Xiao}, title = {Image Augmentation with Controlled Diffusion for Weakly-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2310.09760}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09760}, doi = {10.48550/ARXIV.2310.09760}, eprinttype = {arXiv}, eprint = {2310.09760}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09760.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09828, author = {Wangyu Wu and Tianhong Dai and Xiaowei Huang and Fei Ma and Jimin Xiao}, title = {Top-K Pooling with Patch Contrastive Learning for Weakly-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2310.09828}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09828}, doi = {10.48550/ARXIV.2310.09828}, eprinttype = {arXiv}, eprint = {2310.09828}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09828.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12931, author = {Yecheng Jason Ma and William Liang and Guanzhi Wang and De{-}An Huang and Osbert Bastani and Dinesh Jayaraman and Yuke Zhu and Linxi Fan and Anima Anandkumar}, title = {Eureka: Human-Level Reward Design via Coding Large Language Models}, journal = {CoRR}, volume = {abs/2310.12931}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12931}, doi = {10.48550/ARXIV.2310.12931}, eprinttype = {arXiv}, eprint = {2310.12931}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12931.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02511, author = {Zabih Ghassemlooy and Mohammad Ali Khalighi and Stanislav Zvanovec and A. Shrestha and Beatriz Ortega and Milica I. Petkovic and X. Pang and Carlo Sirtori and D. Orsucci and F. Moll and Giulio Cossu and V. Spirito and Michalis P. Ninos and Ernesto Ciaramella and J. Bas and M. Amay and S. Huang and Majid Safari and Tilahun Zerihun Gutema and Wasiu O. Popoola and Vicente Matus and Jos{\'{e}} Rabad{\'{a}}n and Rafael P{\'{e}}rez Jim{\'{e}}nez and Erdal Panayirci and Panagiotis D. Diamantoulakis and Harald Haas and Ikenna Chinazaekpere Ijeh}, title = {{EU} {COST} Action on future generation optical wireless communication technologies, 2nd White paper}, journal = {CoRR}, volume = {abs/2311.02511}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02511}, doi = {10.48550/ARXIV.2311.02511}, eprinttype = {arXiv}, eprint = {2311.02511}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-03533, author = {Anh Thai and Ahmad Humayun and Stefan Stojanov and Zixuan Huang and Bikram Boote and James M. Rehg}, title = {Low-shot Object Learning with Mutual Exclusivity Bias}, journal = {CoRR}, volume = {abs/2312.03533}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.03533}, doi = {10.48550/ARXIV.2312.03533}, eprinttype = {arXiv}, eprint = {2312.03533}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-03533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14125, author = {Dan Kondratyuk and Lijun Yu and Xiuye Gu and Jos{\'{e}} Lezama and Jonathan Huang and Rachel Hornung and Hartwig Adam and Hassan Akbari and Yair Alon and Vighnesh Birodkar and Yong Cheng and Ming{-}Chang Chiu and Josh Dillon and Irfan Essa and Agrim Gupta and Meera Hahn and Anja Hauth and David Hendon and Alonso Martinez and David Minnen and David A. Ross and Grant Schindler and Mikhail Sirotenko and Kihyuk Sohn and Krishna Somandepalli and Huisheng Wang and Jimmy Yan and Ming{-}Hsuan Yang and Xuan Yang and Bryan Seybold and Lu Jiang}, title = {VideoPoet: {A} Large Language Model for Zero-Shot Video Generation}, journal = {CoRR}, volume = {abs/2312.14125}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14125}, doi = {10.48550/ARXIV.2312.14125}, eprinttype = {arXiv}, eprint = {2312.14125}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14125.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-14198, author = {Zixuan Huang and Stefan Stojanov and Anh Thai and Varun Jampani and James M. Rehg}, title = {ZeroShape: Regression-based Zero-shot Shape Reconstruction}, journal = {CoRR}, volume = {abs/2312.14198}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.14198}, doi = {10.48550/ARXIV.2312.14198}, eprinttype = {arXiv}, eprint = {2312.14198}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-14198.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ajc/HerdenMSEGHHJMM22, author = {Daniel Herden and Jonathan Meddaugh and Mark Roger Sepanski and Isaac Echols and Nina Garcia{-}Montoya and Cordell Hammon and Guanjie Huang and Adam Kraus Jorge and Marchena Menendez and Jasmin Mohn and Rafael Morales Jim{\'{e}}nez}, title = {Vertex-edge marking score of certain triangular lattices}, journal = {Australas. {J} Comb.}, volume = {84}, pages = {202--211}, year = {2022}, url = {http://ajc.maths.uq.edu.au/pdf/84/ajc\_v84\_p202.pdf}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ajc/HerdenMSEGHHJMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/coling/LaskarHH22, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy Xiangji Huang}, title = {Domain Adaptation with Pre-trained Transformers for Query-Focused Abstractive Text Summarization}, journal = {Comput. Linguistics}, volume = {48}, number = {2}, pages = {279--320}, year = {2022}, url = {https://doi.org/10.1162/coli\_a\_00434}, doi = {10.1162/COLI\_A\_00434}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/coling/LaskarHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhaoH22, author = {Xuan Zhao and Jimmy Xiangji Huang}, title = {Bert-QAnet: BERT-encoded hierarchical question-answer cross-attention network for duplicate question detection}, journal = {Neurocomputing}, volume = {509}, pages = {68--74}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.08.044}, doi = {10.1016/J.NEUCOM.2022.08.044}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/ZhaoH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ChenWHWH22, author = {Yang Chen and Weibing Wan and Jimi Hu and Yuxuan Wang and Bo Huang}, title = {Complex Causal Extraction of Fusion of Entity Location Sensing and Graph Attention Networks}, journal = {Inf.}, volume = {13}, number = {8}, pages = {364}, year = {2022}, url = {https://doi.org/10.3390/info13080364}, doi = {10.3390/INFO13080364}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/ChenWHWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/PanWHHCC22, author = {Min Pan and Junmei Wang and Jimmy X. Huang and Angela Jennifer Huang and Qi Chen and Jinguang Chen}, title = {A probabilistic framework for integrating sentence-level semantics via {BERT} into pseudo-relevance feedback}, journal = {Inf. Process. Manag.}, volume = {59}, number = {1}, pages = {102734}, year = {2022}, url = {https://doi.org/10.1016/j.ipm.2021.102734}, doi = {10.1016/J.IPM.2021.102734}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/PanWHHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/XieZLZH22, author = {Zhiwen Xie and Runjie Zhu and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, title = {An efficiency relation-specific graph transformation network for knowledge graph representation learning}, journal = {Inf. Process. Manag.}, volume = {59}, number = {6}, pages = {103076}, year = {2022}, url = {https://doi.org/10.1016/j.ipm.2022.103076}, doi = {10.1016/J.IPM.2022.103076}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/XieZLZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/YangLHH22, author = {Jinqing Yang and Wei Lu and Jiming Hu and Shengzhi Huang}, title = {A novel emerging topic detection method: {A} knowledge ecology perspective}, journal = {Inf. Process. Manag.}, volume = {59}, number = {2}, pages = {102843}, year = {2022}, url = {https://doi.org/10.1016/j.ipm.2021.102843}, doi = {10.1016/J.IPM.2021.102843}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/YangLHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/ZhangWZZH22, author = {Qixuan Zhang and Xinyi Weng and Guangyou Zhou and Yi Zhang and Jimmy Xiangji Huang}, title = {{ARL:} An adaptive reinforcement learning framework for complex question answering over knowledge base}, journal = {Inf. Process. Manag.}, volume = {59}, number = {3}, pages = {102933}, year = {2022}, url = {https://doi.org/10.1016/j.ipm.2022.102933}, doi = {10.1016/J.IPM.2022.102933}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/ZhangWZZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/XieZLZHC22, author = {Zhiwen Xie and Runjie Zhu and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang and Xiaohui Cui}, title = {GFCNet: Utilizing graph feature collection networks for coronavirus knowledge graph embeddings}, journal = {Inf. Sci.}, volume = {608}, pages = {1557--1571}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2022.07.031}, doi = {10.1016/J.INS.2022.07.031}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/XieZLZHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/HuangHL22, author = {Jimmy C. Huang and Ola Henfridsson and Martin J. Liu}, title = {Extending Digital Ventures Through Templating}, journal = {Inf. Syst. Res.}, volume = {33}, number = {1}, pages = {285--310}, year = {2022}, url = {https://doi.org/10.1287/isre.2021.1057}, doi = {10.1287/ISRE.2021.1057}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/HuangHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/BhuiyanH22, author = {Amran Bhuiyan and Jimmy Xiangji Huang}, title = {{STCA:} Utilizing a spatio-temporal cross-attention network for enhancing video person re-identification}, journal = {Image Vis. Comput.}, volume = {123}, pages = {104474}, year = {2022}, url = {https://doi.org/10.1016/j.imavis.2022.104474}, doi = {10.1016/J.IMAVIS.2022.104474}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ivc/BhuiyanH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/PfaffGGKMPLABBG22, author = {Emily R. Pfaff and Andrew T. Girvin and Davera Gabriel and Kristin Kostka and Michele Morris and Matvey B. Palchuk and Harold P. Lehmann and Benjamin R. C. Amor and Mark Bissell and Katie R. Bradwell and Sigfried Gold and Stephanie S. Hong and Johanna Loomba and Amin Manna and Julie A. McMurry and Emily Niehaus and Nabeel Qureshi and Anita Walden and Xiaohan Tanner Zhang and Richard L. Zhu and Richard A. Moffitt and Christopher G. Chute and William G. Adams and Shaymaa Al{-}Shukri and Alfred Anzalone and Ahmad Baghal and Tellen D. Bennett and Elmer V. Bernstam and Mark M. Bissell and Brian Bush and Thomas R. Campion Jr. and Victor Castro and Jack Chang and Deepa D. Chaudhari and Wenjin Chen and San Chu and James J. Cimino and Keith A. Crandall and Mark Crooks and Sara J. Deakyne Davies and John Dipalazzo and David A. Dorr and Dan Eckrich and Sarah E. Eltinge and Daniel G. Fort and George Golovko and Snehil Gupta and Melissa A. Haendel and Janos G. Hajagos and David A. Hanauer and Brett M. Harnett and Ronald Horswell and Nancy Huang and Steven G. Johnson and Michael Kahn and Kamil Khanipov and Curtis Kieler and Katherine Ruiz De Luzuriaga and Sarah E. Maidlow and Ashley Martinez and Jomol Mathew and James C. McClay and Gabriel McMahan and Brian Melancon and St{\'{e}}phane M. Meystre and Lucio Miele and Hiroki Morizono and Ray Pablo and Lav P. Patel and Jimmy Phuong and Daniel J. Popham and Claudia P. Pulgarin and Carlos Santos and Indra Neil Sarkar and Nancy Sazo and Soko Setoguchi and Selvin Soby and Sirisha Surampalli and Christine Suver and Uma Maheswara Reddy Vangala and Shyam Visweswaran and James von Oehsen and Kellie M. Walters and Laura K. Wiley and David A. Williams and Adrian H. Zai}, title = {Synergies between centralized and federated approaches to data quality: a report from the national {COVID} cohort collaborative}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {4}, pages = {609--618}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocab217}, doi = {10.1093/JAMIA/OCAB217}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/PfaffGGKMPLABBG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joi/YangBLHHHZ22, author = {Jinqing Yang and Yi Bu and Wei Lu and Yong Huang and Jiming Hu and Shengzhi Huang and Li Zhang}, title = {Identifying keyword sleeping beauties: {A} perspective on the knowledge diffusion process}, journal = {J. Informetrics}, volume = {16}, number = {1}, pages = {101239}, year = {2022}, url = {https://doi.org/10.1016/j.joi.2021.101239}, doi = {10.1016/J.JOI.2021.101239}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joi/YangBLHHHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncs/ZhaoWMLWAAAAAAA22, author = {Jia Zhao and Gefei Wang and Jingsi Ming and Zhixiang Lin and Yang Wang and Snigdha Agarwal and Aditi Agrawal and Ahmad Al{-}Moujahed and Alina Alam and Megan A. Albertelli and Paul Allegakoen and Thomas Ambrosi and Jane Antony and Steven Artandi and Fabienne Aujard and Kyle Awayan and Ankit Baghel and Isaac Bakerman and Trygve E. Bakken and Jalal Baruni and Philip Beachy and Biter Bilen and Olga B. Botvinnik and Scott D. Boyd and Deviana Burhan and Kerriann M. Casey and Charles Chan and Charles A. Chang and Stephen Chang and Ming Chen and Michael F. Clarke and Sheela Crasta and Rebecca Culver and Jessica D'Addabbo and Spyros Darmanis and Roozbeh Dehghannasiri and Song{-}Lin Ding and Connor V. Duffy and Jacques Epelbaum and F. Hern{\'{a}}n Espinoza and Camille Ezran and Jean Farup and James E. Ferrell Jr and Hannah K. Frank and Margaret Fuller and Astrid Gillich and Elias Godoy and Dita Gratzinger and Lisbeth A. Guethlein and Yan Hang and Kazuteru Hasegawa and Rebecca D. Hodge and Malachia Hoover and Franklin W. Huang and Kerwyn Casey Huang and Shelly Huynh and Taichi Isobe and Carly Israel and Sori Jang and Qiuyu Jing and Robert C. Jones and Jengmin Kang and Caitlin J. Karanewsky and Jim Karkanias and Justus Kebschull and Aaron Kershner and Lily Kim and Seung K. Kim and E. Christopher Kirk and Winston Koh and Silvana Konermann and William Kong and Mark A. Krasnow and Christin Kuo and Corinne Lautier and Song Eun Lee and Ed S. Lein and Rebecca Lewis and Peng Li and Shengda Lin and Shixuan Liu and Yin Liu and Gabriel Loeb and Jonathan Z. Long and Wan{-}Jin Lu and Katherine Lucot and Liqun Luo and Aaron McGeever and Ross Metzger and Jingsi Ming and Thomas J. Montine and Antoine de Morree and Maurizio Morri and Karim Mrouj and Shravani Mukherjee and Ahmad Nabhan and Saba Nafees and Norma Neff and Patrick Neuh{\"{o}}fer and Patricia Nguyen and Jennifer Okamoto and Julia Eve Olivieri and Youcef Ouadah and Honor Paine and Peter Parham and Jozeph L. Pendleton and Lolita Penland and Martine Perret and Angela Oliveira Pisco and Zhen Qi and Stephen R. Quake and Ute Radespiel and Thomas A. Rando and Hajanirina No{\"{e}}line Ravelonjanahary and Andriamahery Razafindrakoto and Julia Salzman and Nicholas Schaum and Robert Schopler and Bronwyn Scott and Liza Shapiro and Hosu Sin and Rahul Sinha and Rene Sit and Geoff Stanley and Lubert Stryer and Varun Ramanan Subramaniam and Aditi Swarup and Weilun Tan and Alexander Tarashansky and Aris Taychameekiatchai and J{\'{e}}r{\'{e}}my Terrien and Kyle J. Travaglini and Andoni Urtasun and Sivakamasundari and Avin Veerakumar and Venkata Naga Pranathi Vemuri and Jean{-}Michel Verdier and Iwijn De Vlaminck and Douglas Vollrath and Bo Wang and Bruce Wang and Gefei Wang and Michael F. Z. Wang and Sheng Wang and James Webber and Hannah Weinstein and Irving L. Weissman and Amanda L. Wiggenhorn and Cathy V. Williams and Patricia Wright and Albert Y. Wu and Angela Ruohao Wu and Tony Wyss{-}Coray and Bao Xiang and Jia Yan and Can Yang and Jinxurong Yang and Anne D. Yoder and Brian Yu and Andrea R. Yung and Yue Zhang and Jia Zhao and Zicheng Zhao}, title = {Adversarial domain translation networks for integrating large-scale atlas-level single-cell datasets}, journal = {Nat. Comput. Sci.}, volume = {2}, number = {5}, pages = {317--330}, year = {2022}, url = {https://doi.org/10.1038/s43588-022-00251-y}, doi = {10.1038/S43588-022-00251-Y}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncs/ZhaoWMLWAAAAAAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/FuHXGS22, author = {Tianfan Fu and Kexin Huang and Cao Xiao and Lucas M. Glass and Jimeng Sun}, title = {{HINT:} Hierarchical interaction network for clinical-trial-outcome predictions}, journal = {Patterns}, volume = {3}, number = {4}, pages = {100445}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2022.100445}, doi = {10.1016/J.PATTER.2022.100445}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/FuHXGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ZhangXWHLZ22, author = {Bingfeng Zhang and Jimin Xiao and Yunchao Wei and Kaizhu Huang and Shan Luo and Yao Zhao}, title = {End-to-end weakly supervised semantic segmentation with reliable region mining}, journal = {Pattern Recognit.}, volume = {128}, pages = {108663}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108663}, doi = {10.1016/J.PATCOG.2022.108663}, timestamp = {Fri, 23 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ZhangXWHLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ZhengXCHCZ22, author = {Dingyuan Zheng and Jimin Xiao and Ke Chen and Xiaowei Huang and Lin Chen and Yao Zhao}, title = {Soft pseudo-Label shrinkage for unsupervised domain adaptive person re-identification}, journal = {Pattern Recognit.}, volume = {127}, pages = {108615}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108615}, doi = {10.1016/J.PATCOG.2022.108615}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ZhengXCHCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ZhengXWWHZ22, author = {Dingyuan Zheng and Jimin Xiao and Yunchao Wei and Qiufeng Wang and Kaizhu Huang and Yao Zhao}, title = {Unsupervised domain adaptation in homogeneous distance space for person re-identification}, journal = {Pattern Recognit.}, volume = {132}, pages = {108941}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108941}, doi = {10.1016/J.PATCOG.2022.108941}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ZhengXWWHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Bogue-JimenezHP22, author = {Brian Bogue{-}Jimenez and Xiaolei Huang and Douglas Powell and Ana Doblas}, title = {Selection of Noninvasive Features in Wrist-Based Wearable Sensors to Predict Blood Glucose Concentrations Using Machine Learning Algorithms}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3534}, year = {2022}, url = {https://doi.org/10.3390/s22093534}, doi = {10.3390/S22093534}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Bogue-JimenezHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiangHA22, author = {Zhaohui Liang and Jimmy Xiangji Huang and Sameer K. Antani}, title = {Image Translation by Ad CycleGAN for {COVID-19} X-Ray Images: {A} New Approach for Controllable {GAN}}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9628}, year = {2022}, url = {https://doi.org/10.3390/s22249628}, doi = {10.3390/S22249628}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiangHA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WeiMDWHY22, author = {Jianxiang Wei and Shumin Mao and Jiming Dai and Ziren Wang and Weidong Huang and Yonghong Yu}, title = {A Faster and More Accurate Iterative Threshold Algorithm for Signal Reconstruction in Compressed Sensing}, journal = {Sensors}, volume = {22}, number = {11}, pages = {4218}, year = {2022}, url = {https://doi.org/10.3390/s22114218}, doi = {10.3390/S22114218}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WeiMDWHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/HuYZH22, author = {Yue Hu and Haitong Yang and Guangyou Zhou and Jimmy Xiangji Huang}, title = {Generating Factoid Questions with Question Type Enhanced Representation and Attention-based Copy Mechanism}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {21}, number = {2}, pages = {31:1--31:18}, year = {2022}, url = {https://doi.org/10.1145/3474555}, doi = {10.1145/3474555}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/talip/HuYZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/ZhangZXH22, author = {Yi Zhang and Guangyou Zhou and Zhiwen Xie and Jimmy Xiangji Huang}, title = {{HGEN:} Learning Hierarchical Heterogeneous Graph Encoding for Math Word Problem Solving}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {30}, pages = {816--828}, year = {2022}, url = {https://doi.org/10.1109/TASLP.2022.3145314}, doi = {10.1109/TASLP.2022.3145314}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/ZhangZXH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/XieZHDN22, author = {Qianqian Xie and Yutao Zhu and Jimin Huang and Pan Du and Jian{-}Yun Nie}, title = {Graph Neural Collaborative Topic Model for Citation Recommendation}, journal = {{ACM} Trans. Inf. Syst.}, volume = {40}, number = {3}, pages = {48:1--48:30}, year = {2022}, url = {https://doi.org/10.1145/3473973}, doi = {10.1145/3473973}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tois/XieZHDN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/XieZZLZH22, author = {Zhiwen Xie and Runjie Zhu and Kunsong Zhao and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, title = {Dual Gated Graph Attention Networks with Dynamic Iterative Training for Cross-Lingual Entity Alignment}, journal = {{ACM} Trans. Inf. Syst.}, volume = {40}, number = {3}, pages = {44:1--44:30}, year = {2022}, url = {https://doi.org/10.1145/3471165}, doi = {10.1145/3471165}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/XieZZLZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/ZhaoHDCX22, author = {Jiashu Zhao and Jimmy Xiangji Huang and Hongbo Deng and Yi Chang and Long Xia}, title = {Are Topics Interesting or Not? An LDA-based Topic-graph Probabilistic Model for Web Search Personalization}, journal = {{ACM} Trans. Inf. Syst.}, volume = {40}, number = {3}, pages = {51:1--51:24}, year = {2022}, url = {https://doi.org/10.1145/3476106}, doi = {10.1145/3476106}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/ZhaoHDCX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/ThaiSHR22, author = {Anh Thai and Stefan Stojanov and Zixuan Huang and James M. Rehg}, title = {The Surprising Positive Knowledge Transfer in Continual 3D Object Shape Reconstruction}, booktitle = {International Conference on 3D Vision, 3DV 2022, Prague, Czech Republic, September 12-16, 2022}, pages = {209--218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/3DV57658.2022.00033}, doi = {10.1109/3DV57658.2022.00033}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dim/ThaiSHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/0002HH22, author = {Xing Tan and Jimmy X. Huang and Kai Huang}, editor = {Iluju Kiringa and S{\'{e}}bastien Gambs}, title = {Complexity Analysis of Green Pickup-and-Delivery Problems on Ring Structures}, booktitle = {35th Canadian Conference on Artificial Intelligence, Toronto, Ontario, Canada, May 30 - June 3, 2022}, publisher = {Canadian Artificial Intelligence Association}, year = {2022}, url = {https://doi.org/10.21428/594757db.b2f62ff9}, doi = {10.21428/594757DB.B2F62FF9}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ai/0002HH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SongKWLH22, author = {Ling{-}Yen Song and Tung{-}Chieh Kuo and Ming{-}Hung Wang and Chien{-}Nan Jimmy Liu and Juinn{-}Dar Huang}, title = {Fast Variation-aware Circuit Sizing Approach for Analog Design with ML-Assisted Evolutionary Algorithm}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {80--85}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712559}, doi = {10.1109/ASP-DAC52403.2022.9712559}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SongKWLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WangFZHMKZZL22, author = {Xingyue Wang and Jiansheng Fang and Na Zeng and Jingqi Huang and Hanpei Miao and William Robert Kwapong and Ziyi Zhang and Shuting Zhang and Jiang Liu}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Reassembling Consistent-Complementary Constraints in Triplet Network for Multi-view Learning of Medical Images}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {1235--1240}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995213}, doi = {10.1109/BIBM55620.2022.9995213}, timestamp = {Thu, 12 Jan 2023 09:26:28 +0100}, biburl = {https://dblp.org/rec/conf/bibm/WangFZHMKZZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZengFWLHML22, author = {Na Zeng and Jiansheng Fang and Xingyue Wang and Xiaoxi Lu and Jingqi Huang and Hanpei Miao and Jiang Liu}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Factoring 3D Convolutions for Medical Images by Depth-wise Dependencies-induced Adaptive Attention}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {883--886}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995195}, doi = {10.1109/BIBM55620.2022.9995195}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZengFWLHML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/XieHSA22, author = {Qianqian Xie and Jimin Huang and Tulika Saha and Sophia Ananiadou}, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {{GRETEL:} Graph Contrastive Topic Enhanced Language Model for Long Document Extractive Summarization}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {6259--6269}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.coling-1.546}, timestamp = {Thu, 13 Oct 2022 17:29:38 +0200}, biburl = {https://dblp.org/rec/conf/coling/XieHSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/LaiYCHCCM22, author = {Yu{-}Kuen Lai and Se{-}Young Yu and Iek{-}Seng Chan and Bo{-}Hsun Huang and Che{-}Hao Chang and Jim Hao Chen and Joe Mambretti}, editor = {Marco Chiesa and Shir Landau Feibish}, title = {Sketch-based entropy estimation: a tabular interpolation approach using {P4}}, booktitle = {Proceedings of the 5th International Workshop on {P4} in Europe, EuroP4 2022, Rome, Italy, 9 December 2022}, pages = {57--60}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565475.3569082}, doi = {10.1145/3565475.3569082}, timestamp = {Mon, 05 Dec 2022 10:25:52 +0100}, biburl = {https://dblp.org/rec/conf/conext/LaiYCHCCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GraumanWBCFGH0L22, author = {Kristen Grauman and Andrew Westbury and Eugene Byrne and Zachary Chavis and Antonino Furnari and Rohit Girdhar and Jackson Hamburger and Hao Jiang and Miao Liu and Xingyu Liu and Miguel Martin and Tushar Nagarajan and Ilija Radosavovic and Santhosh Kumar Ramakrishnan and Fiona Ryan and Jayant Sharma and Michael Wray and Mengmeng Xu and Eric Zhongcong Xu and Chen Zhao and Siddhant Bansal and Dhruv Batra and Vincent Cartillier and Sean Crane and Tien Do and Morrie Doulaty and Akshay Erapalli and Christoph Feichtenhofer and Adriano Fragomeni and Qichen Fu and Abrham Gebreselasie and Cristina Gonz{\'{a}}lez and James Hillis and Xuhua Huang and Yifei Huang and Wenqi Jia and Weslie Khoo and J{\'{a}}chym Kol{\'{a}}r and Satwik Kottur and Anurag Kumar and Federico Landini and Chao Li and Yanghao Li and Zhenqiang Li and Karttikeya Mangalam and Raghava Modhugu and Jonathan Munro and Tullie Murrell and Takumi Nishiyasu and Will Price and Paola Ruiz Puentes and Merey Ramazanova and Leda Sari and Kiran Somasundaram and Audrey Southerland and Yusuke Sugano and Ruijie Tao and Minh Vo and Yuchen Wang and Xindi Wu and Takuma Yagi and Ziwei Zhao and Yunyi Zhu and Pablo Arbel{\'{a}}ez and David Crandall and Dima Damen and Giovanni Maria Farinella and Christian Fuegen and Bernard Ghanem and Vamsi Krishna Ithapu and C. V. Jawahar and Hanbyul Joo and Kris Kitani and Haizhou Li and Richard A. Newcombe and Aude Oliva and Hyun Soo Park and James M. Rehg and Yoichi Sato and Jianbo Shi and Mike Zheng Shou and Antonio Torralba and Lorenzo Torresani and Mingfei Yan and Jitendra Malik}, title = {Ego4D: Around the World in 3, 000 Hours of Egocentric Video}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {18973--18990}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01842}, doi = {10.1109/CVPR52688.2022.01842}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GraumanWBCFGH0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GuCDRTGLSWYWXYC22, author = {Jinjin Gu and Haoming Cai and Chao Dong and Jimmy S. Ren and Radu Timofte and Yuan Gong and Shanshan Lao and Shuwei Shi and Jiahao Wang and Sidi Yang and Tianhe Wu and Weihao Xia and Yujiu Yang and Mingdeng Cao and Cong Heng and Lingzhi Fu and Rongyu Zhang and Yusheng Zhang and Hao Wang and Hongjian Song and Jing Wang and Haotian Fan and Xiaoxia Hou and Ming Sun and Mading Li and Kai Zhao and Kun Yuan and Zishang Kong and Mingda Wu and Chuanchuan Zheng and Marcos V. Conde and Maxime Burchi and Longtao Feng and Tao Zhang and Yang Li and Jingwen Xu and Haiqiang Wang and Yiting Liao and Junlin Li and Kele Xu and Tao Sun and Yunsheng Xiong and Abhisek Keshari and Komal and Sadbhawana Thakur and Vinit Jakhetiya and Badri N. Subudhi and Hao{-}Hsiang Yang and Hua{-}En Chang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Sy{-}Yen Kuo and Saikat Dutta and Sourya Dipta Das and Nisarg A. Shah and Anil Kumar Tiwari}, title = {{NTIRE} 2022 Challenge on Perceptual Image Quality Assessment}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {950--966}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00109}, doi = {10.1109/CVPRW56347.2022.00109}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/GuCDRTGLSWYWXYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/HuangSTJR22, author = {Zixuan Huang and Stefan Stojanov and Anh Thai and Varun Jampani and James M. Rehg}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Planes vs. Chairs: Category-Guided 3D Shape Learning Without any 3D Cues}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13661}, pages = {727--744}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19769-7\_42}, doi = {10.1007/978-3-031-19769-7\_42}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/HuangSTJR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/HuangSZBBO22, author = {Zanming Huang and Zhongkai Shangguan and Jimuyang Zhang and Gilad Bar and Matthew Boyd and Eshed Ohn{-}Bar}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{ASSISTER:} Assistive Navigation via Conditional Instruction Generation}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXVI}}, series = {Lecture Notes in Computer Science}, volume = {13696}, pages = {271--289}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20059-5\_16}, doi = {10.1007/978-3-031-20059-5\_16}, timestamp = {Thu, 03 Nov 2022 14:50:03 +0100}, biburl = {https://dblp.org/rec/conf/eccv/HuangSZBBO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HuangESBJPSIKJK22, author = {Hen{-}Wei Huang and Claas Ehmke and Christoph Steiger and Ian Ballinger and Miguel Jimenez and Nhi Phan and Haoying Sun and Keiko Ishida and Johannes Kuosmanen and Josh Jenkins and Joshua Korzenik and Alison Hayward and Giovanni Traverso}, title = {In Situ Detection of Gastrointestinal Inflammatory Biomarkers Using Electrochemical Gas Sensors}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {2491--2494}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871468}, doi = {10.1109/EMBC48229.2022.9871468}, timestamp = {Thu, 22 Sep 2022 19:31:35 +0200}, biburl = {https://dblp.org/rec/conf/embc/HuangESBJPSIKJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0008HKSC022, author = {Zifeng Wang and Shao{-}Lun Huang and Ercan Engin Kuruoglu and Jimeng Sun and Xi Chen and Yefeng Zheng}, title = {PAC-Bayes Information Bottleneck}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=iLHOIDsPv1P}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0008HKSC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmss/HuangHC22, author = {Chia{-}Hui Huang and Chun{-}Pin Huang and Chun{-}Chih Chen}, title = {Applying Kano Model to Explore the Attractive Design Attributes of Jimmy' Picture Book Cover Design}, booktitle = {2022 International Conference on Management Engineering, Software Engineering and Service Sciences, {ICMSS} 2022, Wuhan, China, January 7-9, 2022}, pages = {82--86}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICMSS55574.2022.00020}, doi = {10.1109/ICMSS55574.2022.00020}, timestamp = {Thu, 04 Aug 2022 13:58:45 +0200}, biburl = {https://dblp.org/rec/conf/icmss/HuangHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/WangTHJ22, author = {Shao{-}Hua Wang and Chia{-}Heng Tu and Ching{-}Chun Jim Huang and Jyh{-}Ching Juang}, title = {Execution Flow Aware Profiling for ROS-based Autonomous Vehicle Software}, booktitle = {Workshop Proceedings of the 51st International Conference on Parallel Processing, {ICPP} Workshops 2022, Bordeaux, France, 29 August 2022 - 1 September 2022}, pages = {13:1--13:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3547276.3548516}, doi = {10.1145/3547276.3548516}, timestamp = {Mon, 16 Jan 2023 12:03:13 +0100}, biburl = {https://dblp.org/rec/conf/icppw/WangTHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/ZhangCTZHD22, author = {Liang Zhang and Zhenhua Chen and Jinqian Tao and Kangyi Zhang and Zhaodun Huang and Hao Ding}, editor = {Marek Z. Reformat and Du Zhang and Nikolaos G. Bourbakis}, title = {A Convolutional Neural Network Based Infrared-Visible Image Fusion Method and its Application in Aerospace Measurement and Control}, booktitle = {34th {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2022, Macao, China, October 31 - November 2, 2022}, pages = {867--872}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTAI56018.2022.00133}, doi = {10.1109/ICTAI56018.2022.00133}, timestamp = {Tue, 25 Apr 2023 16:51:01 +0200}, biburl = {https://dblp.org/rec/conf/ictai/ZhangCTZHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZengSHZZ22, author = {Peng Zeng and Jianmin Shi and Jimao Huang and Yongxin Zhang and Wangfei Zhang}, title = {Component Forest Above Ground Biomass Estimation Using Lidar and Sardata}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {6395--6398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9883852}, doi = {10.1109/IGARSS46834.2022.9883852}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZengSHZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/HanHXP22, author = {Weiguang Han and Jimin Huang and Qianqian Xie and Min Peng}, title = {{DGR:} Decomposition Graph Reconstruction for Question Understanding}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCNN55064.2022.9892120}, doi = {10.1109/IJCNN55064.2022.9892120}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/HanHXP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/FanWJMYZTHZA22, author = {Linxi Fan and Guanzhi Wang and Yunfan Jiang and Ajay Mandlekar and Yuncong Yang and Haoyi Zhu and Andrew Tang and De{-}An Huang and Yuke Zhu and Anima Anandkumar}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {MineDojo: Building Open-Ended Embodied Agents with Internet-Scale Knowledge}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/74a67268c5cc5910f64938cac4526a90-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/FanWJMYZTHZA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiPPDWF0HAAAM0Z22, author = {Shuang Li and Xavier Puig and Chris Paxton and Yilun Du and Clinton Wang and Linxi Fan and Tao Chen and De{-}An Huang and Ekin Aky{\"{u}}rek and Anima Anandkumar and Jacob Andreas and Igor Mordatch and Antonio Torralba and Yuke Zhu}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Pre-Trained Language Models for Interactive Decision-Making}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/ca3b1f24fc0238edf5ed1ad226b9d655-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LiPPDWF0HAAAM0Z22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/StojanovTHR22, author = {Stefan Stojanov and Anh Thai and Zixuan Huang and James M. Rehg}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Learning Dense Object Descriptors from Multiple Views for Low-shot Category Generalization}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/517a0884c56008f8bf9d5912ca771d71-Abstract-Conference.html}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/StojanovTHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/ChengHT22, author = {Yu{-}Cheng Cheng and Ching{-}Chun Jim Huang and Chia{-}Heng Tu}, editor = {Arturo Gonz{\'{a}}lez{-}Escribano and Jos{\'{e}} Daniel Garc{\'{\i}}a and Massimo Torquati and Amund Skavhaug}, title = {{ESCA:} Effective System Call Aggregation for Event-Driven Servers}, booktitle = {30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2022, Valladolid, Spain, March 9-11, 2022}, pages = {18--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PDP55904.2022.00012}, doi = {10.1109/PDP55904.2022.00012}, timestamp = {Mon, 25 Apr 2022 07:42:26 +0200}, biburl = {https://dblp.org/rec/conf/pdp/ChengHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sai/WangHLY22, author = {Zan{-}Jun Wang and Ching{-}Chun Jim Huang and Shih{-}Wei Liao and Zih{-}shiuan Spin Yuan}, editor = {Kohei Arai}, title = {A Two-Way Atomic Exchange Protocol for Peer-to-Peer Data Trading}, booktitle = {Intelligent Computing - Proceedings of the 2022 Computing Conference, Volume 3, {SAI} 2022, Virtual Event, 14-15 July 2022}, series = {Lecture Notes in Networks and Systems}, volume = {508}, pages = {429--447}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-10467-1\_27}, doi = {10.1007/978-3-031-10467-1\_27}, timestamp = {Thu, 02 Feb 2023 13:35:22 +0100}, biburl = {https://dblp.org/rec/conf/sai/WangHLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sgiot/WiryasaputraHJY22, author = {Rita Wiryasaputra and Chin{-}Yin Huang and Jimmy Juliansyah and Chao{-}Tung Yang}, editor = {Der{-}Jiunn Deng and Han{-}Chieh Chao and Jyh{-}Cheng Chen}, title = {Face Emotion Expression Recognition Using {DLIB} Model and Convolutional Neural Network Approach for Supporting Online Learning}, booktitle = {Smart Grid and Internet of Things - 6th {EAI} International Conference, SGIoT 2022, TaiChung, Taiwan, November 19-20, 2022, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {497}, pages = {144--149}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-31275-5\_15}, doi = {10.1007/978-3-031-31275-5\_15}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sgiot/WiryasaputraHJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/XiaHXZYH22, author = {Lianghao Xia and Chao Huang and Yong Xu and Jiashu Zhao and Dawei Yin and Jimmy X. Huang}, editor = {Enrique Amig{\'{o}} and Pablo Castells and Julio Gonzalo and Ben Carterette and J. Shane Culpepper and Gabriella Kazai}, title = {Hypergraph Contrastive Collaborative Filtering}, booktitle = {{SIGIR} '22: The 45th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Madrid, Spain, July 11 - 15, 2022}, pages = {70--79}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477495.3532058}, doi = {10.1145/3477495.3532058}, timestamp = {Sat, 15 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/XiaHXZYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangH22, author = {Yizheng Huang and Jimmy X. Huang}, editor = {Ian Soboroff and Angela Ellis}, title = {York University at {TREC} 2022: Deep Learning Track}, booktitle = {Proceedings of the Thirty-First Text REtrieval Conference, {TREC} 2022, online, November 15-19, 2022}, series = {{NIST} Special Publication}, volume = {500-338}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2022}, url = {https://trec.nist.gov/pubs/trec31/papers/yorku22.D.pdf}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trec/HuangH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/BiHLCHS22, author = {Jichao Bi and Shibo He and Fengji Luo and Jiming Chen and Da{-}Wen Huang and Mingyang Sun}, title = {Differential Game Approach for Modelling and Defense of False Data Injection Attacks Targeting Energy Metering Systems}, booktitle = {{IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2022, Wuhan, China, December 9-11, 2022}, pages = {97--104}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/TrustCom56396.2022.00024}, doi = {10.1109/TRUSTCOM56396.2022.00024}, timestamp = {Mon, 27 Mar 2023 16:44:39 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/BiHLCHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/FanCLH22, author = {Kang{-}Yi Fan and Jyun{-}Hua Chen and Chien{-}Nan Liu and Juinn{-}Dar Huang}, title = {Performance Optimization for {MLP} Accelerators using ILP-Based On-Chip Weight Allocation Strategy}, booktitle = {2022 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSI-DAT54769.2022.9768095}, doi = {10.1109/VLSI-DAT54769.2022.9768095}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/FanCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/techedu/2022, editor = {Ars{\'{e}}nio Reis and Jo{\~{a}}o Barroso and Paulo Martins and Athanassios Jimoyiannis and Ray Yueh{-}Min Huang and Roberto Henriques}, title = {Technology and Innovation in Learning, Teaching and Education - Third International Conference, {TECH-EDU} 2022, Lisbon, Portugal, August 31 - September 2, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1720}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22918-3}, doi = {10.1007/978-3-031-22918-3}, isbn = {978-3-031-22917-6}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/techedu/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-00071, author = {Cheng Qian and Kejun Huang and Lucas Glass and Rakshith Sharma Srinivasa and Jimeng Sun}, title = {{JULIA:} Joint Multi-linear and Nonlinear Identification for Tensor Completion}, journal = {CoRR}, volume = {abs/2202.00071}, year = {2022}, url = {https://arxiv.org/abs/2202.00071}, eprinttype = {arXiv}, eprint = {2202.00071}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-00071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-01771, author = {Shuang Li and Xavier Puig and Chris Paxton and Yilun Du and Clinton Wang and Linxi Fan and Tao Chen and De{-}An Huang and Ekin Aky{\"{u}}rek and Anima Anandkumar and Jacob Andreas and Igor Mordatch and Antonio Torralba and Yuke Zhu}, title = {Pre-Trained Language Models for Interactive Decision-Making}, journal = {CoRR}, volume = {abs/2202.01771}, year = {2022}, url = {https://arxiv.org/abs/2202.01771}, eprinttype = {arXiv}, eprint = {2202.01771}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-01771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-08303, author = {Aaron Babier and Rafid Mahmood and Binghao Zhang and Victor Gabriel Leandro Alves and Ana Maria Barrag{\'{a}}n{-}Montero and Joel Beaudry and Carlos E. Cardenas S. and Yankui Chang and Zijie Chen and Jaehee Chun and Kelly Diaz and Harold David Eraso and Erik Faustmann and Sibaji Gaj and Skylar S. Gay and Mary Gronberg and Bingqi Guo and Junjun He and Gerd Heilemann and Sanchit Hira and Yuliang Huang and Fuxin Ji and Dashan Jiang and Jean Carlo Jimenez Giraldo and Hoyeon Lee and Jun Lian and Shuolin Liu and Keng{-}Chi Liu and Jos{\'{e}} Marrugo and Kentaro Miki and Kunio Nakamura and Tucker J. Netherton and Dan Nguyen and Hamidreza Nourzadeh and Alexander F. I. Osman and Zhao Peng and Jos{\'{e}} Dar{\'{\i}}o Quinto Mu{\~{n}}oz and Christian Ramsl and Dong Joo Rhee and Juan David Rodriguez and Hongming Shan and Jeffrey V. Siebers and Mumtaz Hussain Soomro and Kay Sun and Andr{\'{e}}s Usuga Hoyos and Carlos Valderrama and Rob Verbeek and Enpei Wang and Siri Willems and Qi Wu and Xuanang Xu and Sen Yang and Lulin Yuan and Simeng Zhu and Lukas Zimmermann and Kevin L. Moore and Thomas G. Purdie and Andrea L. McNiven and Timothy C. Y. Chan}, title = {OpenKBP-Opt: An international and reproducible evaluation of 76 knowledge-based planning pipelines}, journal = {CoRR}, volume = {abs/2202.08303}, year = {2022}, url = {https://arxiv.org/abs/2202.08303}, eprinttype = {arXiv}, eprint = {2202.08303}, timestamp = {Thu, 03 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-08303.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-09115, author = {Chenru Jiang and Kaizhu Huang and Shufei Zhang and Xinheng Wang and Jimin Xiao and Zhenxing Niu and Amir Hussain}, title = {Towards Simple and Accurate Human Pose Estimation with Stair Network}, journal = {CoRR}, volume = {abs/2202.09115}, year = {2022}, url = {https://arxiv.org/abs/2202.09115}, eprinttype = {arXiv}, eprint = {2202.09115}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-09115.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-10235, author = {Zixuan Huang and Stefan Stojanov and Anh Thai and Varun Jampani and James M. Rehg}, title = {Planes vs. Chairs: Category-guided 3D shape learning without any 3D cues}, journal = {CoRR}, volume = {abs/2204.10235}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.10235}, doi = {10.48550/ARXIV.2204.10235}, eprinttype = {arXiv}, eprint = {2204.10235}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-10235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12200, author = {Lianghao Xia and Chao Huang and Yong Xu and Jiashu Zhao and Dawei Yin and Jimmy Xiangji Huang}, title = {Hypergraph Contrastive Collaborative Filtering}, journal = {CoRR}, volume = {abs/2204.12200}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12200}, doi = {10.48550/ARXIV.2204.12200}, eprinttype = {arXiv}, eprint = {2204.12200}, timestamp = {Sat, 15 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12200.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-08853, author = {Linxi Fan and Guanzhi Wang and Yunfan Jiang and Ajay Mandlekar and Yuncong Yang and Haoyi Zhu and Andrew Tang and De{-}An Huang and Yuke Zhu and Anima Anandkumar}, title = {MineDojo: Building Open-Ended Embodied Agents with Internet-Scale Knowledge}, journal = {CoRR}, volume = {abs/2206.08853}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.08853}, doi = {10.48550/ARXIV.2206.08853}, eprinttype = {arXiv}, eprint = {2206.08853}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-08853.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07033, author = {Vijay Gadepally and Gregory Angelides and Andrei Barbu and Andrew Bowne and Laura J. Brattain and Tamara Broderick and Armando Cabrera and Glenn Carl and Ronisha Carter and Miriam Cha and Emilie Cowen and Jesse Cummings and Bill Freeman and James R. Glass and Sam Goldberg and Mark Hamilton and Thomas Heldt and Kuan Wei Huang and Phillip Isola and Boris Katz and Jamie Koerner and Yen{-}Chen Lin and David Mayo and Kyle McAlpin and Taylor Perron and Jean E. Piou and Hrishikesh M. Rao and Hayley Reynolds and Kaira Samuel and Siddharth Samsi and Morgan Schmidt and Leslie Shing and Olga Simek and Brandon Swenson and Vivienne Sze and Jonathan Taylor and Paul Tylkin and Mark Veillette and Matthew L. Weiss and Allan B. Wollaber and Sophia Yuditskaya and Jeremy Kepner}, title = {Developing a Series of {AI} Challenges for the United States Department of the Air Force}, journal = {CoRR}, volume = {abs/2207.07033}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07033}, doi = {10.48550/ARXIV.2207.07033}, eprinttype = {arXiv}, eprint = {2207.07033}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09982, author = {Qianqian Xie and Jimin Huang and Tulika Saha and Sophia Ananiadou}, title = {{GRETEL:} Graph Contrastive Topic Enhanced Language Model for Long Document Extractive Summarization}, journal = {CoRR}, volume = {abs/2208.09982}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09982}, doi = {10.48550/ARXIV.2208.09982}, eprinttype = {arXiv}, eprint = {2208.09982}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09982.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16940, author = {Yujia Huang and Ivan Dario Jimenez Rodriguez and Huan Zhang and Yuanyuan Shi and Yisong Yue}, title = {{FI-ODE:} Certified and Robust Forward Invariance in Neural ODEs}, journal = {CoRR}, volume = {abs/2210.16940}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16940}, doi = {10.48550/ARXIV.2210.16940}, eprinttype = {arXiv}, eprint = {2210.16940}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15059, author = {Stefan Stojanov and Anh Thai and Zixuan Huang and James M. Rehg}, title = {Learning Dense Object Descriptors from Multiple Views for Low-shot Category Generalization}, journal = {CoRR}, volume = {abs/2211.15059}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15059}, doi = {10.48550/ARXIV.2211.15059}, eprinttype = {arXiv}, eprint = {2211.15059}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiethics/HuangGY21, author = {Jimmy Yicheng Huang and Abhishek Gupta and Monica Youn}, title = {Survey of {EU} ethical guidelines for commercial {AI:} case studies in financial services}, journal = {{AI} Ethics}, volume = {1}, number = {4}, pages = {569--577}, year = {2021}, url = {https://doi.org/10.1007/s43681-021-00048-1}, doi = {10.1007/S43681-021-00048-1}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aiethics/HuangGY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/Huang21a, author = {Lili Huang}, title = {On the dynamics of localized excitation wave solutions to an extended (3+1)-dimensional Jimbo-Miwa equation}, journal = {Appl. Math. Lett.}, volume = {121}, pages = {107501}, year = {2021}, url = {https://doi.org/10.1016/j.aml.2021.107501}, doi = {10.1016/J.AML.2021.107501}, timestamp = {Tue, 17 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/Huang21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HuangFGZXS21, author = {Kexin Huang and Tianfan Fu and Lucas M. Glass and Marinka Zitnik and Cao Xiao and Jimeng Sun}, title = {DeepPurpose: a deep learning library for drug-target interaction prediction}, journal = {Bioinform.}, volume = {36}, number = {22-23}, pages = {5545--5547}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa1005}, doi = {10.1093/BIOINFORMATICS/BTAA1005}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HuangFGZXS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HuangXGS21, author = {Kexin Huang and Cao Xiao and Lucas M. Glass and Jimeng Sun}, title = {MolTrans: Molecular Interaction Transformer for drug-target interaction prediction}, journal = {Bioinform.}, volume = {37}, number = {6}, pages = {830--836}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa880}, doi = {10.1093/BIOINFORMATICS/BTAA880}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HuangXGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YuHZGSX21, author = {Yue Yu and Kexin Huang and Chao Zhang and Lucas M. Glass and Jimeng Sun and Cao Xiao}, title = {SumGNN: multi-typed drug interaction prediction via efficient knowledge graph summarization}, journal = {Bioinform.}, volume = {37}, number = {18}, pages = {2988--2995}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab207}, doi = {10.1093/BIOINFORMATICS/BTAB207}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/YuHZGSX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/YouHHVD21, author = {Yang You and Jingyue Huang and Cho{-}Jui Hsieh and Richard W. Vuduc and James Demmel}, title = {Communication-avoiding kernel ridge regression on parallel and distributed systems}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {3}, number = {3}, pages = {252--270}, year = {2021}, url = {https://doi.org/10.1007/s42514-021-00078-5}, doi = {10.1007/S42514-021-00078-5}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ccfthpc/YouHHVD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/Huang0LVPL21, author = {Zhu Huang and Tao Wang and Wei Liu and Luis Valencia{-}Cabrera and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Pengpeng Li}, title = {A Fault Analysis Method for Three-Phase Induction Motors Based on Spiking Neural {P} Systems}, journal = {Complex.}, volume = {2021}, pages = {2087027:1--2087027:19}, year = {2021}, url = {https://doi.org/10.1155/2021/2087027}, doi = {10.1155/2021/2087027}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/Huang0LVPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ChenCHKW21a, author = {Chien{-}Ming Chen and Lili Chen and Yanyu Huang and Sachin Kumar and Jimmy Ming{-}Tai Wu}, title = {Lightweight authentication protocol in edge-based smart grid environment}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2021}, number = {1}, pages = {68}, year = {2021}, url = {https://doi.org/10.1186/s13638-021-01930-6}, doi = {10.1186/S13638-021-01930-6}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/ChenCHKW21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ZhaoZGHC21, author = {Yinzhi Zhao and Jingui Zou and Jiming Guo and Gege Huang and Lixian Cai}, title = {A Novel Ultra-Wideband Double Difference Indoor Positioning Method with Additional Baseline Constraint}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {10}, pages = {634}, year = {2021}, url = {https://doi.org/10.3390/ijgi10100634}, doi = {10.3390/IJGI10100634}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/ZhaoZGHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/LvBZPHRP21, author = {Zeqiong Lv and Tingting Bao and Nan Zhou and Hong Peng and Xiangnian Huang and Agust{\'{\i}}n Riscos{-}N{\'{u}}{\~{n}}ez and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez}, title = {Spiking Neural {P} Systems with Extended Channel Rules}, journal = {Int. J. Neural Syst.}, volume = {31}, number = {1}, pages = {2050049:1--2050049:13}, year = {2021}, url = {https://doi.org/10.1142/S0129065720500495}, doi = {10.1142/S0129065720500495}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijns/LvBZPHRP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HuangLHML21, author = {Yongchuang Huang and Junxiu Liu and Jim Harkin and Liam McDaid and Yuling Luo}, title = {An memristor-based synapse implementation using {BCM} learning rule}, journal = {Neurocomputing}, volume = {423}, pages = {336--342}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.10.106}, doi = {10.1016/J.NEUCOM.2020.10.106}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/HuangLHML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/YinXZLYHW21, author = {Pengshuai Yin and Yanwu Xu and Jinhui Zhu and Jiang Liu and Chang'an Yi and Huichou Huang and Qingyao Wu}, title = {Deep level set learning for optic disc and cup segmentation}, journal = {Neurocomputing}, volume = {464}, pages = {330--341}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.08.102}, doi = {10.1016/J.NEUCOM.2021.08.102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/YinXZLYHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhouZHHH21, author = {Jie Zhou and Jiabao Zhao and Jimmy Xiangji Huang and Qinmin Vivian Hu and Liang He}, title = {{MASAD:} {A} large-scale dataset for multimodal aspect-based sentiment analysis}, journal = {Neurocomputing}, volume = {455}, pages = {47--58}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.05.040}, doi = {10.1016/J.NEUCOM.2021.05.040}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhouZHHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/XieTGHP21, author = {Qianqian Xie and Prayag Tiwari and Deepak Gupta and Jimin Huang and Min Peng}, title = {Neural variational sparse topic model for sparse explainable text representation}, journal = {Inf. Process. Manag.}, volume = {58}, number = {5}, pages = {102614}, year = {2021}, url = {https://doi.org/10.1016/j.ipm.2021.102614}, doi = {10.1016/J.IPM.2021.102614}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/XieTGHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhouXYH21, author = {Guangyou Zhou and Zhiwen Xie and Zongfu Yu and Jimmy Xiangji Huang}, title = {{DFM:} {A} parameter-shared deep fused model for knowledge base question answering}, journal = {Inf. Sci.}, volume = {547}, pages = {103--118}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2020.08.037}, doi = {10.1016/J.INS.2020.08.037}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhouXYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CarbonDGUHMBCDH21, author = {Seth Carbon and Eric Douglass and Benjamin M. Good and Deepak R. Unni and Nomi L. Harris and Christopher J. Mungall and Siddartha Basu and Rex L. Chisholm and Robert J. Dodson and Eric Hartline and Petra Fey and Paul D. Thomas and Laurent{-}Philippe Albou and Dustin Ebert and Michael J. Kesling and Huaiyu Mi and Anushya Muruganujan and Xiaosong Huang and Tremayne Mushayahama and Sandra A. LaBonte and Deborah A. Siegele and Giulia Antonazzo and Helen Attrill and Nick H. Brown and Phani V. Garapati and Steven J. Marygold and Vitor Trovisco and Gilberto dos Santos and Kathleen Falls and Christopher J. Tabone and Pinglei Zhou and Joshua L. Goodman and Victor B. Strelets and Jim Thurmond and Penelope Garmiri and Rizwan Ishtiaq and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Marcio Luis Acencio and Martin Kuiper and Astrid L{\ae}greid and Colin Logie and Ruth C. Lovering and Barbara Kramarz and Shirin C. C. Saverimuttu and Sandra M. Pinheiro and Heather Gunn and Renzhi Su and Katherine E. Thurlow and Marcus C. Chibucos and Michelle G. Giglio and Suvarna Nadendla and James B. Munro and Rebecca C. Jackson and Margaret J. Duesbury and Noemi del{-}Toro and Birgit H. M. Meldal and Kalpana Paneerselvam and Livia Perfetto and Pablo Porras and Sandra E. Orchard and Anjali Shrivastava and Hsin{-}Yu Chang and Robert D. Finn and Alex L. Mitchell and Neil D. Rawlings and Lorna J. Richardson and Amaia Sangrador{-}Vegas and Judith A. Blake and Karen R. Christie and Mary E. Dolan and Harold J. Drabkin and David P. Hill and Li Ni and Dmitry M. Sitnikov and Midori A. Harris and Stephen G. Oliver and Kim Rutherford and Valerie Wood and Jaqueline Hayles and J{\"{u}}rg B{\"{a}}hler and Elizabeth R. Bolton and Jeffrey DePons and Melinda R. Dwinell and G. Thomas Hayman and Mary L. Kaldunski and Anne E. Kwitek and Stanley J. F. Laulederkind and Cody Plasterer and Marek Tutaj and Mahima Vedi and Shur{-}Jen Wang and Peter D'Eustachio and Lisa Matthews and James P. Balhoff and Suzi A. Aleksander and Michael J. Alexander and J. Michael Cherry and Stacia R. Engel and Felix Gondwe and Kalpana Karra and Stuart R. Miyasato and Robert S. Nash and Matt Simison and Marek S. Skrzypek and Shuai Weng and Edith D. Wong and Marc Feuermann and Pascale Gaudet and Anne Morgat and Erica Bakker and Tanya Z. Berardini and Leonore Reiser and Shabari Subramaniam and Eva Huala and Cecilia N. Arighi and Andrea H. Auchincloss and Kristian B. Axelsen and Ghislaine Argoud{-}Puy and Alex Bateman and Marie{-}Claude Blatter and Emmanuel Boutet and Emily Bowler and Lionel Breuza and Alan J. Bridge and Ramona Britto and Hema Bye{-}A{-}Jee and Cristina Casals{-}Casas and Elisabeth Coudert and Paul Denny and Anne Estreicher and Maria Livia Famiglietti and George E. Georghiou and Arnaud Gos and Nadine Gruaz{-}Gumowski and Emma Hatton{-}Ellis and Chantal Hulo and Alexandr Ignatchenko and Florence Jungo and Kati Laiho and Philippe Le Mercier and Damien Lieberherr and Antonia Lock and Yvonne Lussi and Alistair MacDougall and Michele Magrane and Maria Jesus Martin and Patrick Masson and Darren A. Natale and Nevila Hyka{-}Nouspikel and Ivo Pedruzzi and Lucille Pourcel and Sylvain Poux and Sangya Pundir and Catherine Rivoire and Elena Speretta and Shyamala Sundaram and Nidhi Tyagi and Kate Warner and Rossana Zaru and Cathy H. Wu and Alexander D. Diehl and Juancarlos Chan and Christian A. Grove and Raymond Y. N. Lee and Hans{-}Michael M{\"{u}}ller and Daniela Raciti and Kimberly Van Auken and Paul W. Sternberg and Matthew Berriman and Michael Paulini and Kevin L. Howe and Sibyl Gao and Adam Wright and Lincoln Stein and Douglas G. Howe and Sabrina Toro and Monte Westerfield and Pankaj Jaiswal and Laurel Cooper and Justin Elser}, title = {The Gene Ontology resource: enriching a GOld mine}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D325--D334}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1113}, doi = {10.1093/NAR/GKAA1113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/CarbonDGUHMBCDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MeccaRJMMDZHNLR21, author = {Adam P. Mecca and Kelly Rogers and Zachary Jacobs and Julia W. McDonald and Hannah R. Michalak and Nicole DellaGioia and Wenzhen Zhao and Ansel T. Hillmer and Nabeel Nabulsi and Keunpoong Lim and Jim Ropchan and Yiyun Huang and David Matuskey and Irina Esterlis and Richard E. Carson and Christopher H. van Dyck}, title = {Effect of age on brain metabotropic glutamate receptor subtype 5 measured with [\({}^{\mbox{18}}\)F]FPEB {PET}}, journal = {NeuroImage}, volume = {238}, pages = {118217}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118217}, doi = {10.1016/J.NEUROIMAGE.2021.118217}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/MeccaRJMMDZHNLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/HuangXGCGS21, author = {Kexin Huang and Cao Xiao and Lucas M. Glass and Cathy W. Critchlow and Greg Gibson and Jimeng Sun}, title = {Machine learning applications for therapeutic tasks with genomics data}, journal = {Patterns}, volume = {2}, number = {10}, pages = {100328}, year = {2021}, url = {https://doi.org/10.1016/j.patter.2021.100328}, doi = {10.1016/J.PATTER.2021.100328}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/HuangXGCGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/AgiwalLMRSZZCCD21, author = {Ankur Agiwal and Kevin Lai and Gokul Nath Babu Manoharan and Indrajit Roy and Jagan Sankaranarayanan and Hao Zhang and Tao Zou and Jim Chen and Min Chen and Ming Dai and Thanh Do and Haoyu Gao and Haoyan Geng and Raman Grover and Bo Huang and Yanlai Huang and Adam Li and Jianyi Liang and Tao Lin and Li Liu and Yao Liu and Xi Mao and Maya Meng and Prashant Mishra and Jay Patel and Rajesh Sr and Vijayshankar Raman and Sourashis Roy and Mayank Singh Shishodia and Tianhang Sun and Justin Tang and Jun'ichi Tatemura and Sagar Trehan and Ramkumar Vadali and Prasanna Venkatasubramanian and Joey Zhang and Kefei Zhang and Yupu Zhang and Zeleng Zhuang and Goetz Graefe and Divy Agrawal and Jeffrey F. Naughton and Sujata Kosalge and Hakan Hacig{\"{u}}m{\"{u}}s}, title = {Napa: Powering Scalable Data Warehousing with Robust Query Performance at Google}, journal = {Proc. {VLDB} Endow.}, volume = {14}, number = {12}, pages = {2986--2998}, year = {2021}, url = {http://www.vldb.org/pvldb/vol14/p2986-sankaranarayanan.pdf}, doi = {10.14778/3476311.3476377}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/AgiwalLMRSZZCCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/ZhangHH21, author = {Jinyuan Zhang and Jimmy Xiangji Huang and Qinmin Vivian Hu}, title = {Global optimization with one-class classification-assisted selection}, journal = {Swarm Evol. Comput.}, volume = {60}, pages = {100801}, year = {2021}, url = {https://doi.org/10.1016/j.swevo.2020.100801}, doi = {10.1016/J.SWEVO.2020.100801}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/ZhangHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/XieZLZH21, author = {Zhiwen Xie and Runjie Zhu and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, title = {Hierarchical Neighbor Propagation With Bidirectional Graph Attention Network for Relation Prediction}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {29}, pages = {1762--1773}, year = {2021}, url = {https://doi.org/10.1109/TASLP.2021.3079812}, doi = {10.1109/TASLP.2021.3079812}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/XieZLZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcps/LaskarHSSPACL21, author = {Md. Tahmid Rahman Laskar and Jimmy Xiangji Huang and Vladan Smetana and Chris Stewart and Kees Pouw and Aijun An and Stephen Chan and Lei Liu}, title = {Extending Isolation Forest for Anomaly Detection in Big Data via K-Means}, journal = {{ACM} Trans. Cyber Phys. Syst.}, volume = {5}, number = {4}, pages = {41:1--41:26}, year = {2021}, url = {https://doi.org/10.1145/3460976}, doi = {10.1145/3460976}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcps/LaskarHSSPACL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ZhouWWZWHZ21, author = {Sanping Zhou and Jinjun Wang and Le Wang and Jimuyang Zhang and Fei Wang and Dong Huang and Nanning Zheng}, title = {Hierarchical and Interactive Refinement Network for Edge-Preserving Salient Object Detection}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {1--14}, year = {2021}, url = {https://doi.org/10.1109/TIP.2020.3027992}, doi = {10.1109/TIP.2020.3027992}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/ZhouWWZWHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WangWGDZHL21, author = {Haiqiang Wang and Yinying Wu and Chao Gao and Yue Deng and Fan Zhang and Jiajin Huang and Jiming Liu}, title = {Medication Combination Prediction Using Temporal Attention Mechanism and Simple Graph Convolution}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {10}, pages = {3995--4004}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2021.3082548}, doi = {10.1109/JBHI.2021.3082548}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/WangWGDZHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/TalLHYA21, author = {Omer Tal and Yang Liu and Jimmy X. Huang and Xiaohui Yu and Bushra Aljbawi}, title = {Neural Attention Frameworks for Explainable Recommendation}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {33}, number = {5}, pages = {2137--2150}, year = {2021}, url = {https://doi.org/10.1109/TKDE.2019.2953157}, doi = {10.1109/TKDE.2019.2953157}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/TalLHYA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/AlBaharLYSSH21, author = {Badour AlBahar and Jingwan Lu and Jimei Yang and Zhixin Shu and Eli Shechtman and Jia{-}Bin Huang}, title = {Pose with style: detail-preserving pose-guided image synthesis with conditional StyleGAN}, journal = {{ACM} Trans. Graph.}, volume = {40}, number = {6}, pages = {218:1--218:11}, year = {2021}, url = {https://doi.org/10.1145/3478513.3480559}, doi = {10.1145/3478513.3480559}, timestamp = {Sat, 24 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/AlBaharLYSSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenHLLYL21, author = {Kun{-}Chih Chen and Ya{-}Wei Huang and Geng{-}Ming Liu and Jing{-}Wen Liang and Yueh{-}Chi Yang and Yuan{-}Hao Liao}, title = {A Hierarchical K-Means-Assisted Scenario-Aware Reconfigurable Convolutional Neural Network}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {1}, pages = {176--188}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2020.3034351}, doi = {10.1109/TVLSI.2020.3034351}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenHLLYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/MiethigHDTMH21, author = {Ben Miethig and Yixin Huangfu and Jiahong Dong and Jimi Tjong and Martin von Mohrenschildt and Saeid R. Habibi}, title = {A Novel Method for Approximating Object Location Error in Bounding Box Detection Algorithms Using a Monocular Camera}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {9}, pages = {8682--8691}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3097589}, doi = {10.1109/TVT.2021.3097589}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/MiethigHDTMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0002H21, author = {Xing Tan and Jimmy Xiangji Huang}, title = {A Complexity-theoretic Analysis of Green Pickup-and-Delivery Problems}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {11990--11997}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i13.17424}, doi = {10.1609/AAAI.V35I13.17424}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0002H21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HuangCXXDCBZH21, author = {Chao Huang and Jiahui Chen and Lianghao Xia and Yong Xu and Peng Dai and Yanqing Chen and Liefeng Bo and Jiashu Zhao and Jimmy Xiangji Huang}, title = {Graph-Enhanced Multi-Task Learning of Multi-Level Transition Dynamics for Session-based Recommendation}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {4123--4130}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i5.16534}, doi = {10.1609/AAAI.V35I5.16534}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HuangCXXDCBZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/XieHDP21, author = {Qianqian Xie and Jimin Huang and Pan Du and Min Peng}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Graph Relational Topic Model with Higher-order Graph Attention Auto-encoders}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {2604--2613}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.230}, doi = {10.18653/V1/2021.FINDINGS-ACL.230}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/XieHDP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/BajkowskiHDHKSP21, author = {Trevor M. Bajkowski and J. Alex Hurt and Jeffrey Dale and David Huangal and James M. Keller and Grant J. Scott and Stanton R. Price}, title = {Evaluating Visuospatial Features for Tracking Hazards in Overhead {UAS} Imagery}, booktitle = {50th {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2021, Washington, DC, USA, October 12-14, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIPR52630.2021.9762206}, doi = {10.1109/AIPR52630.2021.9762206}, timestamp = {Mon, 02 May 2022 11:47:01 +0200}, biburl = {https://dblp.org/rec/conf/aipr/BajkowskiHDHKSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/LiangH21, author = {Zhaohui Liang and Jimmy Xiangji Huang}, title = {Adaptive Cycle-consistent Adversarial Network for Malaria Blood Cell Image Synthetization}, booktitle = {50th {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2021, Washington, DC, USA, October 12-14, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIPR52630.2021.9762068}, doi = {10.1109/AIPR52630.2021.9762068}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aipr/LiangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LiangH21, author = {Zhaohui Liang and Jimmy X. Huang}, title = {Cycle-Consistent Adversarial Network with Criterion for {COVID-19} Chest X-ray Image Generation}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t005-1.4625076/t005-1.4625077/3577625-1.4625591/3630743-1.4625588}, timestamp = {Wed, 17 Apr 2024 11:46:53 +0200}, biburl = {https://dblp.org/rec/conf/amia/LiangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/FuXHGS21, author = {Tianfan Fu and Cao Xiao and Kexin Huang and Lucas M. Glass and Jimeng Sun}, editor = {Hongmei Jiang and Xiuzhen Huang and Jiajie Zhang}, title = {{SPEAR:} self-supervised post-training enhancer for molecule optimization}, booktitle = {{BCB} '21: 12th {ACM} International Conference on Bioinformatics, Computational Biology and Health Informatics, Gainesville, Florida, USA, August 1-4, 2021}, pages = {27:1--27:10}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459930.3469530}, doi = {10.1145/3459930.3469530}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcb/FuXHGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/HuangHLY21, author = {Jim B. J. Huang and Anna Y. Q. Huang and Owen H. T. Lu and Stephen J. H. Yang}, editor = {Maiga Chang and Nian{-}Shing Chen and Demetrios G. Sampson and Ahmed Tlili}, title = {Exploring Learning Strategies by Sequence Clustering and Analysing their Correlation with Student's Engagement and Learning Outcome}, booktitle = {21st International Conference on Advanced Learning Technologies, {ICALT} 2021, Tartu, Estonia, July 12-15, 2021}, pages = {360--362}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICALT52272.2021.00115}, doi = {10.1109/ICALT52272.2021.00115}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icalt/HuangHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/LiHL21, author = {Jimei Li and Zhiyue Huang and Yan Li}, title = {Exploration on the Practical Teaching Environment of Enterprise Supply Chain Logistics Training}, booktitle = {16th International Conference on Computer Science {\&} Education, {ICCSE} 2021, Lancaster, United Kingdom, August 17-21, 2021}, pages = {978--982}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCSE51940.2021.9569367}, doi = {10.1109/ICCSE51940.2021.9569367}, timestamp = {Wed, 03 Nov 2021 16:14:37 +0100}, biburl = {https://dblp.org/rec/conf/iccse2/LiHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciscae/Huang21d, author = {Jiming Huang}, title = {Discussion on the Application of {CAD} Technology in Product Design}, booktitle = {{ICISCAE} 2021: 4th International Conference on Information Systems and Computer Aided Education, Dalian, China, September 24 - 26, 2021}, pages = {1839--1843}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3482632.3484050}, doi = {10.1145/3482632.3484050}, timestamp = {Thu, 02 Dec 2021 14:37:44 +0100}, biburl = {https://dblp.org/rec/conf/iciscae/Huang21d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/FanWHY0ZA21, author = {Linxi Fan and Guanzhi Wang and De{-}An Huang and Zhiding Yu and Li Fei{-}Fei and Yuke Zhu and Animashree Anandkumar}, editor = {Marina Meila and Tong Zhang}, title = {{SECANT:} Self-Expert Cloning for Zero-Shot Generalization of Visual Policies}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {3088--3099}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/fan21c.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/FanWHY0ZA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/SongWRBHPBMNM21, author = {Weiran Song and Hui Wang and Enayetur Rahman and Judit Barabas and Jiandong Huang and Ultan F. Power and Hugh J. Byrne and James McLaughlin and Chris D. Nugent and Paul Maguire}, title = {Rapid Classification of Respiratory Syncytial Virus and Sendai Virus by a Low-cost and Portable Near-infrared Spectrometer}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639533}, doi = {10.1109/SENSORS47087.2021.9639533}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/SongWRBHPBMNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ZhaoHY21, author = {Xuan Zhao and Jimmy X. Huang and Haitian Yang}, title = {CANs: Coupled-Attention Networks for Sarcasm Detection on Social Media}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533800}, doi = {10.1109/IJCNN52387.2021.9533800}, timestamp = {Tue, 10 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ZhaoHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/0001KKDDWNS21, author = {Qijing Huang and Aravind Kalaiah and Minwoo Kang and James Demmel and Grace Dinh and John Wawrzynek and Thomas Norell and Yakun Sophia Shao}, title = {CoSA: Scheduling by Constrained Optimization for Spatial Accelerators}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {554--566}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00050}, doi = {10.1109/ISCA52012.2021.00050}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/0001KKDDWNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/FollumBH21, author = {Jim Follum and Tamara Becejac and Renke Huang}, title = {Estimation of Electromechanical Modes of Oscillation in the Eastern Interconnection from Ambient {PMU} Data}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2021, Washington, DC, USA, February 16-18, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISGT49243.2021.9372216}, doi = {10.1109/ISGT49243.2021.9372216}, timestamp = {Thu, 25 Mar 2021 12:12:08 +0100}, biburl = {https://dblp.org/rec/conf/isgt/FollumBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/XieHDPN21, author = {Qianqian Xie and Jimin Huang and Pan Du and Min Peng and Jian{-}Yun Nie}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {Inductive Topic Variational Graph Auto-Encoder for Text Classification}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {4218--4227}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.333}, doi = {10.18653/V1/2021.NAACL-MAIN.333}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/XieHDPN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuangFG0RLCXSZ21, author = {Kexin Huang and Tianfan Fu and Wenhao Gao and Yue Zhao and Yusuf Roohani and Jure Leskovec and Connor W. Coley and Cao Xiao and Jimeng Sun and Marinka Zitnik}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {Therapeutics Data Commons: Machine Learning Datasets and Tasks for Drug Discovery and Development}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/4c56ff4ce4aaf9573aa5dff913df997a-Abstract-round1.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuangFG0RLCXSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangH21, author = {Yizheng Huang and Jimmy X. Huang}, editor = {Ian Soboroff and Angela Ellis}, title = {York University at {TREC} 2021: Deep Learning Track}, booktitle = {Proceedings of the Thirtieth Text REtrieval Conference, {TREC} 2021, online, November 15-19, 2021}, series = {{NIST} Special Publication}, volume = {500-335}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2021}, url = {https://trec.nist.gov/pubs/trec30/papers/yorku-DL.pdf}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trec/HuangH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/SongYLH21, author = {Ling{-}Yen Song and Chih{-}Shen Yeh and Chien{-}Nan Liu and Juinn{-}Dar Huang}, title = {Storage-Aware Scheduling Algorithm for Reservoir Switching Minimization on Digital Microfluidic Biochips}, booktitle = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2021, Hsinchu, Taiwan, April 19-22, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VLSI-DAT52063.2021.9427345}, doi = {10.1109/VLSI-DAT52063.2021.9427345}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/SongYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/XieHDPN21, author = {Qianqian Xie and Jimin Huang and Pan Du and Min Peng and Jian{-}Yun Nie}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Graph Topic Neural Network for Document Representation}, booktitle = {{WWW} '21: The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {3055--3065}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442381.3450045}, doi = {10.1145/3442381.3450045}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/XieHDPN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-04252, author = {Tianfan Fu and Kexin Huang and Cao Xiao and Lucas M. Glass and Jimeng Sun}, title = {{HINT:} Hierarchical Interaction Network for Trial Outcome Prediction Leveraging Web Data}, journal = {CoRR}, volume = {abs/2102.04252}, year = {2021}, url = {https://arxiv.org/abs/2102.04252}, eprinttype = {arXiv}, eprint = {2102.04252}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-04252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09548, author = {Kexin Huang and Tianfan Fu and Wenhao Gao and Yue Zhao and Yusuf Roohani and Jure Leskovec and Connor W. Coley and Cao Xiao and Jimeng Sun and Marinka Zitnik}, title = {Therapeutics Data Commons: Machine Learning Datasets and Tasks for Therapeutics}, journal = {CoRR}, volume = {abs/2102.09548}, year = {2021}, url = {https://arxiv.org/abs/2102.09548}, eprinttype = {arXiv}, eprint = {2102.09548}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00859, author = {Jimy Cai Huang and Hanna Kurniawati}, title = {An NCAP-like Safety Indicator for Self-Driving Cars}, journal = {CoRR}, volume = {abs/2104.00859}, year = {2021}, url = {https://arxiv.org/abs/2104.00859}, eprinttype = {arXiv}, eprint = {2104.00859}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-13190, author = {Md. Tahmid Rahman Laskar and Jimmy X. Huang and Vladan Smetana and Chris Stewart and Kees Pouw and Aijun An and Stephen Chan and Lei Liu}, title = {Extending Isolation Forest for Anomaly Detection in Big Data via K-Means}, journal = {CoRR}, volume = {abs/2104.13190}, year = {2021}, url = {https://arxiv.org/abs/2104.13190}, eprinttype = {arXiv}, eprint = {2104.13190}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-13190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01171, author = {Kexin Huang and Cao Xiao and Lucas M. Glass and Cathy W. Critchlow and Greg Gibson and Jimeng Sun}, title = {Machine Learning Applications for Therapeutic Tasks with Genomics Data}, journal = {CoRR}, volume = {abs/2105.01171}, year = {2021}, url = {https://arxiv.org/abs/2105.01171}, eprinttype = {arXiv}, eprint = {2105.01171}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01171.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01898, author = {Qijing Huang and Minwoo Kang and Grace Dinh and Thomas Norell and Aravind Kalaiah and James Demmel and John Wawrzynek and Yakun Sophia Shao}, title = {CoSA: Scheduling by Constrained Optimization for Spatial Accelerators}, journal = {CoRR}, volume = {abs/2105.01898}, year = {2021}, url = {https://arxiv.org/abs/2105.01898}, eprinttype = {arXiv}, eprint = {2105.01898}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09678, author = {Linxi Fan and Guanzhi Wang and De{-}An Huang and Zhiding Yu and Li Fei{-}Fei and Yuke Zhu and Anima Anandkumar}, title = {{SECANT:} Self-Expert Cloning for Zero-Shot Generalization of Visual Policies}, journal = {CoRR}, volume = {abs/2106.09678}, year = {2021}, url = {https://arxiv.org/abs/2106.09678}, eprinttype = {arXiv}, eprint = {2106.09678}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06166, author = {Badour AlBahar and Jingwan Lu and Jimei Yang and Zhixin Shu and Eli Shechtman and Jia{-}Bin Huang}, title = {Pose with Style: Detail-Preserving Pose-Guided Image Synthesis with Conditional StyleGAN}, journal = {CoRR}, volume = {abs/2109.06166}, year = {2021}, url = {https://arxiv.org/abs/2109.06166}, eprinttype = {arXiv}, eprint = {2109.06166}, timestamp = {Wed, 05 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-14509, author = {Zifeng Wang and Shao{-}Lun Huang and Ercan E. Kuruoglu and Jimeng Sun and Xi Chen and Yefeng Zheng}, title = {PAC-Bayes Information Bottleneck}, journal = {CoRR}, volume = {abs/2109.14509}, year = {2021}, url = {https://arxiv.org/abs/2109.14509}, eprinttype = {arXiv}, eprint = {2109.14509}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-14509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-03996, author = {Chao Huang and Jiahui Chen and Lianghao Xia and Yong Xu and Peng Dai and Yanqing Chen and Liefeng Bo and Jiashu Zhao and Jimmy Xiangji Huang}, title = {Graph-Enhanced Multi-Task Learning of Multi-Level Transition Dynamics for Session-based Recommendation}, journal = {CoRR}, volume = {abs/2110.03996}, year = {2021}, url = {https://arxiv.org/abs/2110.03996}, eprinttype = {arXiv}, eprint = {2110.03996}, timestamp = {Sat, 15 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-03996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-05762, author = {Gaurav Chachra and Qingkai Kong and Jim Huang and Srujay Korlakunta and Jennifer Grannen and Alexander Robson and Richard M. Allen}, title = {Detecting Damage Building Using Real-time Crowdsourced Images and Transfer Learning}, journal = {CoRR}, volume = {abs/2110.05762}, year = {2021}, url = {https://arxiv.org/abs/2110.05762}, eprinttype = {arXiv}, eprint = {2110.05762}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-05762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-07058, author = {Kristen Grauman and Andrew Westbury and Eugene Byrne and Zachary Chavis and Antonino Furnari and Rohit Girdhar and Jackson Hamburger and Hao Jiang and Miao Liu and Xingyu Liu and Miguel Martin and Tushar Nagarajan and Ilija Radosavovic and Santhosh Kumar Ramakrishnan and Fiona Ryan and Jayant Sharma and Michael Wray and Mengmeng Xu and Eric Zhongcong Xu and Chen Zhao and Siddhant Bansal and Dhruv Batra and Vincent Cartillier and Sean Crane and Tien Do and Morrie Doulaty and Akshay Erapalli and Christoph Feichtenhofer and Adriano Fragomeni and Qichen Fu and Christian Fuegen and Abrham Gebreselasie and Cristina Gonz{\'{a}}lez and James Hillis and Xuhua Huang and Yifei Huang and Wenqi Jia and Weslie Khoo and J{\'{a}}chym Kol{\'{a}}r and Satwik Kottur and Anurag Kumar and Federico Landini and Chao Li and Yanghao Li and Zhenqiang Li and Karttikeya Mangalam and Raghava Modhugu and Jonathan Munro and Tullie Murrell and Takumi Nishiyasu and Will Price and Paola Ruiz Puentes and Merey Ramazanova and Leda Sari and Kiran Somasundaram and Audrey Southerland and Yusuke Sugano and Ruijie Tao and Minh Vo and Yuchen Wang and Xindi Wu and Takuma Yagi and Yunyi Zhu and Pablo Arbel{\'{a}}ez and David Crandall and Dima Damen and Giovanni Maria Farinella and Bernard Ghanem and Vamsi Krishna Ithapu and C. V. Jawahar and Hanbyul Joo and Kris Kitani and Haizhou Li and Richard A. Newcombe and Aude Oliva and Hyun Soo Park and James M. Rehg and Yoichi Sato and Jianbo Shi and Mike Zheng Shou and Antonio Torralba and Lorenzo Torresani and Mingfei Yan and Jitendra Malik}, title = {Ego4D: Around the World in 3, 000 Hours of Egocentric Video}, journal = {CoRR}, volume = {abs/2110.07058}, year = {2021}, url = {https://arxiv.org/abs/2110.07058}, eprinttype = {arXiv}, eprint = {2110.07058}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-07058.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-11670, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy Xiangji Huang}, title = {Domain Adaptation with Pre-trained Transformers for Query Focused Abstractive Text Summarization}, journal = {CoRR}, volume = {abs/2112.11670}, year = {2021}, url = {https://arxiv.org/abs/2112.11670}, eprinttype = {arXiv}, eprint = {2112.11670}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-11670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ZhouHHH20, author = {Jie Zhou and Jimmy Xiangji Huang and Qinmin Vivian Hu and Liang He}, title = {Is position important? deep multi-task learning for aspect-based sentiment analysis}, journal = {Appl. Intell.}, volume = {50}, number = {10}, pages = {3367--3378}, year = {2020}, url = {https://doi.org/10.1007/s10489-020-01760-x}, doi = {10.1007/S10489-020-01760-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/ZhouHHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/QiHW20, author = {Feng{-}Hua Qi and Ye{-}Hui Huang and Pan Wang}, title = {Solitary-wave and new exact solutions for an extended (3+1)-dimensional Jimbo-Miwa-like equation}, journal = {Appl. Math. Lett.}, volume = {100}, year = {2020}, url = {https://doi.org/10.1016/j.aml.2019.106004}, doi = {10.1016/J.AML.2019.106004}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/appml/QiHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/JianHZYW20, author = {Fanghong Jian and Jimmy Xiangji Huang and Jiashu Zhao and Zhiwei Ying and Yuqi Wang}, title = {A topic-based term frequency normalization framework to enhance probabilistic information retrieval}, journal = {Comput. Intell.}, volume = {36}, number = {2}, pages = {486--521}, year = {2020}, url = {https://doi.org/10.1111/coin.12248}, doi = {10.1111/COIN.12248}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/JianHZYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangWWHPSVP20, author = {Tao Wang and Xiaoguang Wei and Jun Wang and Tao Huang and Hong Peng and Xiaoxiao Song and Luis Valencia{-}Cabrera and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez}, title = {A weighted corrective fuzzy reasoning spiking neural {P} system for fault diagnosis in power systems with variable topologies}, journal = {Eng. Appl. Artif. Intell.}, volume = {92}, pages = {103680}, year = {2020}, url = {https://doi.org/10.1016/j.engappai.2020.103680}, doi = {10.1016/J.ENGAPPAI.2020.103680}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/WangWWHPSVP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChenPHLCC20, author = {Chi{-}Jim Chen and Tun{-}Wen Pai and Hui{-}Huang Hsu and Chien{-}Hung Lee and Kuo{-}Su Chen and Yung{-}Chih Chen}, title = {Prediction of chronic kidney disease stages by renal ultrasound imaging}, journal = {Enterp. Inf. Syst.}, volume = {14}, number = {2}, pages = {178--195}, year = {2020}, url = {https://doi.org/10.1080/17517575.2019.1597386}, doi = {10.1080/17517575.2019.1597386}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ChenPHLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-net/ChenHZZCWW20, author = {Jianneng Chen and Zhen{-}Jie Huang and Yu{-}Ping Zhou and Fumin Zou and Chien{-}Ming Chen and Jimmy Ming{-}Tai Wu and Tsu{-}Yang Wu}, title = {Efficient certificate-based aggregate signature scheme for vehicular \emph{ad hoc} networks}, journal = {{IET} Networks}, volume = {9}, number = {6}, pages = {290--297}, year = {2020}, url = {https://doi.org/10.1049/iet-net.2020.0019}, doi = {10.1049/IET-NET.2020.0019}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-net/ChenHZZCWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/YuCZWLH20, author = {Jimin Yu and Long Chen and Shangbo Zhou and Limin Wang and Hantao Li and Saiao Huang}, title = {Adaptive image denoising for speckle noise images based on fuzzy logic}, journal = {Int. J. Imaging Syst. Technol.}, volume = {30}, number = {4}, pages = {1132--1142}, year = {2020}, url = {https://doi.org/10.1002/ima.22442}, doi = {10.1002/IMA.22442}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imst/YuCZWLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenHSHWL20, author = {Zhenhua Chen and Luqi Huang and Xiaonan Shi and Qiong Huang and Hao Wang and Xueqiao Liu}, title = {Privacy-preserving polynomial interpolation and its applications on predictive analysis}, journal = {Inf. Sci.}, volume = {541}, pages = {259--270}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.05.139}, doi = {10.1016/J.INS.2020.05.139}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChenHSHWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangHH20, author = {Jinyuan Zhang and Jimmy Xiangji Huang and Qinmin Vivian Hu}, title = {Boosting evolutionary optimization via fuzzy-classification-assisted selection}, journal = {Inf. Sci.}, volume = {519}, pages = {423--438}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.01.050}, doi = {10.1016/J.INS.2020.01.050}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ZhangHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhouCHHH20, author = {Jie Zhou and Qin Chen and Jimmy Xiangji Huang and Qinmin Vivian Hu and Liang He}, title = {Position-aware hierarchical transfer model for aspect-level sentiment classification}, journal = {Inf. Sci.}, volume = {513}, pages = {1--16}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.11.048}, doi = {10.1016/J.INS.2019.11.048}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ZhouCHHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/PanHHMYT20, author = {Min Pan and Jimmy Xiangji Huang and Tingting He and Zhiming Mao and Zhiwei Ying and Xinhui Tu}, title = {A simple kernel co-occurrence-based enhancement for pseudo-relevance feedback}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {71}, number = {3}, pages = {264--281}, year = {2020}, url = {https://doi.org/10.1002/asi.24241}, doi = {10.1002/ASI.24241}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/PanHHMYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZhouHHH20, author = {Jie Zhou and Jimmy Xiangji Huang and Qinmin Vivian Hu and Liang He}, title = {{SK-GCN:} Modeling Syntax and Knowledge via Graph Convolutional Network for aspect-level sentiment classification}, journal = {Knowl. Based Syst.}, volume = {205}, pages = {106292}, year = {2020}, url = {https://doi.org/10.1016/j.knosys.2020.106292}, doi = {10.1016/J.KNOSYS.2020.106292}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/ZhouHHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ChenZHLC20, author = {Duofang Chen and Shouping Zhu and Yi Huang and Jimin Liang and Xueli Chen}, title = {Removal of random-valued impulse noise from Cerenkov luminescence images}, journal = {Medical Biol. Eng. Comput.}, volume = {58}, number = {1}, pages = {131--141}, year = {2020}, url = {https://doi.org/10.1007/s11517-019-02069-9}, doi = {10.1007/S11517-019-02069-9}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/ChenZHLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhangHHQ20, author = {Sulan Zhang and Jinlong Huang and Jim Hanan and Lin Qin}, title = {A hyperspectral {GA-PLSR} model for prediction of pine wilt disease}, journal = {Multim. Tools Appl.}, volume = {79}, number = {23-24}, pages = {16645--16661}, year = {2020}, url = {https://doi.org/10.1007/s11042-019-07976-5}, doi = {10.1007/S11042-019-07976-5}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ZhangHHQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/QianHWXZ20, author = {Zhuang Qian and Kaizhu Huang and Qiu{-}Feng Wang and Jimin Xiao and Rui Zhang}, title = {Generative adversarial classifier for handwriting characters super-resolution}, journal = {Pattern Recognit.}, volume = {107}, pages = {107453}, year = {2020}, url = {https://doi.org/10.1016/j.patcog.2020.107453}, doi = {10.1016/J.PATCOG.2020.107453}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/QianHWXZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JimohLH20, author = {Oluwaseyi Jimoh and Jiuhou Lei and Fuqing Huang}, title = {Investigation of Daytime Total Electron Content Enhancements over the Asian-Australian Sector Observed from the Beidou Geostationary Satellite during 2016-2018}, journal = {Remote. Sens.}, volume = {12}, number = {20}, pages = {3406}, year = {2020}, url = {https://doi.org/10.3390/rs12203406}, doi = {10.3390/RS12203406}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/JimohLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhouYLRHL20, author = {Yan Zhou and Shaomin Yang and Jiesi Luo and Jim Ray and Yong Huang and Jiancheng Li}, title = {Global Glacial Isostatic Adjustment Constrained by {GPS} Measurements: Spherical Harmonic Analyses of Uplifts and Geopotential Variations}, journal = {Remote. Sens.}, volume = {12}, number = {7}, pages = {1209}, year = {2020}, url = {https://doi.org/10.3390/rs12071209}, doi = {10.3390/RS12071209}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhouYLRHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/ZhengXHZ20, author = {Dingyuan Zheng and Jimin Xiao and Kaizhu Huang and Yao Zhao}, title = {Segmentation mask guided end-to-end person search}, journal = {Signal Process. Image Commun.}, volume = {86}, pages = {115876}, year = {2020}, url = {https://doi.org/10.1016/j.image.2020.115876}, doi = {10.1016/J.IMAGE.2020.115876}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/ZhengXHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WangSHL20, author = {Ya{-}Lan Wang and Kao{-}Yi Shen and Jim{-}Yuh Huang and Pin Luarn}, title = {Use of a Refined Corporate Social Responsibility Model to Mitigate Information Asymmetry and Evaluate Performance}, journal = {Symmetry}, volume = {12}, number = {8}, pages = {1349}, year = {2020}, url = {https://doi.org/10.3390/sym12081349}, doi = {10.3390/SYM12081349}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/WangSHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/XieXHTZ20, author = {Yanchun Xie and Jimin Xiao and Kaizhu Huang and Jeyarajan Thiyagalingam and Yao Zhao}, title = {Correlation Filter Selection for Visual Tracking Using Reinforcement Learning}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {30}, number = {1}, pages = {192--204}, year = {2020}, url = {https://doi.org/10.1109/TCSVT.2018.2889488}, doi = {10.1109/TCSVT.2018.2889488}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/XieXHTZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ZhouWZWHDZ20, author = {Sanping Zhou and Jinjun Wang and Jimuyang Zhang and Le Wang and Dong Huang and Shaoyi Du and Nanning Zheng}, title = {Hierarchical U-Shape Attention Network for Salient Object Detection}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {8417--8428}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.3011554}, doi = {10.1109/TIP.2020.3011554}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/ZhouWZWHDZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/ChenXZXYH20, author = {Xu Chen and Kun Xiong and Yongfeng Zhang and Long Xia and Dawei Yin and Jimmy Xiangji Huang}, title = {Neural Feature-aware Recommendation with Signed Hypergraph Convolutional Network}, journal = {{ACM} Trans. Inf. Syst.}, volume = {39}, number = {1}, pages = {8:1--8:22}, year = {2020}, url = {https://doi.org/10.1145/3423322}, doi = {10.1145/3423322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/ChenXZXYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HuangXHGS20, author = {Kexin Huang and Cao Xiao and Trong Nghia Hoang and Lucas Glass and Jimeng Sun}, title = {{CASTER:} Predicting Drug Interactions with Chemical Substructure Representation}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {702--709}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i01.5412}, doi = {10.1609/AAAI.V34I01.5412}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HuangXHGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangXWSH20, author = {Bingfeng Zhang and Jimin Xiao and Yunchao Wei and Mingjie Sun and Kaizhu Huang}, title = {Reliability Does Matter: An End-to-End Weakly Supervised Semantic Segmentation Approach}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {12765--12772}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i07.6971}, doi = {10.1609/AAAI.V34I07.6971}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangXWSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/XieZLH20, author = {Zhiwen Xie and Guangyou Zhou and Jin Liu and Jimmy Xiangji Huang}, editor = {Dan Jurafsky and Joyce Chai and Natalie Schluter and Joel R. Tetreault}, title = {ReInceptionE: Relation-Aware Inception Network with Joint Local-Global Structural Information for Knowledge Graph Embedding}, booktitle = {Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics, {ACL} 2020, Online, July 5-10, 2020}, pages = {5929--5939}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.acl-main.526}, doi = {10.18653/V1/2020.ACL-MAIN.526}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/XieZLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/LaskarHH20, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy X. Huang}, editor = {Cyril Goutte and Xiaodan Zhu}, title = {Query Focused Abstractive Summarization via Incorporating Query Relevance and Transfer Learning with Transformer Models}, booktitle = {Advances in Artificial Intelligence - 33rd Canadian Conference on Artificial Intelligence, Canadian {AI} 2020, Ottawa, ON, Canada, May 13-15, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12109}, pages = {342--348}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-47358-7\_35}, doi = {10.1007/978-3-030-47358-7\_35}, timestamp = {Mon, 18 Dec 2023 11:22:01 +0100}, biburl = {https://dblp.org/rec/conf/ai/LaskarHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/BajkowskiHHDKSP20, author = {Trevor M. Bajkowski and David Huangal and J. Alex Hurt and Jeffrey Dale and James M. Keller and Grant J. Scott and Stanton R. Price}, title = {Spatiotemporal Maneuverability Hazard Analytics from Low-Altitude {UAS} Sensors}, booktitle = {49th {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2020, Washington, DC, USA, October 13-15, 2020}, pages = {1--13}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AIPR50011.2020.9425160}, doi = {10.1109/AIPR50011.2020.9425160}, timestamp = {Mon, 17 May 2021 14:15:43 +0200}, biburl = {https://dblp.org/rec/conf/aipr/BajkowskiHHDKSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr/DaleHHBKSP20, author = {Jeffrey J. Dale and David Huangal and J. Alex Hurt and Trevor M. Bajkowski and James M. Keller and Grant J. Scott and Stanton R. Price}, title = {Detection of unknown maneuverability hazards in low-altitude {UAS} color imagery using linear features}, booktitle = {49th {IEEE} Applied Imagery Pattern Recognition Workshop, {AIPR} 2020, Washington, DC, USA, October 13-15, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AIPR50011.2020.9425255}, doi = {10.1109/AIPR50011.2020.9425255}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aipr/DaleHHBKSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LaiHLTCNLLC20, author = {Yu{-}Kuen Lai and Po{-}Yu Huang and Ho{-}Ping Lee and Cheng{-}Lin Tsai and Cheng{-}Sheng Chang and Manh Hung Nguyen and Yu{-}Jau Lin and Te{-}Lung Liu and Jim Hao Chen}, title = {Real-Time DDoS Attack Detection using Sketch-based Entropy Estimation on the NetFPGA {SUME} Platform}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {1566--1570}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306355}, timestamp = {Thu, 11 Feb 2021 11:44:30 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/LaiHLTCNLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangH0C20, author = {Zhaohui Liang and Jimmy Xiangji Huang and Jun Li and Stephen Chan}, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {Enhancing Automated {COVID-19} Chest X-ray Diagnosis by Image-to-Image {GAN} Translation}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, pages = {1068--1071}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020.9313466}, doi = {10.1109/BIBM49941.2020.9313466}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiangH0C20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ManiYGHLS20, author = {Kaushik Mani and Xiang Yue and Bernal Jimenez Gutierrez and Yungui Huang and Simon M. Lin and Huan Sun}, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {Clinical Phrase Mining with Language Models}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, pages = {1087--1090}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020.9313496}, doi = {10.1109/BIBM49941.2020.9313496}, timestamp = {Wed, 31 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ManiYGHLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/LinHYY20, author = {Ching{-}Hua Vivian Lin and Ching{-}Chun Jim Huang and Yang{-}Hao Yuan and Zih{-}shiuan Spin Yuan}, title = {A Fully Decentralized Infrastructure for Subscription-based IoT Data Trading}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2020, Rhodes, Greece, November 2-6, 2020}, pages = {162--169}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/Blockchain50366.2020.00027}, doi = {10.1109/BLOCKCHAIN50366.2020.00027}, timestamp = {Fri, 30 Apr 2021 12:35:39 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/LinHYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/KumarCRH20, author = {Dinesh Kumar and Somnath Chakrabarti and Ashok Sunder Rajan and Jim Huang}, title = {Scaling Telecom Core Network Functions in Public Cloud Infrastructure}, booktitle = {12th {IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2020, Bangkok, Thailand, December 14-17, 2020}, pages = {9--16}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CloudCom49646.2020.00006}, doi = {10.1109/CLOUDCOM49646.2020.00006}, timestamp = {Wed, 05 May 2021 15:12:32 +0200}, biburl = {https://dblp.org/rec/conf/cloudcom/KumarCRH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LaskarHH20, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy Xiangji Huang}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {{WSL-DS:} Weakly Supervised Learning with Distant Supervision for Query Focused Multi-Document Abstractive Summarization}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {5647--5654}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.495}, doi = {10.18653/V1/2020.COLING-MAIN.495}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/LaskarHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/XieZZLZH20, author = {Zhiwen Xie and Runjie Zhu and Kunsong Zhao and Jin Liu and Guangyou Zhou and Jimmy Xiangji Huang}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {A Contextual Alignment Enhanced Cross Graph Attention Network for Cross-lingual Entity Alignment}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {5918--5928}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.520}, doi = {10.18653/V1/2020.COLING-MAIN.520}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/XieZZLZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShaoLHBC20, author = {Leilai Shao and Ting Lei and Tsung{-}Ching Huang and Zhenan Bao and Kwang{-}Ting Cheng}, title = {Robust Design of Large Area Flexible Electronics via Compressed Sensing}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218570}, doi = {10.1109/DAC18072.2020.9218570}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShaoLHBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ZhouHHH20, author = {Jie Zhou and Jimmy Xiangji Huang and Qinmin Vivian Hu and Liang He}, editor = {Yunmook Nah and Bin Cui and Sang{-}Won Lee and Jeffrey Xu Yu and Yang{-}Sae Moon and Steven Euijong Whang}, title = {Modeling Multi-aspect Relationship with Joint Learning for Aspect-Level Sentiment Classification}, booktitle = {Database Systems for Advanced Applications - 25th International Conference, {DASFAA} 2020, Jeju, South Korea, September 24-27, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12112}, pages = {786--802}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59410-7\_54}, doi = {10.1007/978-3-030-59410-7\_54}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/ZhouHHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/XieXSYH20, author = {Yanchun Xie and Jimin Xiao and Mingjie Sun and Chao Yao and Kaizhu Huang}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Feature Representation Matters: End-to-End Learning for Reference-Based Image Super-Resolution}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12349}, pages = {230--245}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58548-8\_14}, doi = {10.1007/978-3-030-58548-8\_14}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/XieXSYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/HuangPBV20, author = {Xiao Shi Huang and Felipe P{\'{e}}rez and Jimmy Ba and Maksims Volkovs}, title = {Improving Transformer Optimization Through Better Initialization}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {4475--4483}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/huang20f.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/HuangPBV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/HuangY20, author = {Ching{-}Chun Jim Huang and Chung{-}Fan Yang}, title = {An Empirical Approach to Minimize Latency of Real-Time Multiprocessor Linux Kernel}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {214--218}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00051}, doi = {10.1109/ICS51289.2020.00051}, timestamp = {Wed, 03 Mar 2021 13:05:39 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/HuangY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/WangLTHJ20, author = {Shao{-}Hua Wang and Chen{-}Xuan Lin and Chia{-}Heng Tu and Ching{-}Chun Jim Huang and Jyh{-}Ching Juang}, title = {Autonomous Vehicle Simulation for Asia Urban Areas with a Perspective from Education}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {454--458}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00095}, doi = {10.1109/ICS51289.2020.00095}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/WangLTHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/FanAWHOTH20, author = {Xiaoyuan Fan and Sinan Aksoy and Dexin Wang and Qiuhua Huang and James Ogle and Ahmad Tbaileh and Renke Huang}, title = {Automated Realistic Testbed Synthesis for Power System Communication Networks based on Graph Metrics}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2020, Washington, DC, USA, February 17-20, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISGT45199.2020.9087672}, doi = {10.1109/ISGT45199.2020.9087672}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/FanAWHOTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BrownABCCGHLMSS20, author = {Jonathan K. Brown and David Abdallah and Jim Boley and Nicholas Collins and Kyle Craig and Greg Glennon and Kuo{-}Ken Huang and Christopher J. Lukas and William Moore and Richard K. Sawyer and Yousef Shakhsheer and Farah B. Yahya and Alice Wang and Nathan E. Roberts and David D. Wentzloff and Benton H. Calhoun}, title = {27.1 {A} 65nm Energy-Harvesting {ULP} SoC with 256kB Cortex-M0 Enabling an 89.1{\(\mathrm{\mu}\)}W Continuous Machine Health Monitoring Wireless Self-Powered System}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {420--422}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063067}, doi = {10.1109/ISSCC19947.2020.9063067}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BrownABCCGHLMSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/WangZHSWWHL20, author = {Junling Wang and Yuehan Zhang and Jiani Huang and Jiayu Shen and Yiyang Wang and Jiamin Wang and Jiming Hu and Wei Lu}, editor = {Ruhua Huang and Dan Wu and Gary Marchionini and Daqing He and Sally Jo Cunningham and Preben Hansen}, title = {Analyzing International Relations from British Parliamentary Debates}, booktitle = {{JCDL} '20: Proceedings of the {ACM/IEEE} Joint Conference on Digital Libraries in 2020, Virtual Event, China, August 1-5, 2020}, pages = {463--464}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3383583.3398565}, doi = {10.1145/3383583.3398565}, timestamp = {Thu, 25 Apr 2024 15:20:40 +0200}, biburl = {https://dblp.org/rec/conf/jcdl/WangZHSWWHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/LaskarHH20, author = {Md. Tahmid Rahman Laskar and Jimmy Xiangji Huang and Enamul Hoque}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Contextualized Embeddings based Transformer Encoder for Sentence Similarity Modeling in Answer Selection Task}, booktitle = {Proceedings of The 12th Language Resources and Evaluation Conference, {LREC} 2020, Marseille, France, May 11-16, 2020}, pages = {5505--5514}, publisher = {European Language Resources Association}, year = {2020}, url = {https://aclanthology.org/2020.lrec-1.676/}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/LaskarHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/JiangHZWX20, author = {Chenru Jiang and Kaizhu Huang and Shufei Zhang and Xinheng Wang and Jimin Xiao}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Pay Attention Selectively and Comprehensively: Pyramid Gating Network for Human Pose Estimation without Pre-training}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {2364--2371}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3414041}, doi = {10.1145/3394171.3414041}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/JiangHZWX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/YeLHLZ20, author = {Dandan Ye and Martin J. Liu and Jimmy C. Huang and Jun Luo and Zhengchun Zhi}, editor = {Doug Vogel and Kathy Ning Shen and Pan Shan Ling and Carol Hsu and James Y. L. Thong and Marco De Marco and Moez Limayem and Sean Xin Xu}, title = {How to Make Efficient Pivotings for New Ventures: The Role of Digital Resources Orchestration}, booktitle = {24th Pacific Asia Conference on Information Systems, {PACIS} 2020, Dubai, UAE, June 22-24, 2020}, pages = {49}, year = {2020}, url = {https://aisel.aisnet.org/pacis2020/49}, timestamp = {Wed, 10 Jun 2020 14:48:21 +0200}, biburl = {https://dblp.org/rec/conf/pacis/YeLHLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/WangFXZNH20, author = {Pengfei Wang and Yu Fan and Long Xia and Wayne Xin Zhao and Shaozhang Niu and Jimmy X. Huang}, editor = {Jimmy X. Huang and Yi Chang and Xueqi Cheng and Jaap Kamps and Vanessa Murdock and Ji{-}Rong Wen and Yiqun Liu}, title = {{KERL:} {A} Knowledge-Guided Reinforcement Learning Model for Sequential Recommendation}, booktitle = {Proceedings of the 43rd International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} 2020, Virtual Event, China, July 25-30, 2020}, pages = {209--218}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397271.3401134}, doi = {10.1145/3397271.3401134}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/WangFXZNH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZouXGZ0HY20, author = {Lixin Zou and Long Xia and Yulong Gu and Xiangyu Zhao and Weidong Liu and Jimmy Xiangji Huang and Dawei Yin}, editor = {Jimmy X. Huang and Yi Chang and Xueqi Cheng and Jaap Kamps and Vanessa Murdock and Ji{-}Rong Wen and Yiqun Liu}, title = {Neural Interactive Collaborative Filtering}, booktitle = {Proceedings of the 43rd International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} 2020, Virtual Event, China, July 25-30, 2020}, pages = {749--758}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397271.3401181}, doi = {10.1145/3397271.3401181}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/ZouXGZ0HY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ZouYCZPH20, author = {Yuliang Zou and Jimei Yang and Duygu Ceylan and Jianming Zhang and Federico Perazzi and Jia{-}Bin Huang}, title = {Reducing Footskate in Human Motion Reconstruction with Ground Contact Constraints}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2020, Snowmass Village, CO, USA, March 1-5, 2020}, pages = {448--457}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WACV45572.2020.9093329}, doi = {10.1109/WACV45572.2020.9093329}, timestamp = {Sat, 24 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ZouYCZPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sigir/2020, editor = {Jimmy X. Huang and Yi Chang and Xueqi Cheng and Jaap Kamps and Vanessa Murdock and Ji{-}Rong Wen and Yiqun Liu}, title = {Proceedings of the 43rd International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} 2020, Virtual Event, China, July 25-30, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397271}, doi = {10.1145/3397271}, isbn = {978-1-4503-8016-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-11180, author = {Jimuyang Zhang and Sanping Zhou and Xin Chang and Fangbin Wan and Jinjun Wang and Yang Wu and Dong Huang}, title = {Multiple Object Tracking by Flowing and Fusing}, journal = {CoRR}, volume = {abs/2001.11180}, year = {2020}, url = {https://arxiv.org/abs/2001.11180}, eprinttype = {arXiv}, eprint = {2001.11180}, timestamp = {Sun, 04 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-11180.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-08919, author = {Kexin Huang and Tianfan Fu and Cao Xiao and Lucas Glass and Jimeng Sun}, title = {DeepPurpose: a Deep Learning Based Drug Repurposing Toolkit}, journal = {CoRR}, volume = {abs/2004.08919}, year = {2020}, url = {https://arxiv.org/abs/2004.08919}, eprinttype = {arXiv}, eprint = {2004.08919}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-08919.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-11424, author = {Kexin Huang and Cao Xiao and Lucas Glass and Jimeng Sun}, title = {MolTrans: Molecular Interaction Transformer for Drug Target Interaction Prediction}, journal = {CoRR}, volume = {abs/2004.11424}, year = {2020}, url = {https://arxiv.org/abs/2004.11424}, eprinttype = {arXiv}, eprint = {2004.11424}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-11424.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-13344, author = {Shufei Zhang and Zhuang Qian and Kaizhu Huang and Jimin Xiao and Yuan He}, title = {Robust Generative Adversarial Network}, journal = {CoRR}, volume = {abs/2004.13344}, year = {2020}, url = {https://arxiv.org/abs/2004.13344}, eprinttype = {arXiv}, eprint = {2004.13344}, timestamp = {Sat, 02 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-13344.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-14949, author = {Kexin Huang and Cao Xiao and Lucas Glass and Marinka Zitnik and Jimeng Sun}, title = {SkipGNN: Predicting Molecular Interactions with Skip-Graph Networks}, journal = {CoRR}, volume = {abs/2004.14949}, year = {2020}, url = {https://arxiv.org/abs/2004.14949}, eprinttype = {arXiv}, eprint = {2004.14949}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-14949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02095, author = {Lixin Zou and Long Xia and Yulong Gu and Xiangyu Zhao and Weidong Liu and Jimmy Xiangji Huang and Dawei Yin}, title = {Neural Interactive Collaborative Filtering}, journal = {CoRR}, volume = {abs/2007.02095}, year = {2020}, url = {https://arxiv.org/abs/2007.02095}, eprinttype = {arXiv}, eprint = {2007.02095}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02095.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03053, author = {Xuliang Zhu and Xin Huang and Byron Choi and Jianliang Xu and William K. Cheung and Yanchun Zhang and Jiming Liu}, title = {Ontology-based Graph Visualization for Summarized View}, journal = {CoRR}, volume = {abs/2008.03053}, year = {2020}, url = {https://arxiv.org/abs/2008.03053}, eprinttype = {arXiv}, eprint = {2008.03053}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03053.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-01450, author = {Yue Yu and Kexin Huang and Chao Zhang and Lucas M. Glass and Jimeng Sun and Cao Xiao}, title = {SumGNN: Multi-typed Drug Interaction Prediction via Efficient Knowledge Graph Summarization}, journal = {CoRR}, volume = {abs/2010.01450}, year = {2020}, url = {https://arxiv.org/abs/2010.01450}, eprinttype = {arXiv}, eprint = {2010.01450}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-01450.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-03951, author = {Kexin Huang and Tianfan Fu and Dawood Khan and Ali Abid and Ali Abdalla and Abubakar Abid and Lucas M. Glass and Marinka Zitnik and Cao Xiao and Jimeng Sun}, title = {MolDesigner: Interactive Design of Efficacious Drugs with Deep Learning}, journal = {CoRR}, volume = {abs/2010.03951}, year = {2020}, url = {https://arxiv.org/abs/2010.03951}, eprinttype = {arXiv}, eprint = {2010.03951}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-03951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-01421, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy Xiangji Huang}, title = {{WSL-DS:} Weakly Supervised Learning with Distant Supervision for Query Focused Multi-Document Abstractive Summarization}, journal = {CoRR}, volume = {abs/2011.01421}, year = {2020}, url = {https://arxiv.org/abs/2011.01421}, eprinttype = {arXiv}, eprint = {2011.01421}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-01421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-07208, author = {Md. Tahmid Rahman Laskar and Enamul Hoque and Jimmy Xiangji Huang}, title = {Utilizing Bidirectional Encoder Representations from Transformers for Answer Selection}, journal = {CoRR}, volume = {abs/2011.07208}, year = {2020}, url = {https://arxiv.org/abs/2011.07208}, eprinttype = {arXiv}, eprint = {2011.07208}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-07208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangWHWPPV19, author = {Tao Wang and Xiaoguang Wei and Tao Huang and Jun Wang and Hong Peng and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Luis Valencia{-}Cabrera}, title = {Modeling Fault Propagation Paths in Power Systems: {A} New Framework Based on Event {SNP} Systems With Neurotransmitter Concentration}, journal = {{IEEE} Access}, volume = {7}, pages = {12798--12808}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2892797}, doi = {10.1109/ACCESS.2019.2892797}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangWHWPPV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YingHZ19, author = {Zhiwei Ying and Jimmy Xiangji Huang and Jie Zhou}, title = {A New Digital Signal Processing Based Model With Multi-Aspect Term Frequency for Information Retrieval}, journal = {{IEEE} Access}, volume = {7}, pages = {160738--160754}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2946288}, doi = {10.1109/ACCESS.2019.2946288}, timestamp = {Sun, 26 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YingHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YingHZJH19, author = {Zhiwei Ying and Jimmy Xiangji Huang and Jie Zhou and Fanghong Jian and Tingting He}, title = {{DSPF:} {A} Digital Signal Processing Based Framework for Information Retrieval}, journal = {{IEEE} Access}, volume = {7}, pages = {110235--110248}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2927329}, doi = {10.1109/ACCESS.2019.2927329}, timestamp = {Sun, 26 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YingHZJH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZangLWHWPL19, author = {Tianlei Zang and Jieyu Lei and Xiaoguang Wei and Tao Huang and Tao Wang and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Hua Lin}, title = {Adjacent Graph Based Vulnerability Assessment for Electrical Networks Considering Fault Adjacent Relationships Among Branches}, journal = {{IEEE} Access}, volume = {7}, pages = {88927--88936}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2926148}, doi = {10.1109/ACCESS.2019.2926148}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZangLWHWPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouHCHWH19, author = {Jie Zhou and Jimmy Xiangji Huang and Qin Chen and Qinmin Vivian Hu and Tingting Wang and Liang He}, title = {Deep Learning for Aspect-Level Sentiment Classification: Survey, Vision, and Challenges}, journal = {{IEEE} Access}, volume = {7}, pages = {78454--78483}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2920075}, doi = {10.1109/ACCESS.2019.2920075}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhouHCHWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/YueHC19, author = {Yunfei Yue and Lili Huang and Yong Chen}, title = {Localized waves and interaction solutions to an extended (3+1)-dimensional Jimbo-Miwa equation}, journal = {Appl. Math. Lett.}, volume = {89}, pages = {70--77}, year = {2019}, url = {https://doi.org/10.1016/j.aml.2018.09.020}, doi = {10.1016/J.AML.2018.09.020}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/appml/YueHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/WuTHIHAF19, author = {Jimmy Ming{-}Tai Wu and Meng{-}Hsiun Tsai and Yong Zhi Huang and SK Hafizul Islam and Mohammad Mehedi Hassan and Abdulhameed Alelaiwi and Giancarlo Fortino}, title = {Applying an ensemble convolutional neural network with Savitzky-Golay filter to construct a phonocardiogram prediction model}, journal = {Appl. Soft Comput.}, volume = {78}, pages = {29--40}, year = {2019}, url = {https://doi.org/10.1016/j.asoc.2019.01.019}, doi = {10.1016/J.ASOC.2019.01.019}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/WuTHIHAF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caee/HuangON19, author = {Jiming Huang and Soh{-}Khim Ong and Andrew Yeh{-}Ching Nee}, title = {An approach for augmented learning of finite element analysis}, journal = {Comput. Appl. Eng. Educ.}, volume = {27}, number = {4}, pages = {921--933}, year = {2019}, url = {https://doi.org/10.1002/cae.22125}, doi = {10.1002/CAE.22125}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caee/HuangON19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LiangLOZLH19, author = {Zhaohui Liang and Jun Liu and Aihua Ou and Honglai Zhang and Ziping Li and Jimmy Xiangji Huang}, title = {Deep generative learning for automated {EHR} diagnosis of traditional Chinese medicine}, journal = {Comput. Methods Programs Biomed.}, volume = {174}, pages = {17--23}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2018.05.008}, doi = {10.1016/J.CMPB.2018.05.008}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LiangLOZLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/WangWHWVFP19, author = {Tao Wang and Xiaoguang Wei and Tao Huang and Jun Wang and Luis Valencia{-}Cabrera and Zhen{-}Nan Fan and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez}, title = {Cascading Failures Analysis Considering Extreme Virus Propagation of Cyber-Physical Systems in Smart Grids}, journal = {Complex.}, volume = {2019}, pages = {7428458:1--7428458:15}, year = {2019}, url = {https://doi.org/10.1155/2019/7428458}, doi = {10.1155/2019/7428458}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/WangWHWVFP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShaoLHLCWBBC19, author = {Leilai Shao and Ting Lei and Tsung{-}Ching Huang and Sicheng Li and Ta{-}Ya Chu and Man Wong and Raymond G. Beausoleil and Zhenan Bao and Kwang{-}Ting Cheng}, title = {Compact Modeling of Thin-Film Transistors for Flexible Hybrid IoT Design}, journal = {{IEEE} Des. Test}, volume = {36}, number = {4}, pages = {6--14}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2899058}, doi = {10.1109/MDAT.2019.2899058}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShaoLHLCWBBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangPYMPTH19, author = {Jun Wang and Hong Peng and Wenping Yu and Jun Ming and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Chengyu Tao and Xiangnian Huang}, title = {Interval-valued fuzzy spiking neural {P} systems for fault diagnosis of power transmission networks}, journal = {Eng. Appl. Artif. Intell.}, volume = {82}, pages = {102--109}, year = {2019}, url = {https://doi.org/10.1016/j.engappai.2019.03.014}, doi = {10.1016/J.ENGAPPAI.2019.03.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/WangPYMPTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiuHLHM19, author = {Junxiu Liu and Yongchuang Huang and Yuling Luo and Jim Harkin and Liam McDaid}, title = {Bio-inspired fault detection circuits based on synapse and spiking neuron models}, journal = {Neurocomputing}, volume = {331}, pages = {473--482}, year = {2019}, url = {https://doi.org/10.1016/j.neucom.2018.11.078}, doi = {10.1016/J.NEUCOM.2018.11.078}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiuHLHM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/TohtiHHT19, author = {Turdi Tohti and Jimmy X. Huang and Askar Hamdulla and Xing Tan}, title = {Text Filtering through Multi-Pattern Matching: {A} Case Study of Wu-Manber-Uy on the Language of Uyghur}, journal = {Inf.}, volume = {10}, number = {8}, pages = {246}, year = {2019}, url = {https://doi.org/10.3390/info10080246}, doi = {10.3390/INFO10080246}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/TohtiHHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ZhaoWCCYHHL19, author = {Fengjun Zhao and Bin Wu and Fei Chen and Xin Cao and Huangjian Yi and Yuqing Hou and Xiaowei He and Jimin Liang}, title = {An automatic multi-class coronary atherosclerosis plaque detection and classification framework}, journal = {Medical Biol. Eng. Comput.}, volume = {57}, number = {1}, pages = {245--257}, year = {2019}, url = {https://doi.org/10.1007/s11517-018-1880-6}, doi = {10.1007/S11517-018-1880-6}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/ZhaoWCCYHHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nc/HuangKLLL19, author = {Xiang Huang and Titus H. Klinge and James I. Lathrop and Xiaoyuan Li and Jack H. Lutz}, title = {Real-time computability of real numbers by chemical reaction networks}, journal = {Nat. Comput.}, volume = {18}, number = {1}, pages = {63--73}, year = {2019}, url = {https://doi.org/10.1007/s11047-018-9706-x}, doi = {10.1007/S11047-018-9706-X}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nc/HuangKLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmcgit/HuangRZLWQ19, author = {Kemeng Huang and Jiming Ruan and Zipeng Zhao and Chen Li and Changbo Wang and Hong Qin}, title = {A General Novel Parallel Framework for SPH-centric Algorithms}, journal = {Proc. {ACM} Comput. Graph. Interact. Tech.}, volume = {2}, number = {1}, pages = {7:1--7:16}, year = {2019}, url = {https://doi.org/10.1145/3321360}, doi = {10.1145/3321360}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmcgit/HuangRZLWQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/XiaoXTHWF19, author = {Jimin Xiao and Yanchun Xie and Tammam Tillo and Kaizhu Huang and Yunchao Wei and Jiashi Feng}, title = {{IAN:} The Individual Aggregation Network for Person Search}, journal = {Pattern Recognit.}, volume = {87}, pages = {332--340}, year = {2019}, url = {https://doi.org/10.1016/j.patcog.2018.10.028}, doi = {10.1016/J.PATCOG.2018.10.028}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/XiaoXTHWF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangJLPZ19, author = {Zhiyong Huang and Jiu Jimmy Jiao and Xin Luo and Yun Pan and Chong Zhang}, title = {Sensitivity Analysis of Leakage Correction of {GRACE} Data in Southwest China Using A-Priori Model Simulations: Inter-Comparison of Spherical Harmonics, Mass Concentration and In Situ Observations}, journal = {Sensors}, volume = {19}, number = {14}, pages = {3149}, year = {2019}, url = {https://doi.org/10.3390/s19143149}, doi = {10.3390/S19143149}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangJLPZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HuangLCLYCM19, author = {Wun{-}Yuan Huang and Hui{-}Lan Lee and Ta{-}Yuan Chou and Te{-}Lung Liu and Fei Yeh and Jim Hao Chen and Joe Mambretti}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {Implementation of a Transnational Testbed and Web {UI} System with Layer3 {SDX}}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {441--450}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_41}, doi = {10.1007/978-3-030-15035-8\_41}, timestamp = {Fri, 29 Mar 2019 10:44:54 +0100}, biburl = {https://dblp.org/rec/conf/aina/HuangLCLYCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/LaiSHLLLC19, author = {Yu{-}Kuen Lai and Ku{-}Yeh Shih and Po{-}Yu Huang and Ho{-}Ping Lee and Yu{-}Jau Lin and Te{-}Lung Liu and Jim Hao Chen}, title = {Sketch-based Entropy Estimation for Network Traffic Analysis using Programmable Data Plane ASICs}, booktitle = {2019 {ACM/IEEE} Symposium on Architectures for Networking and Communications Systems, {ANCS} 2019, Cambridge, United Kingdom, September 24-25, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ANCS.2019.8901888}, doi = {10.1109/ANCS.2019.8901888}, timestamp = {Tue, 26 Nov 2019 12:21:58 +0100}, biburl = {https://dblp.org/rec/conf/ancs/LaiSHLLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/BlytheFHLMSTPBF19, author = {James Blythe and Emilio Ferrara and Di Huang and Kristina Lerman and Goran Muric and Anna Sapienza and Alexey Tregubov and Diogo Pacheco and John Bollenbacher and Alessandro Flammini and Pik{-}Mai Hui and Filippo Menczer}, editor = {Edith Elkind and Manuela Veloso and Noa Agmon and Matthew E. Taylor}, title = {The {DARPA} SocialSim Challenge: Massive Multi-Agent Simulations of the Github Ecosystem}, booktitle = {Proceedings of the 18th International Conference on Autonomous Agents and MultiAgent Systems, {AAMAS} '19, Montreal, QC, Canada, May 13-17, 2019}, pages = {1835--1837}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems}, year = {2019}, url = {http://dl.acm.org/citation.cfm?id=3331935}, timestamp = {Wed, 29 May 2019 16:36:58 +0200}, biburl = {https://dblp.org/rec/conf/atal/BlytheFHLMSTPBF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangLZHLC19, author = {Zhaohui Liang and Jun Liu and Honglai Zhang and Jimmy Xiangji Huang and Ziping Li and Stephen Chan}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Patient Entity Recognition by Automatic {EHR} Context Understanding and Deep Learning}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1096--1099}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983085}, doi = {10.1109/BIBM47256.2019.8983085}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiangLZHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShaoLLHBBC19, author = {Leilai Shao and Sicheng Li and Ting Lei and Tsung{-}Ching Huang and Raymond G. Beausoleil and Zhenan Bao and Kwang{-}Ting Cheng}, title = {Ultra-thin Skin Electronics for High Quality and Continuous Skin-Sensor-Silicon Interfacing}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {16}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317928}, doi = {10.1145/3316781.3317928}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShaoLLHBBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangLSSSLBCB19, author = {Tsung{-}Ching Huang and Ting Lei and Leilai Shao and Sridhar Sivapurapu and Madhavan Swaminathan and Sicheng Li and Zhenan Bao and Kwang{-}Ting Cheng and Raymond G. Beausoleil}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Process Design Kit and Design Automation for Flexible Hybrid Electronics}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {36--41}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714975}, doi = {10.23919/DATE.2019.8714975}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangLSSSLBCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dna/0001KL19, author = {Xiang Huang and Titus H. Klinge and James I. Lathrop}, editor = {Chris Thachuk and Yan Liu}, title = {Real-Time Equivalence of Chemical Reaction Networks and Analog Computers}, booktitle = {{DNA} Computing and Molecular Programming - 25th International Conference, {DNA} 25, Seattle, WA, USA, August 5-9, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11648}, pages = {37--53}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26807-7\_3}, doi = {10.1007/978-3-030-26807-7\_3}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dna/0001KL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ZhangHH19, author = {Jinyuan Zhang and Jimmy Xiangji Huang and Qinmin Vivian Hu}, editor = {Manuel L{\'{o}}pez{-}Ib{\'{a}}{\~{n}}ez and Anne Auger and Thomas St{\"{u}}tzle}, title = {A classification-based selection for evolutionary optimization}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, pages = {328--329}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3319619.3322077}, doi = {10.1145/3319619.3322077}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/ZhangHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YuLYSLH19, author = {Jiahui Yu and Zhe Lin and Jimei Yang and Xiaohui Shen and Xin Lu and Thomas S. Huang}, title = {Free-Form Image Inpainting With Gated Convolution}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {4470--4479}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00457}, doi = {10.1109/ICCV.2019.00457}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/YuLYSLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/XieHPZPW19, author = {Qianqian Xie and Jimin Huang and Min Peng and Yihan Zhang and Kaifei Peng and Hua Wang}, editor = {Jianyong Wang and Kyuseok Shim and Xindong Wu}, title = {Discriminative Regularized Deep Generative Models for Semi-Supervised Learning}, booktitle = {2019 {IEEE} International Conference on Data Mining, {ICDM} 2019, Beijing, China, November 8-11, 2019}, pages = {658--667}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDM.2019.00076}, doi = {10.1109/ICDM.2019.00076}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/XieHPZPW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WangHZ19, author = {Haining Wang and Jimmy Huang and Zhewei Zhang}, editor = {Helmut Krcmar and Jane Fedorowicz and Wai Fong Boh and Jan Marco Leimeister and Sunil Wattal}, title = {The Impact of Deep Learning on Organizational Agility}, booktitle = {Proceedings of the 40th International Conference on Information Systems, {ICIS} 2019, Munich, Germany, December 15-18, 2019}, publisher = {Association for Information Systems}, year = {2019}, url = {https://aisel.aisnet.org/icis2019/governance\_is/governance\_is/26}, timestamp = {Tue, 10 Dec 2019 12:03:30 +0100}, biburl = {https://dblp.org/rec/conf/icis/WangHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/HuangL19, author = {Xuming Huang and Jimmy Lee}, editor = {Sarit Kraus}, title = {DoubleLex Revisited and Beyond}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {1101--1107}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/154}, doi = {10.24963/IJCAI.2019/154}, timestamp = {Tue, 20 Aug 2019 16:18:18 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/HuangL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/TanH19, author = {Xing Tan and Jimmy Xiangji Huang}, editor = {Sarit Kraus}, title = {On Computational Complexity of Pickup-and-Delivery Problems with Precedence Constraints or Time Windows}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {5635--5643}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/782}, doi = {10.24963/IJCAI.2019/782}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/TanH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/XiePHWW19, author = {Qianqian Xie and Min Peng and Jimin Huang and Bin Wang and Hua Wang}, title = {Discriminative Regularization with Conditional Generative Adversarial Nets for Semi-Supervised Learning}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8851712}, doi = {10.1109/IJCNN.2019.8851712}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/XiePHWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BardinJLHNBWGSR19, author = {Joseph C. Bardin and Evan Jeffrey and Erik Lucero and Trent Huang and Ofer Naaman and Rami Barends and Ted White and Marissa Giustina and Daniel Thomas Sank and Pedram Roushan and Kunal Arya and Benjamin Chiaro and Julian Kelly and Jimmy Chen and Brian Burkett and Yu Chen and Andrew Dunsworth and Austin G. Fowler and Brooks Foxen and Craig Gidney and Rob Graff and Paul Klimov and Josh Mutus and Matthew J. McEwen and Anthony Megrant and Matthew Neeley and Charles J. Neill and Chris Quintana and Amit Vainsencher and Hartmut Neven and John M. Martinis}, title = {A 28nm Bulk-CMOS 4-to-8GHz {\textexclamdown}2mW Cryogenic Pulse Modulator for Scalable Quantum Computing}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {456--458}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662480}, doi = {10.1109/ISSCC.2019.8662480}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BardinJLHNBWGSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ner/YanJRHPWOPKCBYS19, author = {Dongxiao Yan and Ahmad A. Jiman and David C. Ratze and Shuo Huang and Saman Parizi and Elissa Welle and Zhonghua Ouyang and Paras R. Patel and Mark J. Kushner and Cynthia A. Chestek and Tim M. Bruns and Euisik Yoon and John P. Seymour}, title = {Microneedle Penetrating Array with Axon-Sized Dimensions for Cuff-less Peripheral Nerve Interfacing}, booktitle = {2019 9th International {IEEE/EMBS} Conference on Neural Engineering (NER), San Francisco, CA, USA, March 20-23, 2019}, pages = {827--830}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NER.2019.8717097}, doi = {10.1109/NER.2019.8717097}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ner/YanJRHPWOPKCBYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paams/BlytheBHHKPMSTA19, author = {Jim Blythe and John Bollenbacher and Di Huang and Pik{-}Mai Hui and Rachel Krohn and Diogo Pacheco and Goran Muric and Anna Sapienza and Alexey Tregubov and Yong{-}Yeol Ahn and Alessandro Flammini and Kristina Lerman and Filippo Menczer and Tim Weninger and Emilio Ferrara}, editor = {Yves Demazeau and Eric Matson and Juan Manuel Corchado and Fernando de la Prieta}, title = {Massive Multi-agent Data-Driven Simulations of the GitHub Ecosystem}, booktitle = {Advances in Practical Applications of Survivable Agents and Multi-Agent Systems: The {PAAMS} Collection - 17th International Conference, {PAAMS} 2019, {\'{A}}vila, Spain, June 26-28, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11523}, pages = {3--15}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24209-1\_1}, doi = {10.1007/978-3-030-24209-1\_1}, timestamp = {Mon, 05 Feb 2024 20:33:22 +0100}, biburl = {https://dblp.org/rec/conf/paams/BlytheBHHKPMSTA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/YeLHLW19, author = {Dandan Ye and Martin J. Liu and Jimmy C. Huang and Jun Luo and Zi Wang}, editor = {Kwok Kee Wei and Wayne Wei Huang and Jae Kyu Lee and Dongming Xu and James J. Jiang and Hee{-}Woong Kim}, title = {The Emergence and Growth of Ecosystem: The Strategic Role of Digital Innovation}, booktitle = {23rd Pacific Asia Conference on Information Systems, {PACIS} 2019, X'ian, China, July 8-12, 2019}, pages = {165}, year = {2019}, url = {https://aisel.aisnet.org/pacis2019/165}, timestamp = {Fri, 06 Aug 2021 15:04:59 +0200}, biburl = {https://dblp.org/rec/conf/pacis/YeLHLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HuangCDLK19, author = {Dijiang Huang and Chun{-}Jen Chung and Qiuxiang Dong and Jim Luo and Myong H. Kang}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Building private blockchains over public blockchains (PoP): an attribute-based access control approach}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {355--363}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297317}, doi = {10.1145/3297280.3297317}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/HuangCDLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/TuH0ZH19, author = {Xinhui Tu and Jimmy X. Huang and Jing Luo and Runjie Zhu and Tingting He}, editor = {Benjamin Piwowarski and Max Chevalier and {\'{E}}ric Gaussier and Yoelle Maarek and Jian{-}Yun Nie and Falk Scholer}, title = {Parrot: {A} Python-based Interactive Platform for Information Retrieval Research}, booktitle = {Proceedings of the 42nd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2019, Paris, France, July 21-25, 2019}, pages = {1289--1292}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3331184.3331393}, doi = {10.1145/3331184.3331393}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/TuH0ZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/HuangNPCSA19, author = {Furong Huang and U. N. Niranjan and Ioakeim Perros and Robert Chen and Jimeng Sun and Anima Anandkumar}, editor = {Amir Globerson and Ricardo Silva}, title = {Guaranteed Scalable Learning of Latent Tree Models}, booktitle = {Proceedings of the Thirty-Fifth Conference on Uncertainty in Artificial Intelligence, {UAI} 2019, Tel Aviv, Israel, July 22-25, 2019}, series = {Proceedings of Machine Learning Research}, volume = {115}, pages = {883--893}, publisher = {{AUAI} Press}, year = {2019}, url = {http://proceedings.mlr.press/v115/huang20b.html}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uai/HuangNPCSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/HuangLSSSLBCB19, author = {Tsung{-}Ching Huang and Ting Lei and Leilai Shao and Sridhar Sivapurapu and Madhavan Swaminathan and Sicheng Li and Zhenan Bao and Kwang{-}Ting Cheng and Raymond G. Beausoleil}, title = {Process Design Kit and Design Automation for Flexible Hybrid Electronics}, booktitle = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2019, Hsinchu, Taiwan, April 22-25, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-DAT.2019.8741745}, doi = {10.1109/VLSI-DAT.2019.8741745}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi-dat/HuangLSSSLBCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-06199, author = {Zhuang Qian and Kaizhu Huang and Qiufeng Wang and Jimin Xiao and Rui Zhang}, title = {Generative Adversarial Classifier for Handwriting Characters Super-Resolution}, journal = {CoRR}, volume = {abs/1901.06199}, year = {2019}, url = {http://arxiv.org/abs/1901.06199}, eprinttype = {arXiv}, eprint = {1901.06199}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-06199.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-11953, author = {Fei Wang and Yunpeng Song and Jimuyang Zhang and Jinsong Han and Dong Huang}, title = {Temporal Unet: Sample Level Human Action Recognition using WiFi}, journal = {CoRR}, volume = {abs/1904.11953}, year = {2019}, url = {http://arxiv.org/abs/1904.11953}, eprinttype = {arXiv}, eprint = {1904.11953}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-11953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-02292, author = {Jimuyang Zhang and Sanping Zhou and Jinjun Wang and Dong Huang}, title = {Frame-wise Motion and Appearance for Real-time Multiple Object Tracking}, journal = {CoRR}, volume = {abs/1905.02292}, year = {2019}, url = {http://arxiv.org/abs/1905.02292}, eprinttype = {arXiv}, eprint = {1905.02292}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-02292.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-05437, author = {Jim Blythe and John Bollenbacher and Di Huang and Pik{-}Mai Hui and Rachel Krohn and Diogo Pacheco and Goran Muric and Anna Sapienza and Alexey Tregubov and Yong{-}Yeol Ahn and Alessandro Flammini and Kristina Lerman and Filippo Menczer and Tim Weninger and Emilio Ferrara}, title = {Massive Multi-Agent Data-Driven Simulations of the GitHub Ecosystem}, journal = {CoRR}, volume = {abs/1908.05437}, year = {2019}, url = {http://arxiv.org/abs/1908.05437}, eprinttype = {arXiv}, eprint = {1908.05437}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-05437.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-10179, author = {Dingyuan Zheng and Jimin Xiao and Kaizhu Huang and Yao Zhao}, title = {Segmentation Mask Guided End-to-End Person Search}, journal = {CoRR}, volume = {abs/1908.10179}, year = {2019}, url = {http://arxiv.org/abs/1908.10179}, eprinttype = {arXiv}, eprint = {1908.10179}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-10179.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-06446, author = {Kexin Huang and Cao Xiao and Trong Nghia Hoang and Lucas M. Glass and Jimeng Sun}, title = {{CASTER:} Predicting Drug Interactions with Chemical Substructure Representation}, journal = {CoRR}, volume = {abs/1911.06446}, year = {2019}, url = {http://arxiv.org/abs/1911.06446}, eprinttype = {arXiv}, eprint = {1911.06446}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-06446.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-08039, author = {Bingfeng Zhang and Jimin Xiao and Yunchao Wei and Mingjie Sun and Kaizhu Huang}, title = {Reliability Does Matter: An End-to-End Weakly Supervised Semantic Segmentation Approach}, journal = {CoRR}, volume = {abs/1911.08039}, year = {2019}, url = {http://arxiv.org/abs/1911.08039}, eprinttype = {arXiv}, eprint = {1911.08039}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-08039.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenYHWL18, author = {Zhenhua Chen and Yongjian Yang and Liping Huang and En Wang and Dawei Li}, title = {Discovering Urban Traffic Congestion Propagation Patterns With Taxi Trajectory Data}, journal = {{IEEE} Access}, volume = {6}, pages = {69481--69491}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2881039}, doi = {10.1109/ACCESS.2018.2881039}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenYHWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoCCHCHYHL18, author = {Fengjun Zhao and Yibing Chen and Fei Chen and Xuelei He and Xin Cao and Yuqing Hou and Huangjian Yi and Xiaowei He and Jimin Liang}, title = {Semi-Supervised Cerebrovascular Segmentation by Hierarchical Convolutional Neural Network}, journal = {{IEEE} Access}, volume = {6}, pages = {67841--67852}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2879521}, doi = {10.1109/ACCESS.2018.2879521}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhaoCCHCHYHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/YangCH18, author = {Jiming Yang and Yanping Chen and Yunqing Huang}, title = {A priori error estimates of a combined mixed finite element and local discontinuous Galerkin method for an incompressible miscible displacement problem}, journal = {Appl. Math. Comput.}, volume = {334}, pages = {141--151}, year = {2018}, url = {https://doi.org/10.1016/j.amc.2017.12.022}, doi = {10.1016/J.AMC.2017.12.022}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/YangCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/YongLH18, author = {Xuelin Yong and Xijia Li and Yehui Huang}, title = {General lump-type solutions of the (3+1)-dimensional Jimbo-Miwa equation}, journal = {Appl. Math. Lett.}, volume = {86}, pages = {222--228}, year = {2018}, url = {https://doi.org/10.1016/j.aml.2018.07.001}, doi = {10.1016/J.AML.2018.07.001}, timestamp = {Wed, 06 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/appml/YongLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WenZNHZFWDZW18, author = {Yang{-}Jun Wen and Hanwen Zhang and Yuan{-}Li Ni and Bo Huang and Jin Zhang and Jian{-}Ying Feng and Shi{-}Bo Wang and Jim M. Dunwell and Yuan{-}Ming Zhang and Rongling Wu}, title = {Methodological implementation of mixed linear models in multi-locus genome-wide association studies}, journal = {Briefings Bioinform.}, volume = {19}, number = {4}, pages = {700--712}, year = {2018}, url = {https://doi.org/10.1093/bib/bbw145}, doi = {10.1093/BIB/BBW145}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WenZNHZFWDZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/HuangYFHNHZHZ18, author = {Xiao Huang and Chaoqing Yu and Jiarui Fang and Guorui Huang and Shaoqiang Ni and Jim W. Hall and Conrad Zorn and Xiaomeng Huang and Wenyuan Zhang}, title = {A dynamic agricultural prediction system for large-scale drought assessment on the Sunway TaihuLight supercomputer}, journal = {Comput. Electron. Agric.}, volume = {154}, pages = {400--410}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2018.07.027}, doi = {10.1016/J.COMPAG.2018.07.027}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/HuangYFHNHZHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/HuHW18, author = {Jiming Hu and Ruhua Huang and Yubo Wang}, title = {Geographical visualization of research collaborations of library science in China}, journal = {Electron. Libr.}, volume = {36}, number = {3}, pages = {414--429}, year = {2018}, url = {https://doi.org/10.1108/EL-12-2016-0266}, doi = {10.1108/EL-12-2016-0266}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/HuHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/JiangXXTH18, author = {Chenru Jiang and Jimin Xiao and Yanchun Xie and Tammam Tillo and Kaizhu Huang}, title = {Siamese network ensemble for visual tracking}, journal = {Neurocomputing}, volume = {275}, pages = {2892--2903}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2017.10.043}, doi = {10.1016/J.NEUCOM.2017.10.043}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/JiangXXTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/HuangHZ18, author = {Jimmy Xiangji Huang and Ben He and Jiashu Zhao}, title = {Mining authoritative and topical evidence from the blogosphere for improving opinion retrieval}, journal = {Inf. Syst.}, volume = {78}, pages = {199--213}, year = {2018}, url = {https://doi.org/10.1016/j.is.2018.02.002}, doi = {10.1016/J.IS.2018.02.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/HuangHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SunHCJT18, author = {Jianbin Sun and Jimmy Xiangji Huang and Leilei Chang and Jiang Jiang and Yuejin Tan}, title = {BRBcast: {A} new approach to belief rule-based system parameter learning via extended causal strength logic}, journal = {Inf. Sci.}, volume = {444}, pages = {51--71}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2018.02.055}, doi = {10.1016/J.INS.2018.02.055}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SunHCJT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/AyadiKDHJ18, author = {Hajer Ayadi and Mouna Torjmen Khemakhem and Mariam Daoud and Jimmy Xiangji Huang and Maher Ben Jemaa}, title = {MF-Re-Rank: {A} modality feature-based Re-Ranking model for medical image retrieval}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {69}, number = {9}, pages = {1095--1108}, year = {2018}, url = {https://doi.org/10.1002/asi.24045}, doi = {10.1002/ASI.24045}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/AyadiKDHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ZhaoSHCCCYWHL18, author = {Fengjun Zhao and Feifei Sun and Yuqing Hou and Yanrong Chen and Dongmei Chen and Xin Cao and Huangjian Yi and Bin Wang and Xiaowei He and Jimin Liang}, title = {A monocentric centerline extraction method for ring-like blood vessels}, journal = {Medical Biol. Eng. Comput.}, volume = {56}, number = {4}, pages = {695--707}, year = {2018}, url = {https://doi.org/10.1007/s11517-017-1717-8}, doi = {10.1007/S11517-017-1717-8}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/ZhaoSHCCCYWHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/ChenHHH18, author = {Qin Chen and Qinmin Hu and Jimmy Xiangji Huang and Liang He}, title = {Modeling Queries with Contextual Snippets for Information Retrieval}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {9}, number = {4}, pages = {47:1--47:26}, year = {2018}, url = {https://doi.org/10.1145/3161607}, doi = {10.1145/3161607}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/ChenHHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ChenHHH18, author = {Qin Chen and Qinmin Hu and Jimmy Xiangji Huang and Liang He}, title = {TAKer: Fine-Grained Time-Aware Microblog Search with Kernel Density Estimation}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {30}, number = {8}, pages = {1602--1615}, year = {2018}, url = {https://doi.org/10.1109/TKDE.2018.2794538}, doi = {10.1109/TKDE.2018.2794538}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ChenHHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenHHH18, author = {Qin Chen and Qinmin Hu and Jimmy Xiangji Huang and Liang He}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {{CA-RNN:} Using Context-Aligned Recurrent Neural Networks for Modeling Sentence Similarity}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {265--273}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11273}, doi = {10.1609/AAAI.V32I1.11273}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChenHHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/WangPXZZHT18, author = {Min Peng and Qianqian Xie and Hua Wang and Yanchun Zhang and Xiuzhen Zhang and Jimin Huang and Gang Tian}, editor = {Iryna Gurevych and Yusuke Miyao}, title = {Neural Sparse Topical Coding}, booktitle = {Proceedings of the 56th Annual Meeting of the Association for Computational Linguistics, {ACL} 2018, Melbourne, Australia, July 15-20, 2018, Volume 1: Long Papers}, pages = {2332--2340}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://aclanthology.org/P18-1217/}, doi = {10.18653/V1/P18-1217}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/WangPXZZHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aips/HuangLOB18, author = {Amy Huang and Liam Lloyd and Mohamed Omar and James C. Boerkoel}, editor = {Mathijs de Weerdt and Sven Koenig and Gabriele R{\"{o}}ger and Matthijs T. J. Spaan}, title = {New Perspectives on Flexibility in Simple Temporal Planning}, booktitle = {Proceedings of the Twenty-Eighth International Conference on Automated Planning and Scheduling, {ICAPS} 2018, Delft, The Netherlands, June 24-29, 2018}, pages = {123--131}, publisher = {{AAAI} Press}, year = {2018}, url = {https://aaai.org/ocs/index.php/ICAPS/ICAPS18/paper/view/17775}, timestamp = {Mon, 25 Jun 2018 13:32:06 +0200}, biburl = {https://dblp.org/rec/conf/aips/HuangLOB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiuCCCLWCF18, author = {Da{-}Wei Liu and Huang{-}Chih Chen and Kuang{-}Yao Chang and Meng{-}Hao Chou and Yi{-}Lin Liu and Jim{-}Wei Wu and Ming{-}Li Chiang and Li{-}Chen Fu}, title = {Design of a High-speed and High-precision Hybrid Scanner with a New Path Planning Strategy Based on Spatial Entropy}, booktitle = {2018 Annual American Control Conference, {ACC} 2018, Milwaukee, WI, USA, June 27-29, 2018}, pages = {2946--2951}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ACC.2018.8431289}, doi = {10.23919/ACC.2018.8431289}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LiuCCCLWCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShaoHLBBC18, author = {Leilai Shao and Tsung{-}Ching Huang and Ting Lei and Zhenan Bao and Raymond G. Beausoleil and Kwang{-}Ting Cheng}, editor = {Youngsoo Shin}, title = {Process design kit for flexible hybrid electronics}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {651--657}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297396}, doi = {10.1109/ASPDAC.2018.8297396}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ShaoHLBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccbr/TohtiXHMH18, author = {Turdi Tohti and Lirui Xu and Jimmy X. Huang and Winira Musajan and Askar Hamdulla}, editor = {Jie Zhou and Yunhong Wang and Zhenan Sun and Zhenhong Jia and Jianjiang Feng and Shiguang Shan and Kurban Ubul and Zhenhua Guo}, title = {Character-Based N-gram Model for Uyghur Text Retrieval}, booktitle = {Biometric Recognition - 13th Chinese Conference, {CCBR} 2018, Urumqi, China, August 11-12, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10996}, pages = {678--688}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-97909-0\_72}, doi = {10.1007/978-3-319-97909-0\_72}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccbr/TohtiXHMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cns/DongHLK18, author = {Qiuxiang Dong and Dijiang Huang and Jim Luo and Myong H. Kang}, title = {Achieving Fine-Grained Access Control with Discretionary User Revocation over Cloud Data}, booktitle = {2018 {IEEE} Conference on Communications and Network Security, {CNS} 2018, Beijing, China, May 30 - June 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CNS.2018.8433128}, doi = {10.1109/CNS.2018.8433128}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cns/DongHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Yu0YSLH18, author = {Jiahui Yu and Zhe Lin and Jimei Yang and Xiaohui Shen and Xin Lu and Thomas S. Huang}, title = {Generative Image Inpainting With Contextual Attention}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {5505--5514}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Yu\_Generative\_Image\_Inpainting\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/Yu0YSLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShaoHLBBC18, author = {Leilai Shao and Tsung{-}Ching Huang and Ting Lei and Zhenan Bao and Raymond G. Beausoleil and Kwang{-}Ting Cheng}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Compact modeling of carbon nanotube thin film transistors for flexible circuit design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {491--496}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342058}, doi = {10.23919/DATE.2018.8342058}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShaoHLBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/doceng/AbrishamkarH18, author = {Sadra Abrishamkar and Jimmy Xiangji Huang}, title = {OurDirection: An Interactive Dialogue Framework For Chatting with Government Officials}, booktitle = {Proceedings of the {ACM} Symposium on Document Engineering 2018, DocEng 2018, Halifax, NS, Canada, August 28-31, 2018}, pages = {45:1--45:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209280.3229101}, doi = {10.1145/3209280.3229101}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/doceng/AbrishamkarH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/DaumHN18, author = {Fred Daum and Jim Huang and Arjang Noushin}, title = {New Theory and Numerical Results for Gromov's Method for Stochastic Particle Flow Filters}, booktitle = {21st International Conference on Information Fusion, {FUSION} 2018, Cambridge, UK, July 10-13, 2018}, pages = {108--115}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICIF.2018.8455287}, doi = {10.23919/ICIF.2018.8455287}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/fusion/DaumHN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictir/TanH18, author = {Xing Tan and Jimmy Xiangji Huang}, editor = {Dawei Song and Tie{-}Yan Liu and Le Sun and Peter Bruza and Massimo Melucci and Fabrizio Sebastiani and Grace Hui Yang}, title = {Levenshtein in Blocks World: String Matching via {AI} Planning}, booktitle = {Proceedings of the 2018 {ACM} {SIGIR} International Conference on Theory of Information Retrieval, {ICTIR} 2018, Tianjin, China, September 14-17, 2018}, pages = {171--174}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234944.3234976}, doi = {10.1145/3234944.3234976}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictir/TanH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictir/TanJH18, author = {Xing Tan and Fanghong Jiang and Jimmy Xiangji Huang}, editor = {Dawei Song and Tie{-}Yan Liu and Le Sun and Peter Bruza and Massimo Melucci and Fabrizio Sebastiani and Grace Hui Yang}, title = {StatBM25: An Aggregative and Statistical Approach for Document Ranking}, booktitle = {Proceedings of the 2018 {ACM} {SIGIR} International Conference on Theory of Information Retrieval, {ICTIR} 2018, Tianjin, China, September 14-17, 2018}, pages = {207--210}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234944.3234975}, doi = {10.1145/3234944.3234975}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictir/TanJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/LuoDHK18, author = {Jim Luo and Qiuxiang Dong and Dijiang Huang and Myong H. Kang}, title = {Attribute Based Encryption for Information Sharing on Tactical Mobile Networks}, booktitle = {2018 {IEEE} Military Communications Conference, {MILCOM} 2018, Los Angeles, CA, USA, October 29-31, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MILCOM.2018.8599802}, doi = {10.1109/MILCOM.2018.8599802}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/milcom/LuoDHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LiangTHL18, author = {Yiyun Liang and Zhucheng Tu and Laetitia Huang and Jimmy Lin}, editor = {Yang Liu and Tim Paek and Manasi Patwardhan}, title = {CNNs for {NLP} in the Browser: Client-Side Deployment and Visualization Opportunities}, booktitle = {Proceedings of the 2018 Conference of the North American Chapter of the Association for Computational Linguistics, {NAACL-HLT} 2018, New Orleans, Louisiana, USA, June 2-4, 2018, Demonstrations}, pages = {61--65}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/n18-5013}, doi = {10.18653/V1/N18-5013}, timestamp = {Wed, 06 Sep 2023 16:13:06 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LiangTHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenHHH18, author = {Qin Chen and Qinmin Hu and Jimmy Xiangji Huang and Liang He}, editor = {Kevyn Collins{-}Thompson and Qiaozhu Mei and Brian D. Davison and Yiqun Liu and Emine Yilmaz}, title = {{CAN:} Enhancing Sentence Similarity Modeling with Collaborative and Adversarial Network}, booktitle = {The 41st International {ACM} {SIGIR} Conference on Research {\&} Development in Information Retrieval, {SIGIR} 2018, Ann Arbor, MI, USA, July 08-12, 2018}, pages = {815--824}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209978.3210019}, doi = {10.1145/3209978.3210019}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ChenHHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuZWFTH18, author = {Haohao Hu and Runjie Zhu and Yuqi Wang and Wenying Feng and Xing Tan and Jimmy Xiangji Huang}, editor = {Jon Degenhardt and Giuseppe Di Fabbrizio and Surya Kallumadi and Mohit Kumar and Andrew Trotman and Yiu{-}Chang Lin and Huasha Zhao}, title = {A Best Match KNN-based Approach for Large-scale Product Categorization}, booktitle = {The {SIGIR} 2018 Workshop On eCommerce co-located with the 41st International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval {(SIGIR} 2018), Ann Arbor, Michigan, USA, July 12, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2319}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2319/ecom18DC\_paper\_8.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:17 +0100}, biburl = {https://dblp.org/rec/conf/sigir/HuZWFTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/JianHZH18, author = {Fanghong Jian and Jimmy Xiangji Huang and Jiashu Zhao and Tingting He}, editor = {Kevyn Collins{-}Thompson and Qiaozhu Mei and Brian D. Davison and Yiqun Liu and Emine Yilmaz}, title = {A New Term Frequency Normalization Model for Probabilistic Information Retrieval}, booktitle = {The 41st International {ACM} {SIGIR} Conference on Research {\&} Development in Information Retrieval, {SIGIR} 2018, Ann Arbor, MI, USA, July 08-12, 2018}, pages = {1237--1240}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209978.3210147}, doi = {10.1145/3209978.3210147}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/JianHZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/HuangMGTH18, author = {Tsung{-}Ching Jim Huang and Jason Marsh and Scott H. Goodwin and Dorota S. Temple}, title = {Innovative practices on design {\&} test for flexible hybrid electronics}, booktitle = {36th {IEEE} {VLSI} Test Symposium, {VTS} 2018, San Francisco, CA, USA, April 22-25, 2018}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/VTS.2018.8368668}, doi = {10.1109/VTS.2018.8368668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/HuangMGTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-07892, author = {Jiahui Yu and Zhe Lin and Jimei Yang and Xiaohui Shen and Xin Lu and Thomas S. Huang}, title = {Generative Image Inpainting with Contextual Attention}, journal = {CoRR}, volume = {abs/1801.07892}, year = {2018}, url = {http://arxiv.org/abs/1801.07892}, eprinttype = {arXiv}, eprint = {1801.07892}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-07892.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-02614, author = {Jianxiong Dong and Jim Huang}, title = {Enhance word representation for out-of-vocabulary on Ubuntu dialogue corpus}, journal = {CoRR}, volume = {abs/1802.02614}, year = {2018}, url = {http://arxiv.org/abs/1802.02614}, eprinttype = {arXiv}, eprint = {1802.02614}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-02614.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-09886, author = {Jay Aikat and Ilya Baldin and Mark Berman and Joe Breen and Richard R. Brooks and Prasad Calyam and Jeffrey S. Chase and Wallace Chase and Russ Clark and Chip Elliott and Jim Griffioen and Dijiang Huang and Julio Ibarra and Tom Lehman and Inder Monga and Abraham Matta and Christos Papadopoulos and Mike Reiter and Dipankar Raychaudhuri and Glenn Ricart and Robert Ricci and Paul Ruth and Ivan Seskar and Jerry Sobieski and Kobus van der Merwe and Kuang{-}Ching Wang and Tilman Wolf and Michael Zink}, title = {The Future of {CISE} Distributed Research Infrastructure}, journal = {CoRR}, volume = {abs/1803.09886}, year = {2018}, url = {http://arxiv.org/abs/1803.09886}, eprinttype = {arXiv}, eprint = {1803.09886}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-09886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-10267, author = {Xiang Huang and Titus H. Klinge and James I. Lathrop and Xiaoyuan Li and Jack H. Lutz}, title = {Real-Time Computability of Real Numbers by Chemical Reaction Networks}, journal = {CoRR}, volume = {abs/1803.10267}, year = {2018}, url = {http://arxiv.org/abs/1803.10267}, eprinttype = {arXiv}, eprint = {1803.10267}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-10267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-03589, author = {Jiahui Yu and Zhe Lin and Jimei Yang and Xiaohui Shen and Xin Lu and Thomas S. Huang}, title = {Free-Form Image Inpainting with Gated Convolution}, journal = {CoRR}, volume = {abs/1806.03589}, year = {2018}, url = {http://arxiv.org/abs/1806.03589}, eprinttype = {arXiv}, eprint = {1806.03589}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-03589.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-03196, author = {Yanchun Xie and Jimin Xiao and Kaizhu Huang and Jeyarajan Thiyagalingam and Yao Zhao}, title = {Correlation Filter Selection for Visual Tracking Using Reinforcement Learning}, journal = {CoRR}, volume = {abs/1811.03196}, year = {2018}, url = {http://arxiv.org/abs/1811.03196}, eprinttype = {arXiv}, eprint = {1811.03196}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-03196.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WenZNHZFWDZW17, author = {Yang{-}Jun Wen and Hanwen Zhang and Yuan{-}Li Ni and Bo Huang and Jin Zhang and Jian{-}Ying Feng and Shi{-}Bo Wang and Jim M. Dunwell and Yuan{-}Ming Zhang and Rongling Wu}, title = {Methodological implementation of mixed linear models in multi-locus genome-wide association studies}, journal = {Briefings Bioinform.}, volume = {18}, number = {5}, pages = {906}, year = {2017}, url = {https://doi.org/10.1093/bib/bbx028}, doi = {10.1093/BIB/BBX028}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WenZNHZFWDZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdata/HuangMPJR0ZH17, author = {Lifu Huang and Jonathan May and Xiaoman Pan and Heng Ji and Xiang Ren and Jiawei Han and Lin Zhao and James A. Hendler}, title = {Liberal Entity Extraction: Rapid Construction of Fine-Grained Entity Typing Systems}, journal = {Big Data}, volume = {5}, number = {1}, pages = {19--31}, year = {2017}, url = {https://doi.org/10.1089/big.2017.0012}, doi = {10.1089/BIG.2017.0012}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bigdata/HuangMPJR0ZH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WilgenbuschHG17, author = {James C. Wilgenbusch and Wen Huang and Kyle A. Gallivan}, title = {Visualizing phylogenetic tree landscapes}, journal = {{BMC} Bioinform.}, volume = {18}, number = {1}, pages = {85:1--85:12}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1479-1}, doi = {10.1186/S12859-017-1479-1}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/WilgenbuschHG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HeZZHYZY17, author = {Chunhua He and Jimeng Zhang and Qiancheng Zhao and Qinwen Huang and Zhenchuan Yang and Dacheng Zhang and Guizhen Yan}, title = {An electrical-coupling-suppressing {MEMS} gyroscope with feed-forward coupling compensation and scalable fuzzy control}, journal = {Sci. China Inf. Sci.}, volume = {60}, number = {4}, pages = {42402}, year = {2017}, url = {https://doi.org/10.1007/s11432-015-0931-8}, doi = {10.1007/S11432-015-0931-8}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/HeZZHYZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gc/GuoLHS17, author = {Ji{-}Ming Guo and Jianxi Li and Peng Huang and Wai Chee Shiu}, title = {Coefficients of the Characteristic Polynomial of the (Signless, Normalized) Laplacian of a Graph}, journal = {Graphs Comb.}, volume = {33}, number = {5}, pages = {1155--1164}, year = {2017}, url = {https://doi.org/10.1007/s00373-017-1831-2}, doi = {10.1007/S00373-017-1831-2}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gc/GuoLHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/AnH17, author = {Xiangdong An and Jimmy Xiangji Huang}, title = {geNov: {A} new metric for measuring novelty and relevancy in biomedical information retrieval}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {68}, number = {11}, pages = {2620--2635}, year = {2017}, url = {https://doi.org/10.1002/asi.23958}, doi = {10.1002/ASI.23958}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/AnH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/AyadiKDHJ17, author = {Hajer Ayadi and Mouna Torjmen Khemakhem and Mariam Daoud and Jimmy Xiangji Huang and Maher Ben Jemaa}, title = {Mining correlations between medically dependent features and image retrieval models for query classification}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {68}, number = {5}, pages = {1323--1334}, year = {2017}, url = {https://doi.org/10.1002/asi.23772}, doi = {10.1002/ASI.23772}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/AyadiKDHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/MezziBH17, author = {Melyara Mezzi and Nadjia Benblidia and Xiangji Huang}, title = {A Semantically Enriched Context-Aware Stemming Algorithm}, journal = {J. Integr. Des. Process. Sci.}, volume = {21}, number = {4}, pages = {5--24}, year = {2017}, url = {https://doi.org/10.3233/jid-2016-0028}, doi = {10.3233/JID-2016-0028}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jid/MezziBH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misq/HuangHLN17, author = {Jimmy C. Huang and Ola Henfridsson and Martin J. Liu and Sue Newell}, title = {Growing on Steroids: Rapidly Scaling the User Base of Digital Ventures Through Digital Innovation}, journal = {{MIS} Q.}, volume = {41}, number = {1}, pages = {301--314}, year = {2017}, url = {https://doi.org/10.25300/misq/2017/41.1.16}, doi = {10.25300/MISQ/2017/41.1.16}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/misq/HuangHLN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/FengKHH17, author = {Wenying Feng and Toufiq Hossain Kazi and Gongzhu Hu and Jimmy Xiangji Huang}, title = {pART2: using adaptive resonance theory for web caching prefetching}, journal = {Neural Comput. Appl.}, volume = {28}, number = {{S-1}}, pages = {1275--1288}, year = {2017}, url = {https://doi.org/10.1007/s00521-017-3173-7}, doi = {10.1007/S00521-017-3173-7}, timestamp = {Mon, 28 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/FengKHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/FengKHH17a, author = {Wenying Feng and Toufiq Hossain Kazi and Gongzhu Hu and Jimmy Xiangji Huang}, title = {Correction to: pART2: using adaptive resonance theory for web caching prefetching}, journal = {Neural Comput. Appl.}, volume = {28}, number = {{S-1}}, pages = {1289}, year = {2017}, url = {https://doi.org/10.1007/s00521-017-3205-3}, doi = {10.1007/S00521-017-3205-3}, timestamp = {Mon, 28 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/FengKHH17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhouH17, author = {Guangyou Zhou and Jimmy Xiangji Huang}, title = {Modeling and Learning Distributed Word Representation with Metadata for Question Retrieval}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {29}, number = {6}, pages = {1226--1239}, year = {2017}, url = {https://doi.org/10.1109/TKDE.2017.2665625}, doi = {10.1109/TKDE.2017.2665625}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhouH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/ZhouH17, author = {Guangyou Zhou and Jimmy Xiangji Huang}, title = {Modeling and Mining Domain Shared Knowledge for Sentiment Analysis}, journal = {{ACM} Trans. Inf. Syst.}, volume = {36}, number = {2}, pages = {18:1--18:36}, year = {2017}, url = {https://doi.org/10.1145/3091995}, doi = {10.1145/3091995}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/ZhouH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChouHLLMCY17, author = {Ta{-}Yuan Chou and Wun{-}Yuan Huang and Hui{-}Lan Lee and Te{-}Lung Liu and Joe Mambretti and Jim Hao Chen and Fei Yeh}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Heterogeneous Interconnection between {SDN} and Layer2 Networks Based on {NSI}}, booktitle = {31st International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2017 Workshops, Taipei, Taiwan, March 27-29, 2017}, pages = {472--477}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/WAINA.2017.111}, doi = {10.1109/WAINA.2017.111}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChouHLLMCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/ZhuH17, author = {Ruiyu Zhu and Yan Huang}, editor = {Tsuyoshi Takagi and Thomas Peyrin}, title = {{JIMU:} Faster LEGO-Based Secure Computation Using Additive Homomorphic Hashes}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2017 - 23rd International Conference on the Theory and Applications of Cryptology and Information Security, Hong Kong, China, December 3-7, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10625}, pages = {529--572}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70697-9\_19}, doi = {10.1007/978-3-319-70697-9\_19}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/asiacrypt/ZhuH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdc/HuangZLGJ17, author = {Siyuan Huang and Rui Zhang and Nuofei Li and Jiming Guo and Hongbo Jiang}, editor = {Ashiq Anjum and Alan Sill and Xinghui Zhao and Mohsen M. Farid and Shrideep Pallickara and Jiannong Cao}, title = {Automatic Mining of Multi-granularity Temporal Regularity from Trajectory Data}, booktitle = {Proceedings of the Fourth {IEEE/ACM} International Conference on Big Data Computing, Applications and Technologies, {BDCAT} 2017, Austin, TX, USA, December 05 - 08, 2017}, pages = {247--254}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3148055.3148067}, doi = {10.1145/3148055.3148067}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bdc/HuangZLGJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/TayebPEGHHLLZZL17, author = {Shahab Tayeb and Matin Pirouz and Gabriel Esguerra and Kimiya Ghobadi and Jimson Huang and Robin Hill and Derwin Lawson and Stone Li and Tiffany Zhan and Justin Zhan and Shahram Latifi}, editor = {Jian{-}Yun Nie and Zoran Obradovic and Toyotaro Suzumura and Rumi Ghosh and Raghunath Nambiar and Chonggang Wang and Hui Zang and Ricardo Baeza{-}Yates and Xiaohua Hu and Jeremy Kepner and Alfredo Cuzzocrea and Jian Tang and Masashi Toyoda}, title = {Securing the positioning signals of autonomous vehicles}, booktitle = {2017 {IEEE} International Conference on Big Data {(IEEE} BigData 2017), Boston, MA, USA, December 11-14, 2017}, pages = {4522--4528}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BigData.2017.8258493}, doi = {10.1109/BIGDATA.2017.8258493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/TayebPEGHHLLZZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/XuPCYH17, author = {Ning Xu and Brian L. Price and Scott Cohen and Jimei Yang and Thomas S. Huang}, title = {Deep GrabCut for Object Selection}, booktitle = {British Machine Vision Conference 2017, {BMVC} 2017, London, UK, September 4-7, 2017}, publisher = {{BMVA} Press}, year = {2017}, url = {https://www.dropbox.com/s/ggqy47vr88wqs1p/0946.pdf}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/XuPCYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HuangCXCZ017, author = {Xin Huang and Byron Choi and Jianliang Xu and William K. Cheung and Yanchun Zhang and Jiming Liu}, editor = {Ee{-}Peng Lim and Marianne Winslett and Mark Sanderson and Ada Wai{-}Chee Fu and Jimeng Sun and J. Shane Culpepper and Eric Lo and Joyce C. Ho and Debora Donato and Rakesh Agrawal and Yu Zheng and Carlos Castillo and Aixin Sun and Vincent S. Tseng and Chenliang Li}, title = {Ontology-based Graph Visualization for Summarized View}, booktitle = {Proceedings of the 2017 {ACM} on Conference on Information and Knowledge Management, {CIKM} 2017, Singapore, November 06 - 10, 2017}, pages = {2115--2118}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132847.3133113}, doi = {10.1145/3132847.3133113}, timestamp = {Tue, 29 Aug 2023 16:24:43 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HuangCXCZ017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/AyadiKHDJ17, author = {Hajer Ayadi and Mouna Torjmen Khemakhem and Jimmy Xiangji Huang and Mariam Daoud and Maher Ben Jemaa}, editor = {Joemon M. Jose and Claudia Hauff and Ismail Seng{\"{o}}r Alting{\"{o}}vde and Dawei Song and Dyaa Albakour and Stuart N. K. Watt and John Tait}, title = {Learning to Re-rank Medical Images Using a Bayesian Network-Based Thesaurus}, booktitle = {Advances in Information Retrieval - 39th European Conference on {IR} Research, {ECIR} 2017, Aberdeen, UK, April 8-13, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10193}, pages = {160--172}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56608-5\_13}, doi = {10.1007/978-3-319-56608-5\_13}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecir/AyadiKHDJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KondepuZBCCHHGT17, author = {Koteswararao Kondepu and Jim Zou and Arash Beldachi and Hung{-}Kai Chen and Christopher Chase and Michael Huang and Emilio Hugues{-}Salas and Joan A. Garcia{-}Espin and Anna Tzanakaki and Reza Nejabati and Michael Eiselt and Dimitra Simeonidou}, title = {Performance Evaluation of Next-Generation Elastic Backhaul with Flexible VCSEL-based {WDM} Fronthaul}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8346181}, doi = {10.1109/ECOC.2017.8346181}, timestamp = {Mon, 14 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/KondepuZBCCHHGT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/GongHTHCRX17, author = {Long Gong and Lanxi Huang and Paul Tune and Jinyoung Han and Chen{-}Nee Chuah and Matthew Roughan and Jun (Jim) Xu}, title = {ForestStream: Accurate Measurement of Cascades in Online Social Networks}, booktitle = {26th International Conference on Computer Communication and Networks, {ICCCN} 2017, Vancouver, BC, Canada, July 31 - Aug. 3, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCCN.2017.8038387}, doi = {10.1109/ICCCN.2017.8038387}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/GongHTHCRX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconac/YangCHL17, author = {Jimeng Yang and Yi Chen and Weidong Huang and Yun Li}, title = {Survey on artificial intelligence for additive manufacturing}, booktitle = {23rd International Conference on Automation and Computing, {ICAC} 2017, Huddersfield, United Kingdom, September 7-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/IConAC.2017.8082053}, doi = {10.23919/ICONAC.2017.8082053}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iconac/YangCHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictir/TanJH17, author = {Xing Tan and Fanghong Jian and Jimmy Xiangji Huang}, editor = {Jaap Kamps and Evangelos Kanoulas and Maarten de Rijke and Hui Fang and Emine Yilmaz}, title = {On the Effectiveness of Bayesian Network-based Models for Document Ranking}, booktitle = {Proceedings of the {ACM} {SIGIR} International Conference on Theory of Information Retrieval, {ICTIR} 2017, Amsterdam, The Netherlands, October 1-4, 2017}, pages = {309--312}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3121050.3121103}, doi = {10.1145/3121050.3121103}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictir/TanJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSLBBC17, author = {Tsung{-}Ching Huang and Leilai Shao and Ting Lei and Raymond G. Beausoleil and Zhenan Bao and Kwang{-}Ting Cheng}, title = {Robust design and design automation for flexible hybrid electronics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050681}, doi = {10.1109/ISCAS.2017.8050681}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangSLBBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/CosgunMCHDALTA17, author = {Akansel Cosgun and Lichao Ma and Jimmy Chiu and Jiawei Huang and Mahmut Demir and Alexandre Miranda A{\~{n}}on and Thang Lian and Hasan Tafish and Samir Al{-}Stouhi}, title = {Towards full automated drive in urban environments: {A} demonstration in GoMentum Station, California}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2017, Los Angeles, CA, USA, June 11-14, 2017}, pages = {1811--1818}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IVS.2017.7995969}, doi = {10.1109/IVS.2017.7995969}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ivs/CosgunMCHDALTA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/GanH17, author = {Guojun Gan and Jimmy Xiangji Huang}, title = {A Data Mining Framework for Valuing Large Portfolios of Variable Annuities}, booktitle = {Proceedings of the 23rd {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Halifax, NS, Canada, August 13 - 17, 2017}, pages = {1467--1475}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3097983.3098013}, doi = {10.1145/3097983.3098013}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/GanH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangSLZCHPGBNT17, author = {Frank Y. Chang and Yi Sun and Robert Lingle and You Zhang and Pengfei Cai and Mengyuan Huang and Dong Pan and Timo Gray and Sudeep Bhoja and Stephen Nelson and Jim Tatum}, title = {First demonstration of {PAM4} transmissions for record reach and high-capacity {SWDM} links over {MMF} using 40G/100G {PAM4} {IC} chipset with real-time {DSP}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7937169}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangSLZCHPGBNT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenHHHA17, author = {Qin Chen and Qinmin Hu and Jimmy Xiangji Huang and Liang He and Weijie An}, editor = {Noriko Kando and Tetsuya Sakai and Hideo Joho and Hang Li and Arjen P. de Vries and Ryen W. White}, title = {Enhancing Recurrent Neural Networks with Positional Attention for Question Answering}, booktitle = {Proceedings of the 40th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Shinjuku, Tokyo, Japan, August 7-11, 2017}, pages = {993--996}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3077136.3080699}, doi = {10.1145/3077136.3080699}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ChenHHHA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uc/HuangKLLL17, author = {Xiang Huang and Titus H. Klinge and James I. Lathrop and Xiaoyuan Li and Jack H. Lutz}, editor = {Matthew J. Patitz and Mike Stannett}, title = {Real-Time Computability of Real Numbers by Chemical Reaction Networks}, booktitle = {Unconventional Computation and Natural Computation - 16th International Conference, {UCNC} 2017, Fayetteville, AR, USA, June 5-9, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10240}, pages = {29--40}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58187-3\_3}, doi = {10.1007/978-3-319-58187-3\_3}, timestamp = {Mon, 26 Jun 2023 20:47:16 +0200}, biburl = {https://dblp.org/rec/conf/uc/HuangKLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TuYHLCCTSLCF17, author = {Yo{-}Hao Tu and Kai{-}Wen Yao and Minghao Huang and Yu{-}Yun Lin and Hao{-}Yu Chi and Po{-}Min Cheng and Pei{-}Yun Tsai and Muh{-}Tian Shiue and Chien{-}Nan Liu and Kuo{-}Hsing Cheng and Jia{-}Shiang Fu}, title = {A body sensor node SoC for {ECG/EMG} applications with compressed sensing and wireless powering}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939668}, doi = {10.1109/VLSI-DAT.2017.7939668}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TuYHLCCTSLCF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CosgunMCHDALTA17, author = {Akansel Cosgun and Lichao Ma and Jimmy Chiu and Jiawei Huang and Mahmut Demir and Alexandre Miranda A{\~{n}}on and Thang Lian and Hasan Tafish and Samir Al{-}Stouhi}, title = {Towards Full Automated Drive in Urban Environments: {A} Demonstration in GoMentum Station, California}, journal = {CoRR}, volume = {abs/1705.01187}, year = {2017}, url = {http://arxiv.org/abs/1705.01187}, eprinttype = {arXiv}, eprint = {1705.01187}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/CosgunMCHDALTA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/XiaoXTHWF17, author = {Jimin Xiao and Yanchun Xie and Tammam Tillo and Kaizhu Huang and Yunchao Wei and Jiashi Feng}, title = {{IAN:} The Individual Aggregation Network for Person Search}, journal = {CoRR}, volume = {abs/1705.05552}, year = {2017}, url = {http://arxiv.org/abs/1705.05552}, eprinttype = {arXiv}, eprint = {1705.05552}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/XiaoXTHWF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/XuPCYH17, author = {Ning Xu and Brian L. Price and Scott Cohen and Jimei Yang and Thomas S. Huang}, title = {Deep GrabCut for Object Selection}, journal = {CoRR}, volume = {abs/1707.00243}, year = {2017}, url = {http://arxiv.org/abs/1707.00243}, eprinttype = {arXiv}, eprint = {1707.00243}, timestamp = {Fri, 13 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/XuPCYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/DongHLK17, author = {Qiuxiang Dong and Dijiang Huang and Jim Luo and Myong H. Kang}, title = {{HIR-CP-ABE:} Hierarchical Identity Revocable Ciphertext-Policy Attribute-Based Encryption for Secure and Flexible Data Sharing}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1101}, year = {2017}, url = {http://eprint.iacr.org/2017/1101}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/DongHLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/DongHLK17a, author = {Qiuxiang Dong and Dijiang Huang and Jim Luo and Myong H. Kang}, title = {{ID-HABE:} Incorporating ID-based Revocation, Delegation, and Authority Hierarchy into Attribute-Based Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1102}, year = {2017}, url = {http://eprint.iacr.org/2017/1102}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/DongHLK17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/AgustriawanHSLT16, author = {David Agustriawan and Chien{-}Hung Huang and Jim Jinn{-}Chyuan Sheu and Shan{-}Chih Lee and Jeffrey J. P. Tsai and Nilubon Kurubanjerdjit and Ka{-}Lok Ng}, title = {{DNA} methylation-regulated microRNA pathways in ovarian serous cystadenocarcinoma: {A} meta-analysis}, journal = {Comput. Biol. Chem.}, volume = {65}, pages = {154--164}, year = {2016}, url = {https://doi.org/10.1016/j.compbiolchem.2016.09.016}, doi = {10.1016/J.COMPBIOLCHEM.2016.09.016}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candc/AgustriawanHSLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ChenLHWZ16, author = {Zhenhua Chen and Shundong Li and Qiong Huang and Yilei Wang and Sufang Zhou}, title = {A restricted proxy re-encryption with keyword search for fine-grained data access control in cloud storage}, journal = {Concurr. Comput. Pract. Exp.}, volume = {28}, number = {10}, pages = {2858--2876}, year = {2016}, url = {https://doi.org/10.1002/cpe.3754}, doi = {10.1002/CPE.3754}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ChenLHWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/HuangK16, author = {Senwang Huang and Jiming Kong}, title = {Assessing Land Degradation Dynamics and Distinguishing Human-Induced Changes from Climate Factors in the Three-North Shelter Forest Region of China}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {5}, number = {9}, pages = {158}, year = {2016}, url = {https://doi.org/10.3390/ijgi5090158}, doi = {10.3390/IJGI5090158}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/HuangK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/ChenLHYD16, author = {Zhenhua Chen and Shundong Li and Qiong Huang and Jianhua Yan and Yong Ding}, title = {A Joint Random Secret Sharing Scheme with Public Verifiability}, journal = {Int. J. Netw. Secur.}, volume = {18}, number = {5}, pages = {917--925}, year = {2016}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v18-n5/ijns-2016-v18-n5-p917-925.pdf}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnsec/ChenLHYD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/ChinHHSL16, author = {Kai{-}Yi Chin and Zeng{-}Wei Hong and Yueh{-}Min Huang and Wei{-}Wei Shen and Jim{-}Min Lin}, title = {Courseware development with animated pedagogical agents in learning system to improve learning motivation}, journal = {Interact. Learn. Environ.}, volume = {24}, number = {3}, pages = {360--381}, year = {2016}, url = {https://doi.org/10.1080/10494820.2013.851089}, doi = {10.1080/10494820.2013.851089}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/ChinHHSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/YeH16, author = {Zheng Ye and Jimmy Xiangji Huang}, title = {A learning to rank approach for quality-aware pseudo-relevance feedback}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {67}, number = {4}, pages = {942--959}, year = {2016}, url = {https://doi.org/10.1002/asi.23430}, doi = {10.1002/ASI.23430}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/YeH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/MoriokaMTSZIHSE16, author = {Craig A. Morioka and Frank Meng and Ricky K. Taira and James W. Sayre and Peter Zimmerman and David Ishimitsu and Jimmy Huang and Luyao Shen and Suzie El{-}Saden}, title = {Automatic Classification of Ultrasound Screening Examinations of the Abdominal Aorta}, journal = {J. Digit. Imaging}, volume = {29}, number = {6}, pages = {742--748}, year = {2016}, url = {https://doi.org/10.1007/s10278-016-9889-6}, doi = {10.1007/S10278-016-9889-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/MoriokaMTSZIHSE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nc/YuanZPWH16, author = {Weitao Yuan and Gexiang Zhang and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Tao Wang and Zhiwei Huang}, title = {P systems based computing polynomials: design and formal verification}, journal = {Nat. Comput.}, volume = {15}, number = {4}, pages = {591--596}, year = {2016}, url = {https://doi.org/10.1007/s11047-016-9577-y}, doi = {10.1007/S11047-016-9577-Y}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nc/YuanZPWH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MatuskeyWCPGNRS16, author = {David Matuskey and Patrick D. Worhunsky and Elizabeth Correa and Brian Pittman and Jean{-}Dominique Gallezot and Nabeel Nabulsi and Jim Ropchan and Venkatesh Sreeram and Rohit Gudepu and Edward Gaiser and Kelly P. Cosgrove and Yu{-}Shin Ding and Marc N. Potenza and Yiyun Huang and Robert Malison and Richard E. Carson}, title = {Age-related changes in binding of the D\({}_{\mbox{2/3}}\) receptor radioligand [\({}^{\mbox{11}}\)C](+)PHNO in healthy volunteers}, journal = {NeuroImage}, volume = {130}, pages = {241--247}, year = {2016}, url = {https://doi.org/10.1016/j.neuroimage.2016.02.002}, doi = {10.1016/J.NEUROIMAGE.2016.02.002}, timestamp = {Mon, 25 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/MatuskeyWCPGNRS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangWW16, author = {Ke Huang and Jian Wen and Jim Willmore}, title = {Test-Suite-Based Analog/RF Test Time Reduction Using Canonical Correlation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {12}, pages = {2143--2147}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2547904}, doi = {10.1109/TCAD.2016.2547904}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/HuangWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HuangXPK16, author = {Po{-}Hsu Huang and Weidong Xiao and Jimmy C.{-}H. Peng and James L. Kirtley}, title = {Comprehensive Parameterization of Solar Cell: Improved Accuracy With Simulation Efficiency}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {3}, pages = {1549--1560}, year = {2016}, url = {https://doi.org/10.1109/TIE.2015.2498139}, doi = {10.1109/TIE.2015.2498139}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HuangXPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ShuHZCCCS16, author = {Yuanchao Shu and Yinghua Huang and Jiaqi Zhang and Philippe Cou{\'{e}} and Peng Cheng and Jiming Chen and Kang G. Shin}, title = {Gradient-Based Fingerprinting for Indoor Localization and Tracking}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {4}, pages = {2424--2433}, year = {2016}, url = {https://doi.org/10.1109/TIE.2015.2509917}, doi = {10.1109/TIE.2015.2509917}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ShuHZCCCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/MiaoHZ16, author = {Jun Miao and Jimmy Xiangji Huang and Jiashu Zhao}, title = {TopPRF: {A} Probabilistic Framework for Integrating Topic Space into Pseudo Relevance Feedback}, journal = {{ACM} Trans. Inf. Syst.}, volume = {34}, number = {4}, pages = {22:1--22:36}, year = {2016}, url = {https://doi.org/10.1145/2956234}, doi = {10.1145/2956234}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/MiaoHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-alta/HuangMJ16, author = {Pin Huang and Andrew MacKinlay and Antonio Jimeno{-}Yepes}, editor = {Trevor Cohn}, title = {Syndromic Surveillance using Generic Medical Entities on Twitter}, booktitle = {Proceedings of the Australasian Language Technology Association Workshop 2016, Melbourne, Australia, December 5 - 7, 2016}, pages = {35--44}, publisher = {{ACL}}, year = {2016}, url = {https://aclanthology.org/U16-1004/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-alta/HuangMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhouXHH16, author = {Guangyou Zhou and Zhiwen Xie and Jimmy Xiangji Huang and Tingting He}, title = {Bi-Transferring Deep Neural Networks for Domain Adaptation}, booktitle = {Proceedings of the 54th Annual Meeting of the Association for Computational Linguistics, {ACL} 2016, August 7-12, 2016, Berlin, Germany, Volume 1: Long Papers}, publisher = {The Association for Computer Linguistics}, year = {2016}, url = {https://doi.org/10.18653/v1/p16-1031}, doi = {10.18653/V1/P16-1031}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhouXHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/Tan16, author = {Xing Tan and Xiangdong An and Nicholas Pairaudeau and Jimmy X. Huang}, title = {Towards a Formal Account of the Dynamics of Knowledge and Context in Surgical Rooms for the Practice of Surgical Safety CheckLists}, booktitle = {{AMIA} 2016, American Medical Informatics Association Annual Symposium, Chicago, IL, USA, November 12-16, 2016}, publisher = {{AMIA}}, year = {2016}, url = {https://knowledge.amia.org/amia-63300-1.3360278/t001-1.3365273/f001-1.3365274/2499518-1.3365383/2499433-1.3365378}, timestamp = {Wed, 17 Apr 2024 11:47:32 +0200}, biburl = {https://dblp.org/rec/conf/amia/Tan16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangPEPSPGHSMH16, author = {Zhaohui Liang and Andrew Powell and Ilker Ersoy and Mahdieh Poostchi and Kamolrat Silamut and Kannappan Palaniappan and Peng Guo and Md Amir Hossain and Sameer K. Antani and Richard James Maude and Jimmy Xiangji Huang and Stefan Jaeger and George R. Thoma}, editor = {Tianhai Tian and Qinghua Jiang and Yunlong Liu and Kevin Burrage and Jiangning Song and Yadong Wang and Xiaohua Hu and Shinichi Morishita and Qian Zhu and Guohua Wang}, title = {CNN-based image analysis for malaria diagnosis}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2016, Shenzhen, China, December 15-18, 2016}, pages = {493--496}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBM.2016.7822567}, doi = {10.1109/BIBM.2016.7822567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiangPEPSPGHSMH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/XuPCYH16, author = {Ning Xu and Brian L. Price and Scott Cohen and Jimei Yang and Thomas S. Huang}, title = {Deep Interactive Object Selection}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {373--381}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.47}, doi = {10.1109/CVPR.2016.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/XuPCYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KorpusikHPG16, author = {Mandy Korpusik and Calvin Huang and Michael Price and James R. Glass}, title = {Distributional semantics for understanding spoken meal descriptions}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {6070--6074}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7472843}, doi = {10.1109/ICASSP.2016.7472843}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KorpusikHPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JenattonHA16, author = {Rodolphe Jenatton and Jim C. Huang and C{\'{e}}dric Archambeau}, editor = {Maria{-}Florina Balcan and Kilian Q. Weinberger}, title = {Adaptive Algorithms for Online Convex Optimization with Long-term Constraints}, booktitle = {Proceedings of the 33nd International Conference on Machine Learning, {ICML} 2016, New York City, NY, USA, June 19-24, 2016}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {48}, pages = {402--411}, publisher = {JMLR.org}, year = {2016}, url = {http://proceedings.mlr.press/v48/jenatton16.html}, timestamp = {Wed, 29 May 2019 08:41:46 +0200}, biburl = {https://dblp.org/rec/conf/icml/JenattonHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCB16, author = {Tsung{-}Ching Huang and Kwang{-}Ting Cheng and Raymond G. Beausoleil}, title = {Process-variation tolerant flexible circuit for wearable electronics}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {353--356}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527243}, doi = {10.1109/ISCAS.2016.7527243}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/HuangJA16, author = {Jim C. Huang and Rodolphe Jenatton and C{\'{e}}dric Archambeau}, editor = {Balaji Krishnapuram and Mohak Shah and Alexander J. Smola and Charu C. Aggarwal and Dou Shen and Rajeev Rastogi}, title = {Online Dual Decomposition for Performance and Delivery-Based Distributed Ad Allocation}, booktitle = {Proceedings of the 22nd {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, San Francisco, CA, USA, August 13-17, 2016}, pages = {117--126}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2939672.2939691}, doi = {10.1145/2939672.2939691}, timestamp = {Tue, 06 Nov 2018 16:59:37 +0100}, biburl = {https://dblp.org/rec/conf/kdd/HuangJA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/LiHBDEHRRWAJ16, author = {Shang Li and Po{-}Chun Huang and David Banks and Max DePalma and Ahmed Elshaarany and K. Scott Hemmert and Arun Rodrigues and Emily Ruppel and Yitian Wang and Jim Ang and Bruce L. Jacob}, editor = {Bruce L. Jacob}, title = {Low Latency, High Bisection-Bandwidth Networks for Exascale Memory Systems}, booktitle = {Proceedings of the Second International Symposium on Memory Systems, {MEMSYS} 2016, Alexandria, VA, USA, October 3-6, 2016}, pages = {62--73}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2989081.2989130}, doi = {10.1145/2989081.2989130}, timestamp = {Fri, 13 Nov 2020 09:24:44 +0100}, biburl = {https://dblp.org/rec/conf/memsys/LiHBDEHRRWAJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/DaumHN16, author = {Fred Daum and Jim Huang and Arjang Noushin}, title = {Gromov's method for Bayesian stochastic particle flow: {A} simple exact formula for {Q}}, booktitle = {2016 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2016, Baden-Baden, Germany, September 19-21, 2016}, pages = {540--545}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MFI.2016.7849544}, doi = {10.1109/MFI.2016.7849544}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/DaumHN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HuangCB16, author = {Tsung{-}Ching Huang and Kwang{-}Ting Cheng and Raymond G. Beausoleil}, title = {Printed circuits on flexible substrates: opportunities and challenges (invited paper)}, booktitle = {Tenth {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2016, Nara, Japan, August 31 - September 2, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NOCS.2016.7579340}, doi = {10.1109/NOCS.2016.7579340}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/HuangCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/JianHZHH16, author = {Fanghong Jian and Jimmy Xiangji Huang and Jiashu Zhao and Tingting He and Po Hu}, editor = {Raffaele Perego and Fabrizio Sebastiani and Javed A. Aslam and Ian Ruthven and Justin Zobel}, title = {A Simple Enhancement for Ad-hoc Information Retrieval via Topic Modelling}, booktitle = {Proceedings of the 39th International {ACM} {SIGIR} conference on Research and Development in Information Retrieval, {SIGIR} 2016, Pisa, Italy, July 17-21, 2016}, pages = {733--736}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2911451.2914748}, doi = {10.1145/2911451.2914748}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/JianHZHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/TanHA16, author = {Xing Tan and Jimmy Xiangji Huang and Aijun An}, editor = {Raffaele Perego and Fabrizio Sebastiani and Javed A. Aslam and Ian Ruthven and Justin Zobel}, title = {Ranking Documents Through Stochastic Sampling on Bayesian Network-based Models: {A} Pilot Study}, booktitle = {Proceedings of the 39th International {ACM} {SIGIR} conference on Research and Development in Information Retrieval, {SIGIR} 2016, Pisa, Italy, July 17-21, 2016}, pages = {961--964}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2911451.2914750}, doi = {10.1145/2911451.2914750}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/TanHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/TuHLH16, author = {Xinhui Tu and Jimmy Xiangji Huang and Jing Luo and Tingting He}, editor = {Raffaele Perego and Fabrizio Sebastiani and Javed A. Aslam and Ian Ruthven and Justin Zobel}, title = {Exploiting Semantic Coherence Features for Information Retrieval}, booktitle = {Proceedings of the 39th International {ACM} {SIGIR} conference on Research and Development in Information Retrieval, {SIGIR} 2016, Pisa, Italy, July 17-21, 2016}, pages = {837--840}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2911451.2914691}, doi = {10.1145/2911451.2914691}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/TuHLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenLHPLW16, author = {Chi{-}Jim Chen and Ying{-}Tsang Lo and Jhen{-}Li Huang and Tun{-}Wen Pai and Min{-}Hui Liu and Chao{-}Hung Wang}, title = {Feature analysis on heart failure classes and associated medications}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {1382--1387}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844430}, doi = {10.1109/SMC.2016.7844430}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenLHPLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/PengXHZOHT16, author = {Min Peng and Qianqian Xie and Jiajia Huang and Jiahui Zhu and Shuang Ouyang and Jimin Huang and Gang Tian}, editor = {Bin Cui and Nan Zhang and Jianliang Xu and Xiang Lian and Dexi Liu}, title = {Sparse Topical Coding with Sparse Groups}, booktitle = {Web-Age Information Management - 17th International Conference, {WAIM} 2016, Nanchang, China, June 3-5, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9658}, pages = {415--426}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39937-9\_32}, doi = {10.1007/978-3-319-39937-9\_32}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/waim/PengXHZOHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wise/PengHSWWZT16, author = {Min Peng and Jimin Huang and Zhaoyu Sun and Shizhong Wang and Hua Wang and Guangping Zhuo and Gang Tian}, editor = {Wojciech Cellary and Mohamed F. Mokbel and Jianmin Wang and Hua Wang and Rui Zhou and Yanchun Zhang}, title = {Improving Distant Supervision of Relation Extraction with Unsupervised Methods}, booktitle = {Web Information Systems Engineering - {WISE} 2016 - 17th International Conference, Shanghai, China, November 8-10, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10041}, pages = {561--568}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48740-3\_42}, doi = {10.1007/978-3-319-48740-3\_42}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wise/PengHSWWZT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/16/0001MHLYZC16, author = {Ning Zhong and Jianhua Ma and Runhe Huang and Jiming Liu and Yiyu Yao and Yaoxue Zhang and Jianhui Chen}, editor = {Ning Zhong and Jianhua Ma and Jiming Liu and Runhe Huang and Xiaohui Tao}, title = {Research Challenges and Perspectives on Wisdom Web of Things {(W2T)}}, booktitle = {Wisdom Web of Things}, pages = {3--26}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-44198-6\_1}, doi = {10.1007/978-3-319-44198-6\_1}, timestamp = {Tue, 30 Jan 2024 17:50:20 +0100}, biburl = {https://dblp.org/rec/books/sp/16/0001MHLYZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/16/ChenM0YLHLHG16, author = {Jianhui Chen and Jianhua Ma and Ning Zhong and Yiyu Yao and Jiming Liu and Runhe Huang and Wenbin Li and Zhisheng Huang and Yang Gao}, editor = {Ning Zhong and Jianhua Ma and Jiming Liu and Runhe Huang and Xiaohui Tao}, title = {WaaS - Wisdom as a Service}, booktitle = {Wisdom Web of Things}, pages = {27--46}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-44198-6\_2}, doi = {10.1007/978-3-319-44198-6\_2}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/16/ChenM0YLHLHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/ZMLHT2016, editor = {Ning Zhong and Jianhua Ma and Jiming Liu and Runhe Huang and Xiaohui Tao}, title = {Wisdom Web of Things}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-44198-6}, doi = {10.1007/978-3-319-44198-6}, isbn = {978-3-319-44196-2}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/ZMLHT2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JenattonHA16, author = {Rodolphe Jenatton and Jim C. Huang and C{\'{e}}dric Archambeau}, title = {Online optimization and regret guarantees for non-additive long-term constraints}, journal = {CoRR}, volume = {abs/1602.05394}, year = {2016}, url = {http://arxiv.org/abs/1602.05394}, eprinttype = {arXiv}, eprint = {1602.05394}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JenattonHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/XuPCYH16, author = {Ning Xu and Brian L. Price and Scott Cohen and Jimei Yang and Thomas S. Huang}, title = {Deep Interactive Object Selection}, journal = {CoRR}, volume = {abs/1603.04042}, year = {2016}, url = {http://arxiv.org/abs/1603.04042}, eprinttype = {arXiv}, eprint = {1603.04042}, timestamp = {Fri, 13 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/XuPCYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/HuangSZZXS15, author = {Liang{-}Chin Huang and Ergin Soysal and W. Jim Zheng and Zhongming Zhao and Hua Xu and Jingchun Sun}, title = {A weighted and integrated drug-target interactome: drug repurposing for schizophrenia as a use case}, journal = {{BMC} Syst. Biol.}, volume = {9}, number = {{S-4}}, pages = {S2}, year = {2015}, url = {https://doi.org/10.1186/1752-0509-9-S4-S2}, doi = {10.1186/1752-0509-9-S4-S2}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/HuangSZZXS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangHSG15, author = {Jim Jing{-}Yan Wang and Jianhua Z. Huang and Yijun Sun and Xin Gao}, title = {Feature selection and multi-kernel learning for adaptive graph regularized nonnegative matrix factorization}, journal = {Expert Syst. Appl.}, volume = {42}, number = {3}, pages = {1278--1286}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2014.09.008}, doi = {10.1016/J.ESWA.2014.09.008}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/WangHSG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fteda/HuangHC15, author = {Tsung{-}Ching Jim Huang and Jiun{-}Lang Huang and Kwang{-}Ting (Tim) Cheng}, title = {Design, Automation, and Test for Low-Power and Reliable Flexible Electronics}, journal = {Found. Trends Electron. Des. Autom.}, volume = {9}, number = {2}, pages = {99--210}, year = {2015}, url = {https://doi.org/10.1561/1000000039}, doi = {10.1561/1000000039}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fteda/HuangHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsis/HuangBN15, author = {Jimmy C. Huang and Jo{\~{a}}o John Baptista and Sue Newell}, title = {Communicational ambidexterity as a new capability to manage social media communication within organizations}, journal = {J. Strateg. Inf. Syst.}, volume = {24}, number = {2}, pages = {49--64}, year = {2015}, url = {https://doi.org/10.1016/j.jsis.2015.03.002}, doi = {10.1016/J.JSIS.2015.03.002}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsis/HuangBN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HuangDCPLBSSD15, author = {Xiaojie Huang and Donald P. Dione and Colin B. Compas and Xenophon Papademetris and Ben A. Lin and Alda Bregasi and Albert J. Sinusas and Lawrence H. Staib and James S. Duncan}, title = {Corrigendum to "Contour tracking in echocardiographic sequences via sparse representation and dictionary learning" [Med. Image Anal.18(2) {(2014)} 253-271]}, journal = {Medical Image Anal.}, volume = {22}, number = {1}, pages = {21}, year = {2015}, url = {https://doi.org/10.1016/j.media.2015.01.006}, doi = {10.1016/J.MEDIA.2015.01.006}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/HuangDCPLBSSD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ChenLWH15, author = {Zhenhua Chen and Shundong Li and Qianhong Wu and Qiong Huang}, title = {A distributed secret share update scheme with public verifiability for ad hoc network}, journal = {Secur. Commun. Networks}, volume = {8}, number = {8}, pages = {1485--1493}, year = {2015}, url = {https://doi.org/10.1002/sec.1097}, doi = {10.1002/SEC.1097}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ChenLWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/ZhaoZYHC15, author = {Hongyang Zhao and Huan Zhou and Chengjue Yuan and Yinghua Huang and Jiming Chen}, title = {Social Discovery: Exploring the Correlation Among Three-Dimensional Social Relationships}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {2}, number = {3}, pages = {77--87}, year = {2015}, url = {https://doi.org/10.1109/TCSS.2016.2517092}, doi = {10.1109/TCSS.2016.2517092}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/ZhaoZYHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/CompasWHSLPPTDSSSOD15, author = {Colin B. Compas and Emily Y. Wong and Xiaojie Huang and Smita Sampath and Ben A. Lin and Prasanta Pal and Xenophon Papademetris and Karl Thiele and Donald P. Dione and Mitchel Stacy and Lawrence H. Staib and Albert J. Sinusas and Matthew O'Donnell and James S. Duncan}, title = {Correction to "Radial Basis Functions for Combining Shape and Speckle Tracking in 4D Echocardiography"}, journal = {{IEEE} Trans. Medical Imaging}, volume = {34}, number = {2}, pages = {690}, year = {2015}, url = {https://doi.org/10.1109/TMI.2015.2397791}, doi = {10.1109/TMI.2015.2397791}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/CompasWHSLPPTDSSSOD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SridharFHGW15, author = {Dhanya Sridhar and James R. Foulds and Bert Huang and Lise Getoor and Marilyn A. Walker}, title = {Joint Models of Disagreement and Stance in Online Debate}, booktitle = {Proceedings of the 53rd Annual Meeting of the Association for Computational Linguistics and the 7th International Joint Conference on Natural Language Processing of the Asian Federation of Natural Language Processing, {ACL} 2015, July 26-31, 2015, Beijing, China, Volume 1: Long Papers}, pages = {116--125}, publisher = {The Association for Computer Linguistics}, year = {2015}, url = {https://doi.org/10.3115/v1/p15-1012}, doi = {10.3115/V1/P15-1012}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SridharFHGW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangZH15, author = {Zhaohui Liang and Gang Zhang and Jimmy Xiangji Huang}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Discovery of the relations between genetic polymorphism and adverse drug reactions}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {543--548}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359741}, doi = {10.1109/BIBM.2015.7359741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiangZH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/GhoddousiH15, author = {Andia Ghoddousi and Jimmy Xiangji Huang}, editor = {Linda Cappellato and Nicola Ferro and Gareth J. F. Jones and Eric SanJuan}, title = {York University at {CLEF} 2015 eHealth : Medical Document Retrieval}, booktitle = {Working Notes of {CLEF} 2015 - Conference and Labs of the Evaluation forum, Toulouse, France, September 8-11, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1391}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1391/20-CR.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:42 +0100}, biburl = {https://dblp.org/rec/conf/clef/GhoddousiH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuYHY15, author = {Sifei Liu and Jimei Yang and Chang Huang and Ming{-}Hsuan Yang}, title = {Multi-objective convolutional learning for face labeling}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2015, Boston, MA, USA, June 7-12, 2015}, pages = {3451--3459}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CVPR.2015.7298967}, doi = {10.1109/CVPR.2015.7298967}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiuYHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/DaumH15, author = {Fred Daum and Jim Huang}, title = {Renormalization group flow in k-space for nonlinear filters, Bayesian decisions and transport}, booktitle = {18th International Conference on Information Fusion, {FUSION} 2015, Washington, DC, USA, July 6-9, 2015}, pages = {1617--1624}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7266750/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/DaumH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gamesem/MannJRHB15, author = {Steve Mann and Ryan E. Janzen and Valmiki Rampersad and Jason Huang and Lei Jimmy Ba}, editor = {Elena G. Bertozzi and Bill Kapralos and Nahum D. Gershon and Jim R. Parker}, title = {"SQUEAKeys": {A} friction idiophone, for physical interaction with mobile devices}, booktitle = {2015 {IEEE} Games Entertainment Media Conference, {GEM} 2015, Toronto, ON, Canada, October 14-16, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GEM.2015.7377235}, doi = {10.1109/GEM.2015.7377235}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gamesem/MannJRHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuCLHLZPBBC15, author = {Rui Wu and Chin{-}Hui Chen and Cheng Li and Tsung{-}Ching Huang and Fan Lan and Chong Zhang and Yun Pan and John E. Bowers and Raymond G. Beausoleil and Kwang{-}Ting Cheng}, editor = {Diana Marculescu and Frank Liu}, title = {Variation-Aware Adaptive Tuning for Nanophotonic Interconnects}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {487--493}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372609}, doi = {10.1109/ICCAD.2015.7372609}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuCLHLZPBBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictac/CavalcantiHPW15, author = {Ana Cavalcanti and Wen{-}ling Huang and Jan Peleska and Jim Woodcock}, editor = {Martin Leucker and Camilo Rueda and Frank D. Valencia}, title = {{CSP} and Kripke Structures}, booktitle = {Theoretical Aspects of Computing - {ICTAC} 2015 - 12th International Colloquium Cali, Colombia, October 29-31, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9399}, pages = {505--523}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25150-9\_29}, doi = {10.1007/978-3-319-25150-9\_29}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictac/CavalcantiHPW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HuangLWCFCPB15, author = {Tsung{-}Ching Huang and Cheng Li and Rui Wu and Chin{-}Hui Chen and Marco Fiorentino and Kwang{-}Ting Cheng and Samuel Palermo and Raymond G. Beausoleil}, title = {{DWDM} nanophotonic interconnects: toward terabit/s chip-scale serial link}, booktitle = {{IEEE} 58th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MWSCAS.2015.7282211}, doi = {10.1109/MWSCAS.2015.7282211}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/HuangLWCFCPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SeyediYLHBWBFB15, author = {M. Ashkan Seyedi and Kunzhi Yu and Cheng Li and Tsung{-}Ching Huang and Dan Berkram and Christopher Wilson and Ranojoy Bose and Marco Fiorentino and Raymond G. Beausoleil}, title = {Silicon Mach-Zehnder Interferometer modulator with {PAM-4} data modulation at 64 Gb/s}, booktitle = {{IEEE} 58th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MWSCAS.2015.7282207}, doi = {10.1109/MWSCAS.2015.7282207}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SeyediYLHBWBFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/YuLHSZWBPSFB15, author = {Kunzhi Yu and Cheng Li and Tsung{-}Ching Huang and M. Ashkan Seyedi and Dacheng Zhou and Christopher Wilson and Daniel A. Berkram and Samuel Palermo and Jonathan Q. Smela and Marco Fiorentino and Raymond G. Beausoleil}, title = {56 Gb/s {PAM-4} optical receiver frontend in an advanced FinFET process}, booktitle = {{IEEE} 58th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2015, Fort Collins, CO, USA, August 2-5, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MWSCAS.2015.7282209}, doi = {10.1109/MWSCAS.2015.7282209}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/YuLHSZWBPSFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiuAH15, author = {Baiyan Liu and Xiangdong An and Jimmy Xiangji Huang}, editor = {Ricardo Baeza{-}Yates and Mounia Lalmas and Alistair Moffat and Berthier A. Ribeiro{-}Neto}, title = {Using Term Location Information to Enhance Probabilistic Information Retrieval}, booktitle = {Proceedings of the 38th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Santiago, Chile, August 9-13, 2015}, pages = {883--886}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2766462.2767827}, doi = {10.1145/2766462.2767827}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/LiuAH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/ZhuLPHQHLHL15, author = {Jiahui Zhu and Xuhui Li and Min Peng and Jiajia Huang and Tieyun Qian and Jimin Huang and Jiping Liu and Ri Hong and Pinglan Liu}, editor = {Jian Li and Yizhou Sun}, title = {Coherent Topic Hierarchy: {A} Strategy for Topic Evolutionary Analysis on Microblog Feeds}, booktitle = {Web-Age Information Management - 16th International Conference, {WAIM} 2015, Qingdao, China, June 8-10, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9098}, pages = {70--82}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21042-1\_6}, doi = {10.1007/978-3-319-21042-1\_6}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/waim/ZhuLPHQHLHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/BraamHCMVB15, author = {Kyle Braam and Tsung{-}Ching Huang and Chin{-}Hui Chen and Eric Montgomery and Sonny Vo and Raymond G. Beausoleil}, title = {Wristband Vital: {A} wearable multi-sensor microsystem for real-time assistance via low-power Bluetooth link}, booktitle = {2nd {IEEE} World Forum on Internet of Things, WF-IoT 2015, Milan, Italy, December 14-16, 2015}, pages = {87--91}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WF-IoT.2015.7389032}, doi = {10.1109/WF-IOT.2015.7389032}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/BraamHCMVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JenattonHA15, author = {Rodolphe Jenatton and Jim C. Huang and C{\'{e}}dric Archambeau}, title = {Adaptive Algorithms for Online Convex Optimization with Long-term Constraints}, journal = {CoRR}, volume = {abs/1512.07422}, year = {2015}, url = {http://arxiv.org/abs/1512.07422}, eprinttype = {arXiv}, eprint = {1512.07422}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JenattonHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/YangC0HSC14, author = {Tao Yang and Chee{-}Kong Chui and Jiang Liu and Weimin Huang and Yi Su and Stephen K. Y. Chang}, title = {Robotic learning of motion using demonstrations and statistical models for surgical simulation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {9}, number = {5}, pages = {813--823}, year = {2014}, url = {https://doi.org/10.1007/s11548-013-0967-7}, doi = {10.1007/S11548-013-0967-7}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/YangC0HSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HuangGC14, author = {Shufeng Huang and James Griffioen and Kenneth L. Calvert}, title = {Network Hypervisors: Enhancing {SDN} Infrastructure}, journal = {Comput. Commun.}, volume = {46}, pages = {87--96}, year = {2014}, url = {https://doi.org/10.1016/j.comcom.2014.02.002}, doi = {10.1016/J.COMCOM.2014.02.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/HuangGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/ChenMZYLHLHGC14, author = {Jianhui Chen and Jianhua Ma and Ning Zhong and Yiyu Yao and Jiming Liu and Runhe Huang and Wenbin Li and Zhisheng Huang and Yang Gao and Jianping Cao}, title = {WaaS: Wisdom as a Service}, journal = {{IEEE} Intell. Syst.}, volume = {29}, number = {6}, pages = {40--47}, year = {2014}, url = {https://doi.org/10.1109/MIS.2014.19}, doi = {10.1109/MIS.2014.19}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/ChenMZYLHLHGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/FengZHH14, author = {Wenying Feng and Qinglei Zhang and Gongzhu Hu and Jimmy Xiangji Huang}, title = {Mining network data for intrusion detection through combining SVMs with ant colony networks}, journal = {Future Gener. Comput. Syst.}, volume = {37}, pages = {127--140}, year = {2014}, url = {https://doi.org/10.1016/j.future.2013.06.027}, doi = {10.1016/J.FUTURE.2013.06.027}, timestamp = {Mon, 28 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/FengZHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/HsuHG14, author = {Carol Hsu and Jimmy C. Huang and Robert D. Galliers}, title = {Conceptualizing the dynamics of rhetorical practice and technological frame in the context of technology diffusion and adoption}, journal = {Inf. Manag.}, volume = {51}, number = {8}, pages = {984--994}, year = {2014}, url = {https://doi.org/10.1016/j.im.2014.09.002}, doi = {10.1016/J.IM.2014.09.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/HsuHG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/HuangHW14, author = {Lan{-}Ying Huang and Ying{-}Jiun Hsieh and Yen{-}Chun Jim Wu}, title = {Gratifications and social network service usage: The mediating role of online experience}, journal = {Inf. Manag.}, volume = {51}, number = {6}, pages = {774--782}, year = {2014}, url = {https://doi.org/10.1016/j.im.2014.05.004}, doi = {10.1016/J.IM.2014.05.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/HuangHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsis/HuangNHP14, author = {Jimmy C. Huang and Sue Newell and Jingsong Huang and Shan Ling Pan}, title = {Site-shifting as the source of ambidexterity: Empirical insights from the field of ticketing}, journal = {J. Strateg. Inf. Syst.}, volume = {23}, number = {1}, pages = {29--44}, year = {2014}, url = {https://doi.org/10.1016/j.jsis.2014.01.001}, doi = {10.1016/J.JSIS.2014.01.001}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsis/HuangNHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HuangDCPLBSSD14, author = {Xiaojie Huang and Donald P. Dione and Colin B. Compas and Xenophon Papademetris and Ben A. Lin and Alda Bregasi and Albert J. Sinusas and Lawrence H. Staib and James S. Duncan}, title = {Contour tracking in echocardiographic sequences via sparse representation and dictionary learning}, journal = {Medical Image Anal.}, volume = {18}, number = {2}, pages = {253--271}, year = {2014}, url = {https://doi.org/10.1016/j.media.2013.10.012}, doi = {10.1016/J.MEDIA.2013.10.012}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/HuangDCPLBSSD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/DingNGNLRWMCHL14, author = {Yu{-}Shin Ding and Mika Naganawa and Jean{-}Dominique Gallezot and Nabeel Nabulsi and Shu{-}Fei Lin and Jim Ropchan and David Weinzimmer and Timothy J. McCarthy and Richard E. Carson and Yiyun Huang and Marc Laruelle}, title = {Clinical doses of atomoxetine significantly occupy both norepinephrine and serotonin transports: Implications on treatment of depression and {ADHD}}, journal = {NeuroImage}, volume = {86}, pages = {164--171}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2013.08.001}, doi = {10.1016/J.NEUROIMAGE.2013.08.001}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/DingNGNLRWMCHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WuHCCF14, author = {Jim{-}Wei Wu and Kuan{-}Chia Huang and Ming{-}Li Chiang and Mei{-}Yung Chen and Li{-}Chen Fu}, title = {Modeling and Controller Design of a Precision Hybrid Scanner for Application in Large Measurement-Range Atomic Force Microscopy}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {7}, pages = {3704--3712}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2279352}, doi = {10.1109/TIE.2013.2279352}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/WuHCCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HsuGH14, author = {Jimmy C. Hsu and Jeng Gong and Chih{-}Fang Huang}, title = {An Automated Permuting Capacitor Device for Calibration of IVDs}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {63}, number = {9}, pages = {2271--2278}, year = {2014}, url = {https://doi.org/10.1109/TIM.2014.2308035}, doi = {10.1109/TIM.2014.2308035}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HsuGH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/CompasWHSLPPTDSSSOD14, author = {Colin B. Compas and Emily Y. Wong and Xiaojie Huang and Smita Sampath and Ben A. Lin and Prasanta Pal and Xenophon Papademetris and Karl Thiele and Donald P. Dione and Mitchel Stacy and Lawrence H. Staib and Albert J. Sinusas and Matthew O'Donnell and James S. Duncan}, title = {Radial Basis Functions for Combining Shape and Speckle Tracking in 4D Echocardiography}, journal = {{IEEE} Trans. Medical Imaging}, volume = {33}, number = {6}, pages = {1275--1289}, year = {2014}, url = {https://doi.org/10.1109/TMI.2014.2308894}, doi = {10.1109/TMI.2014.2308894}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/CompasWHSLPPTDSSSOD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tois/ZhaoHY14, author = {Jiashu Zhao and Jimmy Xiangji Huang and Zheng Ye}, title = {Modeling Term Associations for Probabilistic Information Retrieval}, journal = {{ACM} Trans. Inf. Syst.}, volume = {32}, number = {2}, pages = {7:1--7:47}, year = {2014}, url = {https://doi.org/10.1145/2590988}, doi = {10.1145/2590988}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tois/ZhaoHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/WangLCH14, author = {Yuan Wang and Jie Liu and Jimeng Chen and Yalou Huang}, title = {Finding similar queries based on query representation analysis}, journal = {World Wide Web}, volume = {17}, number = {5}, pages = {1161--1188}, year = {2014}, url = {https://doi.org/10.1007/s11280-013-0233-5}, doi = {10.1007/S11280-013-0233-5}, timestamp = {Thu, 29 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/WangLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Al-ShedivatWAHG14, author = {Maruan Al{-}Shedivat and Jim Jing{-}Yan Wang and Majed Alzahrani and Jianhua Z. Huang and Xin Gao}, editor = {Carla E. Brodley and Peter Stone}, title = {Supervised Transfer Sparse Coding}, booktitle = {Proceedings of the Twenty-Eighth {AAAI} Conference on Artificial Intelligence, July 27 -31, 2014, Qu{\'{e}}bec City, Qu{\'{e}}bec, Canada}, pages = {1665--1672}, publisher = {{AAAI} Press}, year = {2014}, url = {https://doi.org/10.1609/aaai.v28i1.8981}, doi = {10.1609/AAAI.V28I1.8981}, timestamp = {Mon, 04 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Al-ShedivatWAHG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/WangQLCH14, author = {Yuan Wang and Jishi Qu and Jie Liu and Jimeng Chen and Yalou Huang}, editor = {Lei Chen and Yan Jia and Timos K. Sellis and Guanfeng Liu}, title = {What to Tag Your Microblog: Hashtag Recommendation Based on Topic Analysis and Collaborative Filtering}, booktitle = {Web Technologies and Applications - 16th Asia-Pacific Web Conference, APWeb 2014, Changsha, China, September 5-7, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8709}, pages = {610--618}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11116-2\_58}, doi = {10.1007/978-3-319-11116-2\_58}, timestamp = {Fri, 27 Dec 2019 21:21:32 +0100}, biburl = {https://dblp.org/rec/conf/apweb/WangQLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HuHLHH14, author = {Qinmin Vivian Hu and Liang He and Mingyao Li and Jimmy Xiangji Huang and E. Mark Haacke}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {A semi-informative aware approach using topic model for medical search}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {320--324}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999177}, doi = {10.1109/BIBM.2014.6999177}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HuHLHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiangZHH14, author = {Zhaohui Liang and Gang Zhang and Jimmy Xiangji Huang and Qinmin Vivian Hu}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Deep learning for healthcare decision making with EMRs}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {556--559}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999219}, doi = {10.1109/BIBM.2014.6999219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiangZHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZengMLHL14, author = {Lingfeng Zeng and Changrong Meng and Ziping Li and Xiangji Huang and Zhaohui Liang}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Cloud computing and its decision-making for medical and health informatization in the context of big data}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {201--206}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999359}, doi = {10.1109/BIBM.2014.6999359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZengMLHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZengMLHL14a, author = {Lingfeng Zeng and Changrong Meng and Zhaohui Liang and Xiangji Huang and Ziping Li}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Stroke unit of integrative medicine for post stroke comorbid anxiety and depression: {A} systematic review and meta-analysis of 25 randomized controlled trials}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {207--212}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999360}, doi = {10.1109/BIBM.2014.6999360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZengMLHL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/WuH14, author = {Jiajin Wu and Jimmy X. Huang}, editor = {Linda Cappellato and Nicola Ferro and Martin Halvey and Wessel Kraaij}, title = {Task 3a: Team {YORKU}}, booktitle = {Working Notes for {CLEF} 2014 Conference, Sheffield, UK, September 15-18, 2014}, series = {{CEUR} Workshop Proceedings}, volume = {1180}, pages = {276--282}, publisher = {CEUR-WS.org}, year = {2014}, url = {https://ceur-ws.org/Vol-1180/CLEF2014wn-eHealth-WuEt2014.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:41 +0100}, biburl = {https://dblp.org/rec/conf/clef/WuH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/WuYCHCHCC14, author = {Jian{-}Cheng Wu and Tzu{-}Hsi Yen and Jim Chang and Guan{-}Cheng Huang and Jimmy C. M. Chang and Hsiang{-}Ling Hsu and Yu{-}Wei Chang and Jason S. Chang}, editor = {Hwee Tou Ng and Siew Mei Wu and Ted Briscoe and Christian Hadiwinoto and Raymond Hendy Susanto and Christopher Bryant}, title = {{NTHU} at the CoNLL-2014 Shared Task}, booktitle = {Proceedings of the Eighteenth Conference on Computational Natural Language Learning: Shared Task, CoNLL 2014, Baltimore, Maryland, USA, June 26-27, 2014}, pages = {91--95}, publisher = {{ACL}}, year = {2014}, url = {https://doi.org/10.3115/v1/w14-1712}, doi = {10.3115/V1/W14-1712}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/WuYCHCHCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coria/AyadiKDHJ14, author = {Hajer Ayadi and Mouna Torjmen Khemakhem and Mariam Daoud and Jimmy Xiangji Huang and Maher Ben Jemaa}, editor = {Marie{-}Francine Moens and Christian Viard{-}Gaudin and Ha{\"{\i}}fa Zargayouna and Oriol Ramos Terrades}, title = {Apprentissage de classification des requ{\^{e}}tes bas{\'{e}}e sur la confiance et la couverture}, booktitle = {{CORIA} 2014 - Conf{\'{e}}rence en Recherche d'Infomations et Applications- 11th French Information Retrieval Conference. {CIFED} 2014 Colloque International Francophone sur l'Ecrit et le Document, Nancy, France, March 19-23, 2014}, pages = {303--318}, publisher = {{ARIA-GRCE}}, year = {2014}, url = {https://doi.org/10.24348/sdnri.2014.CORIA-22}, doi = {10.24348/SDNRI.2014.CORIA-22}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coria/AyadiKDHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/HuH14, author = {Qinmin Hu and Xiangji Huang}, editor = {Maarten de Rijke and Tom Kenter and Arjen P. de Vries and ChengXiang Zhai and Franciska de Jong and Kira Radinsky and Katja Hofmann}, title = {Bringing Information Retrieval into Crowdsourcing: {A} Case Study}, booktitle = {Advances in Information Retrieval - 36th European Conference on {IR} Research, {ECIR} 2014, Amsterdam, The Netherlands, April 13-16, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8416}, pages = {631--637}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-06028-6\_68}, doi = {10.1007/978-3-319-06028-6\_68}, timestamp = {Tue, 21 Mar 2023 20:52:33 +0100}, biburl = {https://dblp.org/rec/conf/ecir/HuH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/XuQHTLDCLCWBPAW14, author = {Yanwu Xu and Ying Quan and Yi Huang and Ngan Meng Tan and Ruoying Li and Lixin Duan and Lin Chen and Huiying Liu and Xiangyu Chen and Damon Wing Kee Wong and Mani Baskaran and Shamira A. Perera and Tin Aung and Tien Yin Wong and Jiang Liu}, title = {Local patch reconstruction framework for optic cup localization in glaucoma detection}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {5418--5421}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944851}, doi = {10.1109/EMBC.2014.6944851}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/XuQHTLDCLCWBPAW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/WangLQHCF14, author = {Yuan Wang and Jie Liu and Jishi Qu and Yalou Huang and Jimeng Chen and Xia Feng}, editor = {Ravi Kumar and Hannu Toivonen and Jian Pei and Joshua Zhexue Huang and Xindong Wu}, title = {Hashtag Graph Based Topic Model for Tweet Mining}, booktitle = {2014 {IEEE} International Conference on Data Mining, {ICDM} 2014, Shenzhen, China, December 14-17, 2014}, pages = {1025--1030}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICDM.2014.60}, doi = {10.1109/ICDM.2014.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/WangLQHCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ShuCHZCC14, author = {Yuanchao Shu and Philippe Cou{\'{e}} and Yinghua Huang and Jiaqi Zhang and Peng Cheng and Jiming Chen}, title = {G-Loc: Indoor localization leveraging gradient-based fingerprint map}, booktitle = {2014 Proceedings {IEEE} {INFOCOM} Workshops, Toronto, ON, Canada, April 27 - May 2, 2014}, pages = {129--130}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/INFCOMW.2014.6849189}, doi = {10.1109/INFCOMW.2014.6849189}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ShuCHZCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangCCHLH14, author = {Tsung{-}Ching Huang and Tao{-}Wen Chung and Chan{-}Hong Chern and Ming{-}Chieh Huang and Chih{-}Chang Lin and Fu{-}Lung Hsueh}, title = {8.4 {A} 28Gb/s 1pJ/b shared-inductor optical receiver with 56{\%} chip-area reduction in 28nm {CMOS}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {144--145}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757374}, doi = {10.1109/ISSCC.2014.6757374}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangCCHLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/RamakrishnanBMSKSWCVKKMZHCLHSTGKDAZFSFAGM14, author = {Naren Ramakrishnan and Patrick Butler and Sathappan Muthiah and Nathan Self and Rupinder Paul Khandpur and Parang Saraf and Wei Wang and Jose Cadena and Anil Vullikanti and Gizem Korkmaz and Chris J. Kuhlman and Achla Marathe and Liang Zhao and Ting Hua and Feng Chen and Chang{-}Tien Lu and Bert Huang and Aravind Srinivasan and Khoa Trinh and Lise Getoor and Graham Katz and Andy Doyle and Chris Ackermann and Ilya Zavorin and Jim Ford and Kristen Maria Summers and Youssef Fayed and Jaime Arredondo and Dipak Gupta and David Mares}, editor = {Sofus A. Macskassy and Claudia Perlich and Jure Leskovec and Wei Wang and Rayid Ghani}, title = {'Beating the news' with {EMBERS:} forecasting civil unrest using open source indicators}, booktitle = {The 20th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, {KDD} '14, New York, NY, {USA} - August 24 - 27, 2014}, pages = {1799--1808}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2623330.2623373}, doi = {10.1145/2623330.2623373}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/RamakrishnanBMSKSWCVKKMZHCLHSTGKDAZFSFAGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/YeH14, author = {Zheng Ye and Jimmy Xiangji Huang}, editor = {Shlomo Geva and Andrew Trotman and Peter Bruza and Charles L. A. Clarke and Kalervo J{\"{a}}rvelin}, title = {A simple term frequency transformation model for effective pseudo relevance feedback}, booktitle = {The 37th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} '14, Gold Coast , QLD, Australia - July 06 - 11, 2014}, pages = {323--332}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2600428.2609636}, doi = {10.1145/2600428.2609636}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/YeH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZhaoH14, author = {Jiashu Zhao and Jimmy Xiangji Huang}, editor = {Shlomo Geva and Andrew Trotman and Peter Bruza and Charles L. A. Clarke and Kalervo J{\"{a}}rvelin}, title = {An enhanced context-sensitive proximity model for probabilistic information retrieval}, booktitle = {The 37th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} '14, Gold Coast , QLD, Australia - July 06 - 11, 2014}, pages = {1131--1134}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2600428.2609527}, doi = {10.1145/2600428.2609527}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ZhaoH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HuangYLRXL14, author = {Jiwei Huang and Sen Yang and Ashwin Lall and Justin K. Romberg and Jun (Jim) Xu and Chuang Lin}, editor = {Sujay Sanghavi and Sanjay Shakkottai and Marc Lelarge and Bianca Schroeder}, title = {Error estimating codes for insertion and deletion channels}, booktitle = {{ACM} {SIGMETRICS} / International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2014, Austin, TX, USA, June 16-20, 2014}, pages = {381--393}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591971.2591976}, doi = {10.1145/2591971.2591976}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HuangYLRXL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/RamakrishnanBMSKSWCVKKMZHCLHSTGKDAZFSFAGM14, author = {Naren Ramakrishnan and Patrick Butler and Sathappan Muthiah and Nathan Self and Rupinder Paul Khandpur and Parang Saraf and Wei Wang and Jose Cadena and Anil Vullikanti and Gizem Korkmaz and Chris J. Kuhlman and Achla Marathe and Liang Zhao and Ting Hua and Feng Chen and Chang{-}Tien Lu and Bert Huang and Aravind Srinivasan and Khoa Trinh and Lise Getoor and Graham Katz and Andy Doyle and Chris Ackermann and Ilya Zavorin and Jim Ford and Kristen Maria Summers and Youssef Fayed and Jaime Arredondo and Dipak Gupta and David Mares}, title = {'Beating the news' with {EMBERS:} Forecasting Civil Unrest using Open Source Indicators}, journal = {CoRR}, volume = {abs/1402.7035}, year = {2014}, url = {http://arxiv.org/abs/1402.7035}, eprinttype = {arXiv}, eprint = {1402.7035}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/RamakrishnanBMSKSWCVKKMZHCLHSTGKDAZFSFAGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HuangPG13, author = {Ivan K. Huang and Jimin Pei and Nick V. Grishin}, title = {Defining and predicting structurally conserved regions in protein superfamilies}, journal = {Bioinform.}, volume = {29}, number = {2}, pages = {175--181}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/bts682}, doi = {10.1093/BIOINFORMATICS/BTS682}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HuangPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ChiZVHTHL13, author = {Yanling Chi and Jiayin Zhou and Sudhakar K. Venkatesh and Su Huang and Qi Tian and Tiffany Hennedige and Jimin Liu}, title = {Computer-aided focal liver lesion detection}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {8}, number = {4}, pages = {511--525}, year = {2013}, url = {https://doi.org/10.1007/s11548-013-0832-8}, doi = {10.1007/S11548-013-0832-8}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ChiZVHTHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/WuLHLTOYL13, author = {Jiaze Wu and Cheng Li and Su Huang and Feng Liu and Bien Soo Tan and London Lucien Ooi and Haoyong Yu and Jimin Liu}, title = {Fast and robust extraction of surrogate respiratory signal from intra-operative liver ultrasound images}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {8}, number = {6}, pages = {1027--1035}, year = {2013}, url = {https://doi.org/10.1007/s11548-013-0902-y}, doi = {10.1007/S11548-013-0902-Y}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/WuLHLTOYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ZhangZHQYLSCC13, author = {Jing Zhang and Jiayin Zhou and Weimin Huang and Jing Qin and Tao Yang and Jimmy Liu and Yi Su and Chee{-}Kong Chui and Stephen K. Y. Chang}, title = {GPU-friendly gallbladder modeling in laparoscopic cholecystectomy surgical training system}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {1}, pages = {122--129}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2012.05.012}, doi = {10.1016/J.COMPELECENG.2012.05.012}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ZhangZHQYLSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WuH13, author = {Yen{-}Chun Jim Wu and Shihping Kevin Huang}, title = {Making on-line logistics training sustainable through e-learning}, journal = {Comput. Hum. Behav.}, volume = {29}, number = {2}, pages = {323--328}, year = {2013}, url = {https://doi.org/10.1016/j.chb.2012.07.027}, doi = {10.1016/J.CHB.2012.07.027}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/WuH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/DaoudH13, author = {Mariam Daoud and Jimmy Xiangji Huang}, title = {Mining query-driven contexts for geographic and temporal search}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {27}, number = {8}, pages = {1530--1549}, year = {2013}, url = {https://doi.org/10.1080/13658816.2012.756883}, doi = {10.1080/13658816.2012.756883}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/DaoudH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/HuangBG13, author = {Jimmy C. Huang and Jo{\~{a}}o John Baptista and Robert D. Galliers}, title = {Reconceptualizing rhetorical practices in organizations: The impact of social media on internal communications}, journal = {Inf. Manag.}, volume = {50}, number = {2-3}, pages = {112--124}, year = {2013}, url = {https://doi.org/10.1016/j.im.2012.11.003}, doi = {10.1016/J.IM.2012.11.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/HuangBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/HuangMH13, author = {Jimmy Xiangji Huang and Jun Miao and Ben He}, title = {High performance query expansion using adaptive co-training}, journal = {Inf. Process. Manag.}, volume = {49}, number = {2}, pages = {441--453}, year = {2013}, url = {https://doi.org/10.1016/j.ipm.2012.08.002}, doi = {10.1016/J.IPM.2012.08.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/HuangMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/DaoudH13, author = {Mariam Daoud and Jimmy Xiangji Huang}, title = {Modeling geographic, temporal, and proximity contexts for improving geotemporal search}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {64}, number = {1}, pages = {190--212}, year = {2013}, url = {https://doi.org/10.1002/asi.22648}, doi = {10.1002/ASI.22648}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/DaoudH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcb/HuH13, author = {Qinmin Hu and Jimmy Xiangji Huang}, title = {Enhancing genomics Information Retrieval through Dimensional Analysis}, journal = {J. Bioinform. Comput. Biol.}, volume = {11}, number = {3}, year = {2013}, url = {https://doi.org/10.1142/S0219720013410059}, doi = {10.1142/S0219720013410059}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcb/HuH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsis/TansleyHF13, author = {Carole Tansley and Jimmy C. Huang and Carley Foster}, title = {Identity ambiguity and the promises and practices of hybrid e-HRM project teams}, journal = {J. Strateg. Inf. Syst.}, volume = {22}, number = {3}, pages = {208--224}, year = {2013}, url = {https://doi.org/10.1016/j.jsis.2013.01.002}, doi = {10.1016/J.JSIS.2013.01.002}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsis/TansleyHF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IshidaHHSFYZKTSTTSS13, author = {Koichi Ishida and Tsung{-}Ching Huang and Kentaro Honda and Yasuhiro Shinozuka and Hiroshi Fuketa and Tomoyuki Yokota and Ute Zschieschang and Hagen Klauk and Gregory Tortissier and Tsuyoshi Sekitani and Hiroshi Toshiyoshi and Makoto Takamiya and Takao Someya and Takayasu Sakurai}, title = {Insole Pedometer With Piezoelectric Energy Harvester and 2 {V} Organic Circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {255--264}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2221253}, doi = {10.1109/JSSC.2012.2221253}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IshidaHHSFYZKTSTTSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ParkHJD13, author = {Chiwoo Park and Jianhua Z. Huang and Jim X. Ji and Yu Ding}, title = {Segmentation, Inference and Classification of Partially Overlapping Nanoparticles}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {35}, number = {3}, year = {2013}, url = {https://doi.org/10.1109/TPAMI.2012.163}, doi = {10.1109/TPAMI.2012.163}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ParkHJD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/HuWZLH13, author = {Maodi Hu and Yunhong Wang and Zhaoxiang Zhang and James J. Little and Di Huang}, title = {View-Invariant Discriminative Projection for Multi-View Gait-Based Human Identification}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {8}, number = {12}, pages = {2034--2045}, year = {2013}, url = {https://doi.org/10.1109/TIFS.2013.2287605}, doi = {10.1109/TIFS.2013.2287605}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tifs/HuWZLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhongMHLYZC13, author = {Ning Zhong and Jianhua Ma and Runhe Huang and Jiming Liu and Yiyu Yao and Yaoxue Zhang and Jianhui Chen}, title = {Research challenges and perspectives on Wisdom Web of Things {(W2T)}}, journal = {J. Supercomput.}, volume = {64}, number = {3}, pages = {862--882}, year = {2013}, url = {https://doi.org/10.1007/s11227-010-0518-8}, doi = {10.1007/S11227-010-0518-8}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ZhongMHLYZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/YinHLZ13, author = {Xiaoshi Yin and Jimmy Xiangji Huang and Zhoujun Li and Xiaofeng Zhou}, title = {A Survival Modeling Approach to Biomedical Search Result Diversification Using Wikipedia}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {25}, number = {6}, pages = {1201--1212}, year = {2013}, url = {https://doi.org/10.1109/TKDE.2012.24}, doi = {10.1109/TKDE.2012.24}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/YinHLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/LiuYAH13, author = {Yang Liu and Xiaohui Yu and Aijun An and Xiangji Huang}, title = {Riding the tide of sentiment change: sentiment analysis with evolving online reviews}, journal = {World Wide Web}, volume = {16}, number = {4}, pages = {477--496}, year = {2013}, url = {https://doi.org/10.1007/s11280-012-0179-z}, doi = {10.1007/S11280-012-0179-Z}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/www/LiuYAH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/WuCHCLCF13, author = {Jim{-}Wei Wu and Jyun{-}Jhih Chen and Kuan{-}Chia Huang and Chih{-}Lieh Chen and Yi{-}Ting Lin and Mei{-}Yung Chen and Li{-}Chen Fu}, title = {Design and control of phase-detection mode atomic force microscopy for cells precision contour reconstruction under different environments}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {5488--5493}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6580696}, doi = {10.1109/ACC.2013.6580696}, timestamp = {Sun, 08 Aug 2021 01:40:56 +0200}, biburl = {https://dblp.org/rec/conf/amcc/WuCHCLCF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/CaiLHZHZ13, author = {Yi{-}fei Cai and Zhaohui Liang and Tong He and Gang Zhang and Jimmy Xiangji Huang and Xing Zeng}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Augmenting {LASSO} regression with decision tree for identifying the correlation of genetic polymorphism and adverse events}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {355--360}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732518}, doi = {10.1109/BIBM.2013.6732518}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/CaiLHZHZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/DaoudHMK13, author = {Mariam Daoud and Jimmy Xiangji Huang and William Melek and C. Joseph Kurian}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {A semantic feature space for disease prediction}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {617}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732572}, doi = {10.1109/BIBM.2013.6732572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/DaoudHMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/MengZZLHL13, author = {Changrong Meng and Honglai Zhang and Lingfeng Zeng and Ziping Li and Jimmy X. Huang and Zhaohui Liang}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Evidence-based decision support for the clinical practice of acupuncture: Data mining approaches}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {180--181}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732669}, doi = {10.1109/BIBM.2013.6732669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/MengZZLHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/PeiLLLHSFZ13, author = {Jiefu Pei and Bo Ling and Simon Liao and Baiyan Liu and Jimmy Xiangji Huang and Trevor Strome and Ricardo Lobato de Faria and Michael G. Zhang}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Improving prediction accuracy of influenza-like illnesses in hospital emergency departments}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {602--607}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732566}, doi = {10.1109/BIBM.2013.6732566}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/PeiLLLHSFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WuHY13, author = {Jiajin Wu and Jimmy X. Huang and Zheng Ye}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Expoliting rich features for promoting diversity in biomedical information retrieval}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {624}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732579}, doi = {10.1109/BIBM.2013.6732579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/WuHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhangHGX13, author = {Jiayue Zhang and Jimmy Xiangji Huang and Jun Guo and Weiran Xu}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Promoting electronic health record search through a time-aware approach}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {593--596}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732564}, doi = {10.1109/BIBM.2013.6732564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhangHGX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhangSHLLOH13, author = {Gang Zhang and Xiangyang Shu and Yongjing Huang and Yingrong Lao and Zhaohui Liang and Shanxing Ou and Jimmy X. Huang}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {A sparse Bayesian multi-instance multi-label model for skin biopsy image analysis}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {261--266}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732500}, doi = {10.1109/BIBM.2013.6732500}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ZhangSHLLOH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bic-ta/IpateLMVHZDPG13, author = {Florentin Ipate and Raluca Lefticaru and Laurentiu Mierla and Luis Valencia{-}Cabrera and Han Huang and Gexiang Zhang and Ciprian Dragomir and Mario J. P{\'{e}}rez{-}Jim{\'{e}}nez and Marian Gheorghe}, editor = {Zhixiang Yin and Linqiang Pan and Xianwen Fang}, title = {Kernel {P} Systems: Applications and Implementations}, booktitle = {Proceedings of The Eighth International Conference on Bio-Inspired Computing: Theories and Applications, {BIC-TA} 2013, HuangShan, China, July 12-14, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {212}, pages = {1081--1089}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37502-6\_126}, doi = {10.1007/978-3-642-37502-6\_126}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bic-ta/IpateLMVHZDPG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgames/HuangG13, author = {Shufeng Huang and James Griffioen}, editor = {Quasim H. Mehdi and Adel Elmaghraby and Ian Marshall and Jerzy W. Jaromczyk and Rammohan K. Ragade and Bego{\~{n}}a Garc{\'{\i}}a Zapirain and Dar{-}Jen Chang and Julia Chariker and Mostafa M. El{-}Said and Roman V. Yampolskiy}, title = {HyperNet games: Leveraging {SDN} networks to improve multiplayer online games}, booktitle = {18th International Conference on Computer Games: AI, Animation, Mobile, Interactive Multimedia, Educational and Serious Games, {CGAMES} 2013, Louisville, KY, USA, July 30 - Aug. 1, 2013}, pages = {74--78}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CGames.2013.6632609}, doi = {10.1109/CGAMES.2013.6632609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgames/HuangG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/AyadiTDJH13, author = {Hajer Ayadi and Mouna Torjmen and Mariam Daoud and Maher Ben Jemaa and Jimmy Xiangji Huang}, editor = {Qi He and Arun Iyengar and Wolfgang Nejdl and Jian Pei and Rajeev Rastogi}, title = {Correlating medical-dependent query features with image retrieval models using association rules}, booktitle = {22nd {ACM} International Conference on Information and Knowledge Management, CIKM'13, San Francisco, CA, USA, October 27 - November 1, 2013}, pages = {299--308}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2505515.2505580}, doi = {10.1145/2505515.2505580}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/AyadiTDJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HanGZLH13, author = {Zhaogang Han and Peng Gong and Li Zhang and Jiming Ling and Wenqing Huang}, title = {Definition and Detection of Control-Flow Anti-patterns in Process Models}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {433--438}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/COMPSACW.2013.111}, doi = {10.1109/COMPSACW.2013.111}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/HanGZLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhouHDY13, author = {Xiaowei Zhou and Xiaojie Huang and James S. Duncan and Weichuan Yu}, title = {Active Contours with Group Similarity}, booktitle = {2013 {IEEE} Conference on Computer Vision and Pattern Recognition, Portland, OR, USA, June 23-28, 2013}, pages = {2969--2976}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CVPR.2013.382}, doi = {10.1109/CVPR.2013.382}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhouHDY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangMSBP13, author = {Xiaoyu Huang and Jimson Mathew and Rishad A. Shafik and Subhasis Bhattacharjee and Dhiraj K. Pradhan}, editor = {Enrico Macii}, title = {A fast and Effective {DFT} for test and diagnosis of power switches in SoCs}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1089--1092}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.229}, doi = {10.7873/DATE.2013.229}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangMSBP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/DuanHCTYZ0TLSCC13, author = {Yuping Duan and Weimin Huang and Huibin Chang and Kyaw Kyar Toe and Tao Yang and Jiayin Zhou and Jiang Liu and Soo Kng Teo and Calvin Chi{-}Wan Lim and Yi Su and Chee{-}Kong Chui and Stephen K. Y. Chang}, title = {Synchronous simulation for deformation of liver and gallbladder with stretch and compression compensation}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {4941--4944}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610656}, doi = {10.1109/EMBC.2013.6610656}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/DuanHCTYZ0TLSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/DaumH13, author = {Fred Daum and Jim Huang}, title = {Particle flow for nonlinear filters, Bayesian decisions and transport}, booktitle = {Proceedings of the 16th International Conference on Information Fusion, {FUSION} 2013, Istanbul, Turkey, July 9-12, 2013}, pages = {1072--1079}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6641115/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/DaumH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gree/HuangGC13, author = {Shufeng Huang and James Griffioen and Kenneth L. Calvert}, title = {Fast-Tracking {GENI} Experiments Using HyperNets}, booktitle = {2013 Second {GENI} Research and Educational Experiment Workshop, Salt Lake City, UT, USA, March 20-22, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/GREE.2013.10}, doi = {10.1109/GREE.2013.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gree/HuangGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his2/BabashzadehDH13, author = {Atanaz Babashzadeh and Mariam Daoud and Jimmy X. Huang}, editor = {Guangyan Huang and Xiaohui Liu and Jing He and Frank Klawonn and Guiqing Yao}, title = {Using Semantic-Based Association Rule Mining for Improving Clinical Text Retrieval}, booktitle = {Health Information Science - Second International Conference, {HIS} 2013, London, UK, March 25-27, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7798}, pages = {186--197}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37899-7\_16}, doi = {10.1007/978-3-642-37899-7\_16}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/his2/BabashzadehDH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhouCGHL13, author = {Mengjie Zhou and Jiming Chen and Quanbo Ge and Xigang Huang and Yuesheng Liu}, title = {{AIS} data based identification of systematic collision risk for maritime intelligent transport system}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013}, pages = {6158--6162}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICC.2013.6655590}, doi = {10.1109/ICC.2013.6655590}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ZhouCGHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/HuangG13, author = {Shufeng Huang and James Griffioen}, title = {Network Hypervisors: Managing the Emerging {SDN} Chaos}, booktitle = {22nd International Conference on Computer Communication and Networks, {ICCCN} 2013, Nassau, Bahamas, July 30 - Aug. 2, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCCN.2013.6614160}, doi = {10.1109/ICCCN.2013.6614160}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/HuangG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChenCLH13, author = {Jiajun Chen and Jimeng Chen and Jie Liu and Va{-}Lou Huang}, title = {{PSCAN:} {A} Parallel Structural Clustering Algorithm for networks}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2013, Tianjin, China, July 14-17, 2013}, pages = {839--844}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICMLC.2013.6890400}, doi = {10.1109/ICMLC.2013.6890400}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChenCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LiuLYHC13, author = {Caihua Liu and Jie Liu and Fang Yu and Yalou Huang and Jimeng Chen}, title = {Handwritten character recognition with sequential convolutional neural network}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2013, Tianjin, China, July 14-17, 2013}, pages = {291--296}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICMLC.2013.6890483}, doi = {10.1109/ICMLC.2013.6890483}, timestamp = {Thu, 29 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LiuLYHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/HouCLYHH13, author = {I{-}Ching Hou and Jimmy Chen and Fan{-}Lian Lin and Hui{-}Zu Yu and Si{-}Fen Huang and Yue{-}Jiao Huang}, editor = {Christoph Ulrich Lehmann and Elske Ammenwerth and Christian N{\o}hr}, title = {The Evaluation of Integrating Physical Restraint Report and Care Record System}, booktitle = {{MEDINFO} 2013 - Proceedings of the 14th World Congress on Medical and Health Informatics, 20-13 August 2013, Copenhagen, Denmark}, series = {Studies in Health Technology and Informatics}, volume = {192}, pages = {934}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-289-9-934}, doi = {10.3233/978-1-61499-289-9-934}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/HouCLYHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChenZHCXVCLT13, author = {Wenyu Chen and Jiayin Zhou and Weimin Huang and Yanling Chi and Wei Xiong and Sudhakar K. Venkatesh and Stephen Kin Yong Chang and Jimin Liu and Qi Tian}, editor = {Hongen Liao and Cristian A. Linte and Ken Masamune and Terry M. Peters and Guoyan Zheng}, title = {Planning of Middle Hepatic Vein-Guided Hemihepatectomy: Resection Pathway Construction and Optimization}, booktitle = {Augmented Reality Environments for Medical Imaging and Computer-Assisted Interventions - 6th International Workshop, {MIAR} 2013 and 8th International Workshop, {AE-CAI} 2013, Held in Conjunction with {MICCAI} 2013, Nagoya, Japan, September 22, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8090}, pages = {55--64}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40843-4\_7}, doi = {10.1007/978-3-642-40843-4\_7}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChenZHCXVCLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/DuanHCCTZYLTLSCC13, author = {Yuping Duan and Weimin Huang and Huibin Chang and Wenyu Chen and Kyaw Kyar Toe and Jiayin Zhou and Tao Yang and Jiang Liu and Soo Kng Teo and Calvin Chi{-}Wan Lim and Yi Su and Chee{-}Kong Chui and Stephen K. Y. Chang}, editor = {Hiroyuki Yoshida and Simon K. Warfield and Michael W. Vannier}, title = {Modeling and Simulation of Soft Tissue Deformation}, booktitle = {Abdominal Imaging. Computation and Clinical Applications - 5th International Workshop, Held in Conjunction with {MICCAI} 2013, Nagoya, Japan, September 22, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8198}, pages = {221--230}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41083-3\_25}, doi = {10.1007/978-3-642-41083-3\_25}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/DuanHCCTZYLTLSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/HuangDLBSD13, author = {Xiaojie Huang and Donald P. Dione and Ben A. Lin and Alda Bregasi and Albert J. Sinusas and James S. Duncan}, editor = {Kensaku Mori and Ichiro Sakuma and Yoshinobu Sato and Christian Barillot and Nassir Navab}, title = {Segmentation of 4D Echocardiography Using Stochastic Online Dictionary Learning}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2013 - 16th International Conference, Nagoya, Japan, September 22-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8151}, pages = {57--65}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40760-4\_8}, doi = {10.1007/978-3-642-40760-4\_8}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/HuangDLBSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/AnH13, author = {Xiangdong An and Jimmy Xiangji Huang}, editor = {Gareth J. F. Jones and Paraic Sheridan and Diane Kelly and Maarten de Rijke and Tetsuya Sakai}, title = {Boosting novelty for biomedical information retrieval through probabilistic latent semantic analysis}, booktitle = {The 36th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '13, Dublin, Ireland - July 28 - August 01, 2013}, pages = {829--832}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2484028.2484174}, doi = {10.1145/2484028.2484174}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/AnH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/BabashzadehHD13, author = {Atanaz Babashzadeh and Jimmy X. Huang and Mariam Daoud}, editor = {Gareth J. F. Jones and Paraic Sheridan and Diane Kelly and Maarten de Rijke and Tetsuya Sakai}, title = {Exploiting semantics for improving clinical information retrieval}, booktitle = {The 36th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '13, Dublin, Ireland - July 28 - August 01, 2013}, pages = {801--804}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2484028.2484167}, doi = {10.1145/2484028.2484167}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/BabashzadehHD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/MahdabiGHC13, author = {Parvaz Mahdabi and Shima Gerani and Jimmy Xiangji Huang and Fabio Crestani}, editor = {Gareth J. F. Jones and Paraic Sheridan and Diane Kelly and Maarten de Rijke and Tetsuya Sakai}, title = {Leveraging conceptual lexicon: query disambiguation using proximity information for patent retrieval}, booktitle = {The 36th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '13, Dublin, Ireland - July 28 - August 01, 2013}, pages = {113--122}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2484028.2484056}, doi = {10.1145/2484028.2484056}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/MahdabiGHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/YalamartiDH13, author = {Sushma Yalamarti and Mariam Daoud and Jimmy X. Huang}, editor = {Ellen M. Voorhees}, title = {York University at {TREC} 2013: Contextual Suggestion Track}, booktitle = {Proceedings of The Twenty-Second Text REtrieval Conference, {TREC} 2013, Gaithersburg, Maryland, USA, November 19-22, 2013}, series = {{NIST} Special Publication}, volume = {500-302}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2013}, url = {http://trec.nist.gov/pubs/trec22/papers/york-context.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/YalamartiDH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/ChenWLH13, author = {Jimeng Chen and Yuan Wang and Jie Liu and Yalou Huang}, editor = {Jianyong Wang and Hui Xiong and Yoshiharu Ishikawa and Jianliang Xu and Junfeng Zhou}, title = {Modeling Semantic and Behavioral Relations for Query Suggestion}, booktitle = {Web-Age Information Management - 14th International Conference, {WAIM} 2013, Beidaihe, China, June 14-16, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7923}, pages = {666--678}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38562-9\_68}, doi = {10.1007/978-3-642-38562-9\_68}, timestamp = {Thu, 28 Jan 2021 11:41:18 +0100}, biburl = {https://dblp.org/rec/conf/waim/ChenWLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/YangLHYCASC13, author = {Tao Yang and Jiang Liu and Weimin Huang and Liangjing Yang and Chee{-}Kong Chui and Marcelo H. Ang Jr. and Yi Su and Stephen K. Y. Chang}, editor = {Sukhan Lee and Kwang{-}Joon Yoon and Jangmyung Lee}, title = {Mechanism of a Learning Robot Manipulator for Laparoscopic Surgical Training}, booktitle = {Frontiers of Intelligent Autonomous Systems}, series = {Studies in Computational Intelligence}, volume = {466}, pages = {297--308}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-35485-4\_25}, doi = {10.1007/978-3-642-35485-4\_25}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/YangLHYCASC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuHH12, author = {Qinmin Hu and Jimmy X. Huang and Xiaohua Hu}, title = {Modeling and mining term association for improving biomedical information retrieval performance}, journal = {{BMC} Bioinform.}, volume = {13}, number = {{S-9}}, pages = {S2}, year = {2012}, url = {https://doi.org/10.1186/1471-2105-13-S9-S2}, doi = {10.1186/1471-2105-13-S9-S2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HuHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/WuWCKLH12, author = {Wen{-}Hsiung Wu and Yen{-}Chun Jim Wu and Chun{-}Yu Chen and Hao{-}Yun Kao and Che{-}Hung Lin and Sih{-}Han Huang}, title = {Review of trends from mobile learning studies: {A} meta-analysis}, journal = {Comput. Educ.}, volume = {59}, number = {2}, pages = {817--827}, year = {2012}, url = {https://doi.org/10.1016/j.compedu.2012.03.016}, doi = {10.1016/J.COMPEDU.2012.03.016}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/WuWCKLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/LiYZCL12, author = {Wei Li and Huangjian Yi and Qitan Zhang and Duofang Chen and Jimin Liang}, title = {Extended Finite Element Method with Simplified Spherical Harmonics Approximation for the Forward Model of Optical Molecular Imaging}, journal = {Comput. Math. Methods Medicine}, volume = {2012}, pages = {394374:1--394374:10}, year = {2012}, url = {https://doi.org/10.1155/2012/394374}, doi = {10.1155/2012/394374}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/LiYZCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejis/GalliersH12, author = {Robert D. Galliers and Jimmy C. Huang}, title = {The teaching of qualitative research methods in information systems: an explorative study utilizing learning theory}, journal = {Eur. J. Inf. Syst.}, volume = {21}, number = {2}, pages = {119--134}, year = {2012}, url = {https://doi.org/10.1057/ejis.2011.44}, doi = {10.1057/EJIS.2011.44}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejis/GalliersH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hac/StreiterGHL12, author = {Oliver Streiter and Yoann Goudin and Chun (Jimmy) Huang and Ann Meifang Lin}, title = {Matching digital tombstone documentation to unearthed census data: surveying Taiwan's family names, ethnicities and homelands}, journal = {Int. J. Humanit. Arts Comput.}, volume = {6}, number = {1-2}, pages = {57--70}, year = {2012}, url = {https://doi.org/10.3366/ijhac.2012.0038}, doi = {10.3366/IJHAC.2012.0038}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hac/StreiterGHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/HuangXH12, author = {Fengchen Huang and Feng Xu and Jiming Hu}, title = {Hyperspectral Imaging Target Detection Based on Improved Kernel Principal Component Analysis}, journal = {Intell. Autom. Soft Comput.}, volume = {18}, number = {7}, pages = {873--884}, year = {2012}, url = {https://doi.org/10.1080/10798587.2012.10643295}, doi = {10.1080/10798587.2012.10643295}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/HuangXH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/YinHL12, author = {Xiaoshi Yin and Jimmy Xiangji Huang and Zhoujun Li}, title = {Re-ranking with context for high-performance biomedical information retrieval}, journal = {Int. J. Data Min. Bioinform.}, volume = {6}, number = {2}, pages = {115--129}, year = {2012}, url = {https://doi.org/10.1504/IJDMB.2012.048172}, doi = {10.1504/IJDMB.2012.048172}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdmb/YinHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiH12, author = {Cheng Hua Li and Jimmy Xiangji Huang}, title = {Spam filtering using semantic similarity approach and adaptive {BPNN}}, journal = {Neurocomputing}, volume = {92}, pages = {88--97}, year = {2012}, url = {https://doi.org/10.1016/j.neucom.2011.09.036}, doi = {10.1016/J.NEUCOM.2011.09.036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/RugerRKH12, author = {Stefan M. R{\"{u}}ger and Vijay V. Raghavan and Irwin King and Jimmy Xiangji Huang}, title = {Special issue on advances in web intelligence}, journal = {Neurocomputing}, volume = {76}, number = {1}, pages = {48--49}, year = {2012}, url = {https://doi.org/10.1016/j.neucom.2011.07.006}, doi = {10.1016/J.NEUCOM.2011.07.006}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/RugerRKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChiangHST12, author = {Chieh{-}Feng Chiang and Guo{-}Huang Hsu and Lun{-}Min Shih and Jimmy J. M. Tan}, title = {Diagnosability of star graphs with missing edges}, journal = {Inf. Sci.}, volume = {188}, pages = {253--259}, year = {2012}, url = {https://doi.org/10.1016/j.ins.2011.11.012}, doi = {10.1016/J.INS.2011.11.012}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChiangHST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/YeHHL12, author = {Zheng Ye and Jimmy Xiangji Huang and Ben He and Hongfei Lin}, title = {Mining a multilingual association dictionary from Wikipedia for cross-language information retrieval}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {63}, number = {12}, pages = {2474--2487}, year = {2012}, url = {https://doi.org/10.1002/asi.22696}, doi = {10.1002/ASI.22696}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/YeHHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/HuangGGYSHCX12, author = {Dane Huang and Qiong Gu and Hu Ge and Jiming Ye and Noeris K. Salam and Arnold T. Hagler and Hongzhuan Chen and Jun Xu}, title = {On the Value of Homology Models for Virtual Screening: Discovering hCXCR3 Antagonists by Pharmacophore-Based and Structure-Based Approaches}, journal = {J. Chem. Inf. Model.}, volume = {52}, number = {5}, pages = {1356--1366}, year = {2012}, url = {https://doi.org/10.1021/ci300067q}, doi = {10.1021/CI300067Q}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/HuangGGYSHCX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/YiCLZNZTL12, author = {Huangjian Yi and Duofang Chen and Wei Li and Shuang Zhou and Miao Ning and Shouping Zhu and Jie Tian and Jimin Liang}, title = {Normalized Born Approximation-Based Two-Stage Reconstruction Algorithm for Quantitative Fluorescence Molecular Tomography}, journal = {J. Electr. Comput. Eng.}, volume = {2012}, pages = {838967:1--838967:9}, year = {2012}, url = {https://doi.org/10.1155/2012/838967}, doi = {10.1155/2012/838967}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/YiCLZNZTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IshidaHHSNMTSS12, author = {Koichi Ishida and Tsung{-}Ching Huang and Kentaro Honda and Tsuyoshi Sekitani and Hiroyoshi Nakajima and Hiroki Maeda and Makoto Takamiya and Takao Someya and Takayasu Sakurai}, title = {A 100-V {AC} Energy Meter Integrating 20-V Organic {CMOS} Digital and Analog Circuits With a Floating Gate for Process Variation Compensation and a 100-V Organic pMOS Rectifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {301--309}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2170634}, doi = {10.1109/JSSC.2011.2170634}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IshidaHHSNMTSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenCLCHHQHHY12, author = {Min{-}Cheng Chen and Hao{-}Yu Chen and Chia{-}Yi Lin and Chao{-}Hsin Chien and Tsung{-}Fan Hsieh and Jim{-}Tong Horng and Jian{-}Tai Qiu and Chien{-}Chao Huang and Chia{-}Hua Ho and Fu{-}Liang Yang}, title = {A CMOS-Compatible Poly-Si Nanowire Device with Hybrid Sensor/Memory Characteristics for System-on-Chip Applications}, journal = {Sensors}, volume = {12}, number = {4}, pages = {3952--3963}, year = {2012}, url = {https://doi.org/10.3390/s120403952}, doi = {10.3390/S120403952}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenCLCHHQHHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/LiHT12, author = {Yangmin Li and Jiming Huang and Hui Tang}, title = {A Compliant Parallel {XY} Micromotion Stage With Complete Kinematic Decoupling}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {9}, number = {3}, pages = {538--553}, year = {2012}, url = {https://doi.org/10.1109/TASE.2012.2198466}, doi = {10.1109/TASE.2012.2198466}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/LiHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/YuanCHL12, author = {Feiniu Yuan and Yanling Chi and Su Huang and Jimin Liu}, title = {Modeling n-Furcated Liver vessels From a 3-D Segmented Volume Using Hole-Making and Subdivision Methods}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {2}, pages = {552--561}, year = {2012}, url = {https://doi.org/10.1109/TBME.2011.2176728}, doi = {10.1109/TBME.2011.2176728}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/YuanCHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/YuLHA12, author = {Xiaohui Yu and Yang Liu and Xiangji Huang and Aijun An}, title = {Mining Online Reviews for Predicting Sales Performance: {A} Case Study in the Movie Domain}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {24}, number = {4}, pages = {720--734}, year = {2012}, url = {https://doi.org/10.1109/TKDE.2010.269}, doi = {10.1109/TKDE.2010.269}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/YuLHA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HuangHLLTYYCM12, author = {Wun{-}Yuan Huang and Jen{-}Wei Hu and Shu{-}Cheng Lin and Te{-}Lung Liu and Pang{-}Wei Tsai and Chu{-}Sing Yang and Fei Yeh and Jim Hao Chen and Joe Mambretti}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Design and Implementation of an Automatic Network Topology Discovery System for the Future Internet Across Different Domains}, booktitle = {26th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2012, Fukuoka, Japan, March 26-29, 2012}, pages = {903--908}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WAINA.2012.77}, doi = {10.1109/WAINA.2012.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HuangHLLTYYCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/WuPCHCF12, author = {Jim{-}Wei Wu and Yuan{-}Zhi Peng and Jyun{-}Jhih Chen and Kuan{-}Chia Huang and Mei{-}Yung Chen and Li{-}Chen Fu}, title = {Design and implementation of a large measurement-range {AFM} scanning system}, booktitle = {American Control Conference, {ACC} 2012, Montreal, QC, Canada, June 27-29, 2012}, pages = {895--900}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ACC.2012.6315621}, doi = {10.1109/ACC.2012.6315621}, timestamp = {Sun, 08 Aug 2021 01:40:54 +0200}, biburl = {https://dblp.org/rec/conf/amcc/WuPCHCF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/HuangGC12, author = {Shufeng Huang and James Griffioen and Kenneth L. Calvert}, editor = {Tilman Wolf and Andrew W. Moore and Viktor K. Prasanna}, title = {PVNs: making virtualized network infrastructure usable}, booktitle = {Symposium on Architecture for Networking and Communications Systems, {ANCS} '12, Austin, TX, {USA} - October 29 - 30, 2012}, pages = {147--148}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2396556.2396590}, doi = {10.1145/2396556.2396590}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ancs/HuangGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhaoHHKM12, author = {Jiashu Zhao and Jimmy Xiangji Huang and Xiaohua Hu and C. Joseph Kurian and William Melek}, title = {A Bayesian-based prediction model for personalized medical health care}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2012, Philadelphia, PA, USA, October 4-7, 2012}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBM.2012.6392623}, doi = {10.1109/BIBM.2012.6392623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhaoHHKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/HuangWCCCF12, author = {Kuan{-}Chia Huang and Jim{-}Wei Wu and Jyun{-}Jhih Chen and Chih{-}Lieh Chen and Mei{-}Yung Chen and Li{-}Chen Fu}, title = {Development of a large scanning-range atomic force microscope with adaptive complementary sliding mode controller}, booktitle = {Proceedings of the 51th {IEEE} Conference on Decision and Control, {CDC} 2012, December 10-13, 2012, Maui, HI, {USA}}, pages = {1685--1690}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CDC.2012.6426057}, doi = {10.1109/CDC.2012.6426057}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/HuangWCCCF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChungHCHLCH12, author = {Tao{-}Wen Chung and Tsung{-}Ching Huang and S. Chung and Ming{-}Chieh Huang and Chih{-}Chang Lin and Chan{-}Hong Chern and Fu{-}Lung Hsueh}, title = {A 2.7GHz 3.9mW Mesh-BJT {LC-VCO} with -204dBc/Hz {FOM} in 65nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330566}, doi = {10.1109/CICC.2012.6330566}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChungHCHLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/DaumH12, author = {Fred Daum and Jim Huang}, title = {Particle flow and Monge-Kantorovich transport}, booktitle = {15th International Conference on Information Fusion, {FUSION} 2012, Singapore, July 9-12, 2012}, pages = {135--142}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6289797/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/DaumH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ias/Yang0HSYCAC12, author = {Tao Yang and Jiang Liu and Weimin Huang and Yi Su and Liangjing Yang and Chee{-}Kong Chui and Marcelo H. Ang Jr. and Stephen K. Y. Chang}, editor = {Sukhan Lee and Hyungsuck Cho and Kwang{-}Joon Yoon and Jangmyung Lee}, title = {Mechanism of a Learning Robot Manipulator for Laparoscopic Surgical Training}, booktitle = {Intelligent Autonomous Systems 12 - Volume 2 Proceedings of the 12th International Conference IAS-12, held June 26-29, 2012, Jeju Island, Korea}, series = {Advances in Intelligent Systems and Computing}, volume = {194}, pages = {17--26}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33932-5\_3}, doi = {10.1007/978-3-642-33932-5\_3}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ias/Yang0HSYCAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShiZKH12, author = {Wentao Shi and Jimeng Zheng and Mostafa Kaveh and Jianguo Huang}, title = {Robust sparse spectral fitting in element and beam spaces for Directions-Of-Arrival and power estimation}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {2705--2708}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288475}, doi = {10.1109/ICASSP.2012.6288475}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShiZKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-8/HanZLH12, author = {Zhaogang Han and Li Zhang and Jiming Ling and Shihong Huang}, editor = {Marten van Sinderen and Pontus Johnson and Xiaofei Xu and Guy Doumeingts}, title = {Control-Flow Pattern Based Transformation from {UML} Activity Diagram to {YAWL}}, booktitle = {Enterprise Interoperability - 4th International {IFIP} Working Conference, {IWEI} 2012, Harbin, China, September 6-7, 2012. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {122}, pages = {129--145}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33068-1\_13}, doi = {10.1007/978-3-642-33068-1\_13}, timestamp = {Sun, 02 Jun 2019 21:21:37 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-8/HanZLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/CompasWHSLPTDSOD12, author = {Colin B. Compas and Emily Y. Wong and Xiaojie Huang and Smita Sampath and Ben A. Lin and Xenophon Papademetris and Karl Thiele and Donald P. Dione and Albert J. Sinusas and Matthew O'Donnell and James S. Duncan}, title = {A combined shape tracking and speckle tracking approach for 4D deformation analysis in echocardiography}, booktitle = {9th {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, {ISBI} 2012, May 2-5, 2012, Barcelona, Spain, Proceedings}, pages = {458--461}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISBI.2012.6235583}, doi = {10.1109/ISBI.2012.6235583}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/isbi/CompasWHSLPTDSOD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IshidaHHSFYZKTSTTSS12, author = {Koichi Ishida and Tsung{-}Ching Huang and Kentaro Honda and Yasuhiro Shinozuka and Hiroshi Fuketa and Tomoyuki Yokota and Ute Zschieschang and Hagen Klauk and Gregory Tortissier and Tsuyoshi Sekitani and Makoto Takamiya and Hiroshi Toshiyoshi and Takao Someya and Takayasu Sakurai}, title = {Insole pedometer with piezoelectric energy harvester and 2V organic digital and analog circuits}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {308--310}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177025}, doi = {10.1109/ISSCC.2012.6177025}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IshidaHHSFYZKTSTTSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/HuangDCPLSD12, author = {Xiaojie Huang and Donald P. Dione and Colin B. Compas and Xenophon Papademetris and Ben A. Lin and Albert J. Sinusas and James S. Duncan}, editor = {Nicholas Ayache and Herv{\'{e}} Delingette and Polina Golland and Kensaku Mori}, title = {A Dynamical Appearance Model Based on Multiscale Sparse Representation: Segmentation of the Left Ventricle from 4D Echocardiography}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2012 - 15th International Conference, Nice, France, October 1-5, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7512}, pages = {58--65}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33454-2\_8}, doi = {10.1007/978-3-642-33454-2\_8}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/HuangDCPLSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/HuangXZZYLSLCC12, author = {Weimin Huang and Wei Xiong and Jiayin Zhou and Jing Zhang and Tao Yang and Jiang Liu and Yi Su and Calvin Chi{-}Wan Lim and Chee{-}Kong Chui and Stephen K. Y. Chang}, editor = {Hiroyuki Yoshida and David J. Hawkes and Michael W. Vannier}, title = {3D Shape Analysis for Liver-Gallbladder Anatomical Structure Retrieval}, booktitle = {Abdominal Imaging. Computational and Clinical Applications - 4th International Workshop, Held in Conjunction with {MICCAI} 2012, Nice, France, October 1, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7601}, pages = {178--187}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33612-6\_19}, doi = {10.1007/978-3-642-33612-6\_19}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/HuangXZZYLSLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmbia/HuangLCSSD12, author = {Xiaojie Huang and Ben A. Lin and Colin B. Compas and Albert J. Sinusas and Lawrence H. Staib and James S. Duncan}, title = {Segmentation of left ventricles from echocardiographic sequences via sparse appearance representation}, booktitle = {2012 {IEEE} Workshop on Mathematical Methods in Biomedical Image Analysis, {MMBIA} 2012, Breckenridge, CO, USA, January 9-10, 2012}, pages = {305--312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MMBIA.2012.6164769}, doi = {10.1109/MMBIA.2012.6164769}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/mmbia/HuangLCSSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/MiaoHY12, author = {Jun Miao and Jimmy Xiangji Huang and Zheng Ye}, editor = {William R. Hersh and Jamie Callan and Yoelle Maarek and Mark Sanderson}, title = {Proximity-based rocchio's model for pseudo relevance}, booktitle = {The 35th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '12, Portland, OR, USA, August 12-16, 2012}, pages = {535--544}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2348283.2348356}, doi = {10.1145/2348283.2348356}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/MiaoHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/YeHM12, author = {Zheng Ye and Jimmy Xiangji Huang and Jun Miao}, editor = {William R. Hersh and Jamie Callan and Yoelle Maarek and Mark Sanderson}, title = {A hybrid model for ad-hoc information retrieval}, booktitle = {The 35th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '12, Portland, OR, USA, August 12-16, 2012}, pages = {1025--1026}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2348283.2348451}, doi = {10.1145/2348283.2348451}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/YeHM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZhaoHW12, author = {Jiashu Zhao and Jimmy Xiangji Huang and Shicheng Wu}, editor = {William R. Hersh and Jamie Callan and Yoelle Maarek and Mark Sanderson}, title = {Rewarding term location information to enhance probabilistic information retrieval}, booktitle = {The 35th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '12, Portland, OR, USA, August 12-16, 2012}, pages = {1137--1138}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2348283.2348507}, doi = {10.1145/2348283.2348507}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ZhaoHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/MiaoYH12, author = {Jun Miao and Zheng Ye and Jimmy X. Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2012: Medical Records Track}, booktitle = {Proceedings of The Twenty-First Text REtrieval Conference, {TREC} 2012, Gaithersburg, Maryland, USA, November 6-9, 2012}, series = {{NIST} Special Publication}, volume = {500-298}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2012}, url = {http://trec.nist.gov/pubs/trec21/papers/york.medical.nb.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/MiaoYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/NayeriYH12, author = {Zahra Amin Nayeri and Zheng Ye and Jimmy Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2012: Microblog Track}, booktitle = {Proceedings of The Twenty-First Text REtrieval Conference, {TREC} 2012, Gaithersburg, Maryland, USA, November 6-9, 2012}, series = {{NIST} Special Publication}, volume = {500-298}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2012}, url = {http://trec.nist.gov/pubs/trec21/papers/york.microblog.nb.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/NayeriYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1206-3259, author = {Jim C. Huang and Brendan J. Frey}, title = {Cumulative distribution networks and the derivative-sum-product algorithm}, journal = {CoRR}, volume = {abs/1206.3259}, year = {2012}, url = {http://arxiv.org/abs/1206.3259}, eprinttype = {arXiv}, eprint = {1206.3259}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1206-3259.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuHM11, author = {Qinmin Hu and Jimmy X. Huang and Jun Miao}, title = {A robust approach to optimizing multi-source information for enhancing genomics retrieval performance}, journal = {{BMC} Bioinform.}, volume = {12}, number = {{S-5}}, pages = {S6}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-S5-S6}, doi = {10.1186/1471-2105-12-S5-S6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HuHM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/YinLHH11, author = {Xiaoshi Yin and Zhoujun Li and Jimmy X. Huang and Xiaohua Hu}, title = {Promoting ranking diversity for genomics search with relevance-novelty combined model}, journal = {{BMC} Bioinform.}, volume = {12}, number = {{S-5}}, pages = {S8}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-S5-S8}, doi = {10.1186/1471-2105-12-S5-S8}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/YinLHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cib/RugerRKH11, author = {Stefan M. R{\"{u}}ger and Vijay V. Raghavan and Irwin King and Jimmy Xiangji Huang}, title = {Special Issue Review: Neurocomputing - Special Issue on Advances in Web Intelligence}, journal = {{IEEE} Intell. Informatics Bull.}, volume = {12}, number = {1}, pages = {35--36}, year = {2011}, url = {http://www.comp.hkbu.edu.hk/\&\#126;iib/2011/Dec/review/iib\_vol12no1\_review2.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cib/RugerRKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuangHC11, author = {Tsung{-}Ching Huang and Jiun{-}Lang Huang and Kwang{-}Ting (Tim) Cheng}, title = {Robust Circuit Design for Flexible Electronics}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {6}, pages = {8--15}, year = {2011}, url = {https://doi.org/10.1109/MDT.2011.74}, doi = {10.1109/MDT.2011.74}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuangHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/YeHL11, author = {Zheng Ye and Jimmy Xiangji Huang and Hongfei Lin}, title = {Incorporating rich features to boost information retrieval performance: {A} SVM-regression based re-ranking approach}, journal = {Expert Syst. Appl.}, volume = {38}, number = {6}, pages = {7569--7574}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.12.108}, doi = {10.1016/J.ESWA.2010.12.108}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/YeHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ifs/HuangTBY11, author = {Yongfeng Huang and Shanyu Tang and Chunlan Bao and Yau Jim Yip}, title = {Steganalysis of compressed speech to detect covert voice over Internet protocol channels}, journal = {{IET} Inf. Secur.}, volume = {5}, number = {1}, pages = {26--32}, year = {2011}, url = {https://doi.org/10.1049/iet-ifs.2010.0032}, doi = {10.1049/IET-IFS.2010.0032}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ifs/HuangTBY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LiuYHA11, author = {Yang Liu and Xiaohui Yu and Jimmy Xiangji Huang and Aijun An}, title = {Combining integrated sampling with {SVM} ensembles for learning from imbalanced datasets}, journal = {Inf. Process. Manag.}, volume = {47}, number = {4}, pages = {617--631}, year = {2011}, url = {https://doi.org/10.1016/j.ipm.2010.11.007}, doi = {10.1016/J.IPM.2010.11.007}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LiuYHA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/YinHL11, author = {Xiaoshi Yin and Jimmy Xiangji Huang and Zhoujun Li}, title = {Mining and modeling linkage information from citation context for improving biomedical literature retrieval}, journal = {Inf. Process. Manag.}, volume = {47}, number = {1}, pages = {53--67}, year = {2011}, url = {https://doi.org/10.1016/j.ipm.2010.03.010}, doi = {10.1016/J.IPM.2010.03.010}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/YinHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HeHZ11, author = {Ben He and Jimmy Xiangji Huang and Xiaofeng Zhou}, title = {Modeling term proximity for probabilistic information retrieval models}, journal = {Inf. Sci.}, volume = {181}, number = {14}, pages = {3017--3031}, year = {2011}, url = {https://doi.org/10.1016/j.ins.2011.03.007}, doi = {10.1016/J.INS.2011.03.007}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HeHZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LaiH11, author = {Jim Z. C. Lai and Tsung{-}Jen Huang}, title = {An agglomerative clustering algorithm using a dynamic k-nearest-neighbor list}, journal = {Inf. Sci.}, volume = {181}, number = {9}, pages = {1722--1734}, year = {2011}, url = {https://doi.org/10.1016/j.ins.2011.01.011}, doi = {10.1016/J.INS.2011.01.011}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LaiH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/YeHL11, author = {Zheng Ye and Jimmy Xiangji Huang and Hongfei Lin}, title = {Finding a good query-related topic for boosting pseudo-relevance feedback}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {62}, number = {4}, pages = {748--760}, year = {2011}, url = {https://doi.org/10.1002/asi.21501}, doi = {10.1002/ASI.21501}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/YeHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/HuangLLVTAN11, author = {Su Huang and Jimin Liu and Looi Chow Lee and Sudhakar K. Venkatesh and Lynette Li San Teo and Christopher Au and Wieslaw L. Nowinski}, title = {An Image-Based Comprehensive Approach for Automatic Segmentation of Left Ventricle from Cardiac Short Axis Cine {MR} Images}, journal = {J. Digit. Imaging}, volume = {24}, number = {4}, pages = {598--608}, year = {2011}, url = {https://doi.org/10.1007/s10278-010-9315-4}, doi = {10.1007/S10278-010-9315-4}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/HuangLLVTAN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeim/HuangG11, author = {Jimmy C. Huang and Robert D. Galliers}, title = {The importance of rhetoric in conceptualising {IS} adoption}, journal = {J. Enterp. Inf. Manag.}, volume = {24}, number = {3}, pages = {219--223}, year = {2011}, url = {https://doi.org/10.1108/17410391111122826}, doi = {10.1108/17410391111122826}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jeim/HuangG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/HuangF11, author = {Jim C. Huang and Brendan J. Frey}, title = {Cumulative Distribution Networks and the Derivative-sum-product Algorithm: Models and Inference for Cumulative Distribution Functions on Graphs}, journal = {J. Mach. Learn. Res.}, volume = {12}, pages = {301--348}, year = {2011}, url = {https://dl.acm.org/doi/10.5555/1953048.1953058}, doi = {10.5555/1953048.1953058}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HuangF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/HuangLCX11, author = {Guanyao Huang and Ashwin Lall and Chen{-}Nee Chuah and Jun (Jim) Xu}, title = {Uncovering Global Icebergs in Distributed Streams: Results and Implications}, journal = {J. Netw. Syst. Manag.}, volume = {19}, number = {1}, pages = {84--110}, year = {2011}, url = {https://doi.org/10.1007/s10922-010-9186-5}, doi = {10.1007/S10922-010-9186-5}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/HuangLCX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HuangCHZD11, author = {Alex Q. Huang and Mariesa L. Crow and Gerald Thomas Heydt and Jim P. Zheng and Steiner J. Dale}, title = {The Future Renewable Electric Energy Delivery and Management {(FREEDM)} System: The Energy Internet}, journal = {Proc. {IEEE}}, volume = {99}, number = {1}, pages = {133--148}, year = {2011}, url = {https://doi.org/10.1109/JPROC.2010.2081330}, doi = {10.1109/JPROC.2010.2081330}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HuangCHZD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChiLVHZTN11, author = {Yanling Chi and Jimin Liu and Sudhakar K. Venkatesh and Su Huang and Jiayin Zhou and Qi Tian and Wieslaw L. Nowinski}, title = {Segmentation of Liver Vasculature From Contrast Enhanced {CT} Images Using Context-Based Voting}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {8}, pages = {2144--2153}, year = {2011}, url = {https://doi.org/10.1109/TBME.2010.2093523}, doi = {10.1109/TBME.2010.2093523}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChiLVHZTN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/HuangCLLLW11, author = {Wei Huang and Kap Luk Chan and Huiqi Li and Joo{-}Hwee Lim and Jiang Liu and Tien Yin Wong}, title = {A Computer Assisted Method for Nuclear Cataract Grading From Slit-Lamp Images Using Ranking}, journal = {{IEEE} Trans. Medical Imaging}, volume = {30}, number = {1}, pages = {94--107}, year = {2011}, url = {https://doi.org/10.1109/TMI.2010.2062197}, doi = {10.1109/TMI.2010.2062197}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/HuangCLLLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/HuangPWCF11, author = {Kuan{-}Lin Huang and Yuan{-}Zhi Peng and Jim{-}Wei Wu and Mei{-}Yung Chen and Li{-}Chen Fu}, title = {Design and implementation of an electromagnetically damped positioner with flexure suspension}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2011, Denver, CO, USA, September 28-30, 2011}, pages = {1062--1067}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CCA.2011.6044458}, doi = {10.1109/CCA.2011.6044458}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/HuangPWCF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangHCL11, author = {Chia{-}Jen Chang and Pao{-}Jen Huang and Tai{-}Chen Chen and Chien{-}Nan Jimmy Liu}, title = {ILP-based inter-die routing for 3D ICs}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {330--335}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722209}, doi = {10.1109/ASPDAC.2011.5722209}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChenYLHH11, author = {Yan Chen and Xiaoshi Yin and Zhoujun Li and Xiaohua Hu and Jimmy Xiangji Huang}, editor = {Fang{-}Xiang Wu and Mohammed Javeed Zaki and Shinichi Morishita and Yi Pan and Stephen Wong and Anastasia Christianson and Xiaohua Hu}, title = {Promoting Ranking Diversity for Biomedical Information Retrieval Based on {LDA}}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2011, Atlanta, GA, USA, November 12-15, , 2011}, pages = {456--461}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBM.2011.28}, doi = {10.1109/BIBM.2011.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ChenYLHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HuHH11, author = {Qinmin Hu and Jimmy Xiangji Huang and Xiaohua Hu}, editor = {Fang{-}Xiang Wu and Mohammed Javeed Zaki and Shinichi Morishita and Yi Pan and Stephen Wong and Anastasia Christianson and Xiaohua Hu}, title = {A Term Association Approach for Genomics Information Retrieval}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2011, Atlanta, GA, USA, November 12-15, , 2011}, pages = {532--537}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBM.2011.107}, doi = {10.1109/BIBM.2011.107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HuHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuCZH11, author = {Jie Liu and Jimeng Chen and Yi Zhang and Yalou Huang}, editor = {Craig Macdonald and Iadh Ounis and Ian Ruthven}, title = {Learning conditional random fields with latent sparse features for acronym expansion finding}, booktitle = {Proceedings of the 20th {ACM} Conference on Information and Knowledge Management, {CIKM} 2011, Glasgow, United Kingdom, October 24-28, 2011}, pages = {867--872}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063576.2063701}, doi = {10.1145/2063576.2063701}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/LiuCZH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeYYCLHSC11, author = {Chun Siong Lee and Liangjing Yang and Tao Yang and Chee{-}Kong Chui and Jiang Liu and Weimin Huang and Yi Su and Stephen K. Y. Chang}, title = {Designing an active motor skill learning platform with a robot-assisted laparoscopic trainer}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {4534--4537}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091123}, doi = {10.1109/IEMBS.2011.6091123}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeYYCLHSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/ChenGHZ11, author = {Rongqiang Chen and Yingmin Gao and Jiming Huang and Wenbin Zhang}, title = {Study of friction hot forming technology of hydraulic cylinder at both ends}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {4266--4269}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6023985}, doi = {10.1109/EMEIT.2011.6023985}, timestamp = {Mon, 09 Aug 2021 14:53:48 +0200}, biburl = {https://dblp.org/rec/conf/emeit/ChenGHZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/HuangZC11, author = {Jiming Huang and Wenbin Zhang and Rongqiang Chen}, title = {Selection and processing of surface roughness for mechanical parts}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {4258--4261}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6023984}, doi = {10.1109/EMEIT.2011.6023984}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emeit/HuangZC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HuangHGLN11, author = {Jimmy C. Huang and Carol Hsu and Robert D. Galliers and Yu{-}Tzu Lin and Sue Newell}, title = {The Rhetorics of System Adoption and Diffusion in the Taiwan Mutual Fund Industry}, booktitle = {44th Hawaii International International Conference on Systems Science {(HICSS-44} 2011), Proceedings, 4-7 January 2011, Koloa, Kauai, HI, {USA}}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HICSS.2011.427}, doi = {10.1109/HICSS.2011.427}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/HuangHGLN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hisb/CompasLSHWSD11, author = {Colin B. Compas and Ben A. Lin and Smita Sampath and Lingyun Huang and Qifeng Wei and Albert J. Sinusas and James S. Duncan}, title = {Comparing Shape Tracking, Speckle Tracking, and a Combined Method for Deformation Analysis in Echocardiography}, booktitle = {2011 {IEEE} International Conference on Healthcare Informatics, Imaging and Systems Biology, {HISB} 2011, San Jose, CA, USA, July 26-29, 2011}, pages = {120--125}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HISB.2011.16}, doi = {10.1109/HISB.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hisb/CompasLSHWSD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaic/YeH11, author = {Jimin Ye and Ting Huang}, editor = {Dehuai Zeng}, title = {New Fast-ICA Algorithms for Blind Source Separation without Prewhitening}, booktitle = {Applied Informatics and Communication - International Conference, {ICAIC} 2011, Xi'an, China, August 20-21, 2011, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {225}, pages = {579--585}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23220-6\_73}, doi = {10.1007/978-3-642-23220-6\_73}, timestamp = {Fri, 19 May 2017 01:26:23 +0200}, biburl = {https://dblp.org/rec/conf/icaic/YeH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DaumH11, author = {Fred Daum and Jim Huang}, title = {Particle flow for nonlinear filters}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {5920--5923}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5947709}, doi = {10.1109/ICASSP.2011.5947709}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/DaumH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChenLHL11, author = {Jimeng Chen and Jie Liu and Yalou Huang and Min Lu}, title = {Efficient top-k support documents for expert search using relationship in a social network}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2011, Guilin, China, July 10-13, 2011, Proceedings}, pages = {1479--1484}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICMLC.2011.6016964}, doi = {10.1109/ICMLC.2011.6016964}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChenLHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/VikinskiBH11, author = {Omer Vikinski and Ram Ben{-}Ezra and Jimmy Huat Since Huang}, title = {Enhancing circuits and systems supply noise sensitivity characterization using on-package droop inducers}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {397--400}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138615}, doi = {10.1109/ISOCC.2011.6138615}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/VikinskiBH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IshidaHHSNMTSS11, author = {Koichi Ishida and Tsung{-}Ching Huang and Kentaro Honda and Tsuyoshi Sekitani and Hiroyoshi Nakajima and Hiroki Maeda and Makoto Takamiya and Takao Someya and Takayasu Sakurai}, title = {100V {AC} power meter system-on-a-film (SoF) integrating 20V organic {CMOS} digital and analog circuits with floating gate for process-variation compensation and 100V organic {PMOS} rectifier}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {218--220}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746291}, doi = {10.1109/ISSCC.2011.5746291}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IshidaHHSNMTSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/HuangZLZYSLCC11, author = {Weimin Huang and Jiayin Zhou and Jiang Liu and Jing Zhang and Tao Yang and Yi Su and Gim Han Law and Chee{-}Kong Chui and Stephen K. Y. Chang}, editor = {Kenneth H. Wong and David R. Holmes III}, title = {3D shape decomposition and comparison for gallbladder modeling}, booktitle = {Medical Imaging 2011: Visualization, Image-Guided Procedures, and Modeling, Lake Buena Vista (Orlando), Florida, United States, 12-17 February 2011}, series = {{SPIE} Proceedings}, volume = {7964}, pages = {79642K}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.878016}, doi = {10.1117/12.878016}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/HuangZLZYSLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recomb/HuangJ11, author = {Jim C. Huang and Nebojsa Jojic}, editor = {Vineet Bafna and S{\"{u}}leyman Cenk Sahinalp}, title = {Variable Selection through Correlation Sifting}, booktitle = {Research in Computational Molecular Biology - 15th Annual International Conference, {RECOMB} 2011, Vancouver, BC, Canada, March 28-31, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6577}, pages = {106--123}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20036-6\_12}, doi = {10.1007/978-3-642-20036-6\_12}, timestamp = {Mon, 13 May 2019 09:30:09 +0200}, biburl = {https://dblp.org/rec/conf/recomb/HuangJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChuiCYWHLSC11, author = {Chee{-}Kong Chui and Chin{-}Boon Chng and Tao Yang and Rong Wen and Weimin Huang and Jimmy Liu and Yi Su and Stephen K. Y. Chang}, title = {Learning laparoscopic surgery by imitation using robot trainer}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {2981--2986}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181759}, doi = {10.1109/ROBIO.2011.6181759}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChuiCYWHLSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/YeHL11, author = {Zheng Ye and Xiangji Huang and Hongfei Lin}, editor = {William C. Chu and W. Eric Wong and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {A Bayesian network approach to context sensitive query expansion}, booktitle = {Proceedings of the 2011 {ACM} Symposium on Applied Computing (SAC), TaiChung, Taiwan, March 21 - 24, 2011}, pages = {1138--1142}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1982185.1982435}, doi = {10.1145/1982185.1982435}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/YeHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZhaoHH11, author = {Jiashu Zhao and Jimmy Xiangji Huang and Ben He}, editor = {Wei{-}Ying Ma and Jian{-}Yun Nie and Ricardo Baeza{-}Yates and Tat{-}Seng Chua and W. Bruce Croft}, title = {{CRTER:} using cross terms to enhance probabilistic information retrieval}, booktitle = {Proceeding of the 34th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2011, Beijing, China, July 25-29, 2011}, pages = {155--164}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2009916.2009941}, doi = {10.1145/2009916.2009941}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ZhaoHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZhouHH11, author = {Xiaofeng Zhou and Jimmy Xiangji Huang and Ben He}, editor = {Wei{-}Ying Ma and Jian{-}Yun Nie and Ricardo Baeza{-}Yates and Tat{-}Seng Chua and W. Bruce Croft}, title = {Enhancing ad-hoc relevance weighting using probability density estimation}, booktitle = {Proceeding of the 34th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2011, Beijing, China, July 25-29, 2011}, pages = {175--184}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2009916.2009943}, doi = {10.1145/2009916.2009943}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ZhouHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tei/MannJHKBC11, author = {Steve Mann and Ryan E. Janzen and Jason Huang and Matthew B. Kelly and Lei Jimmy Ba and Alexander Chen}, editor = {Mark D. Gross and Nuno Jardim Nunes and Ellen Yi{-}Luen Do and Stephen A. Brewster and Ian Oakley}, title = {User-interfaces based on the water-hammer effect: water-hammer piano as an interactive percussion surface}, booktitle = {Proceedings of the 5th International Conference on Tangible and Embedded Interaction 2011, Funchal, Madeira, Portugal, January 22-26, 2011}, pages = {1--8}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1935701.1935703}, doi = {10.1145/1935701.1935703}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tei/MannJHKBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/DaoudKMH11, author = {Mariam Daoud and Dawid Kasperowicz and Jun Miao and Jimmy X. Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2011: Medical Records Track}, booktitle = {Proceedings of The Twentieth Text REtrieval Conference, {TREC} 2011, Gaithersburg, Maryland, USA, November 15-18, 2011}, series = {{NIST} Special Publication}, volume = {500-296}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2011}, url = {http://trec.nist.gov/pubs/trec20/papers/york.medical.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/DaoudKMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/LupuZHGFZFT11, author = {Mihai Lupu and Jiashu Zhao and Jimmy X. Huang and Harsha Gurulingappa and Juliane Fluck and Marc Zimmermann and Igor V. Filippov and John Tait}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {Overview of the {TREC} 2011 Chemical {IR} Track}, booktitle = {Proceedings of The Twentieth Text REtrieval Conference, {TREC} 2011, Gaithersburg, Maryland, USA, November 15-18, 2011}, series = {{NIST} Special Publication}, volume = {500-296}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2011}, url = {http://trec.nist.gov/pubs/trec20/papers/CHEM.OVERVIEW.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/LupuZHGFZFT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/waim/LiuCLH11, author = {Jie Liu and Jimeng Chen and Tianbi Liu and Yalou Huang}, editor = {Haixun Wang and Shijun Li and Satoshi Oyama and Xiaohua Hu and Tieyun Qian}, title = {Expansion Finding for Given Acronyms Using Conditional Random Fields}, booktitle = {Web-Age Information Management - 12th International Conference, {WAIM} 2011, Wuhan, China, September 14-16, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6897}, pages = {191--200}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23535-1\_18}, doi = {10.1007/978-3-642-23535-1\_18}, timestamp = {Fri, 09 Apr 2021 17:11:09 +0200}, biburl = {https://dblp.org/rec/conf/waim/LiuCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/Huang11, author = {Jimmy X. Huang}, editor = {Olivier Boissier and Boualem Benatallah and Mike P. Papazoglou and Zbigniew W. Ras and Mohand{-}Said Hacid}, title = {Search beyond Traditional Probabilistic Information Retrieval}, booktitle = {Proceedings of the 2011 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2011, Campus Scientifique de la Doua, Lyon, France, August 22-27, 2011}, pages = {5}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WI-IAT.2011.289}, doi = {10.1109/WI-IAT.2011.289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/Huang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/HuangF11, author = {Jim C. Huang and Brendan J. Frey}, editor = {Henry Horng{-}Shing Lu and Bernhard Sch{\"{o}}lkopf and Hongyu Zhao}, title = {STORMSeq: {A} Method for Ranking Regulatory Sequences by Integrating Experimental Datasets with Diverse Computational Predictions}, booktitle = {Handbook of Statistical Bioinformatics}, series = {Springer Handbooks of Computational Statistics}, pages = {109--134}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-16345-6\_6}, doi = {10.1007/978-3-642-16345-6\_6}, timestamp = {Wed, 14 Nov 2018 10:12:21 +0100}, biburl = {https://dblp.org/rec/books/daglib/p/HuangF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/11/LupuHZ11, author = {Mihai Lupu and Jimmy X. Huang and Jianhan Zhu}, editor = {Mihai Lupu and Katja Mayer and John Tait and Anthony J. Trippe}, title = {Evaluation of Chemical Information Retrieval Tools}, booktitle = {Current Challenges in Patent Information Retrieval}, series = {The Information Retrieval Series}, volume = {29}, pages = {109--124}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19231-9\_5}, doi = {10.1007/978-3-642-19231-9\_5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/11/LupuHZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/HeLQHHT10, author = {Xiaowei He and Jimin Liang and Xiaochao Qu and Heyu Huang and Yanbin Hou and Jie Tian}, title = {Truncated Total Least Squares Method with a Practical Truncation Parameter Choice Scheme for Bioluminescence Tomography Inverse Problem}, journal = {Int. J. Biomed. Imaging}, volume = {2010}, pages = {291874:1--291874:11}, year = {2010}, url = {https://doi.org/10.1155/2010/291874}, doi = {10.1155/2010/291874}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/HeLQHHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/HuangQLHCYT10, author = {Heyu Huang and Xiaochao Qu and Jimin Liang and Xiaowei He and Xueli Chen and Da'an Yang and Jie Tian}, title = {A multi-phase level set framework for source reconstruction in bioluminescence tomography}, journal = {J. Comput. Phys.}, volume = {229}, number = {13}, pages = {5246--5256}, year = {2010}, url = {https://doi.org/10.1016/j.jcp.2010.03.041}, doi = {10.1016/J.JCP.2010.03.041}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/HuangQLHCYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/HuH10, author = {Qinmin Hu and Jimmy Xiangji Huang}, title = {Passage extraction and result combination for genomics information retrieval}, journal = {J. Intell. Inf. Syst.}, volume = {34}, number = {3}, pages = {249--274}, year = {2010}, url = {https://doi.org/10.1007/s10844-009-0097-4}, doi = {10.1007/S10844-009-0097-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/HuH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/HsuCT10, author = {Guo{-}Huang Hsu and Chieh{-}Feng Chiang and Jimmy J. M. Tan}, title = {Comparison-Based Conditional Diagnosability on the Class of Hypercube-like Networks}, journal = {J. Interconnect. Networks}, volume = {11}, number = {3-4}, pages = {143--156}, year = {2010}, url = {https://doi.org/10.1142/S0219265910002775}, doi = {10.1142/S0219265910002775}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/HsuCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/ZhuHSR10, author = {Jianhan Zhu and Xiangji Huang and Dawei Song and Stefan M. R{\"{u}}ger}, title = {Integrating multiple document features in language models for expert finding}, journal = {Knowl. Inf. Syst.}, volume = {23}, number = {1}, pages = {29--54}, year = {2010}, url = {https://doi.org/10.1007/s10115-009-0202-6}, doi = {10.1007/S10115-009-0202-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/ZhuHSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/NgOHLFGN10, author = {Hsiao Piau Ng and Sim Heng Ong and Su Huang and Jimin Liu and Kelvin Weng Chiong Foong and Poh{-}Sun Goh and Wieslaw Lucjan Nowinski}, title = {Salient features useful for the accurate segmentation of masticatory muscles from minimum slices subsets of magnetic resonance images}, journal = {Mach. Vis. Appl.}, volume = {21}, number = {4}, pages = {449--467}, year = {2010}, url = {https://doi.org/10.1007/s00138-008-0172-9}, doi = {10.1007/S00138-008-0172-9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/NgOHLFGN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuangWTZLRLOTPTDCN10, author = {Yiyun Huang and Wendol A. Williams and Giampaolo Tomasi and Ming{-}Qiang Zheng and Shu{-}Fei Lin and Jim Ropchan and David Labaree and Joseph Olsen and Mike Tabriz and Beata Planeta{-}Wilson and Johannes T. Tauscher and Yu{-}Shin Ding and Richard E. Carson and Alexander Neumeister}, title = {Imaging the kappa opioid receptor in humans with {[11C]LY2795050:} Tracer evaluation and test-retest reproducibility study}, journal = {NeuroImage}, volume = {52}, number = {Supplement-1}, pages = {S199}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.04.161}, doi = {10.1016/J.NEUROIMAGE.2010.04.161}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuangWTZLRLOTPTDCN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/NormandinWRLLMCDNH10, author = {Marc D. Normandin and David Weinzimmer and Jim Ropchan and David Labaree and Kuo{-}Shyan Lin and Neale S. Mason and Richard E. Carson and Deepak Cyril D'Souza and Alexander Neumeister and Yiyun Huang}, title = {Modeling analysis of the {CB1} {PET} tracer {[11C]OMAR} in rhesus monkeys and humans}, journal = {NeuroImage}, volume = {52}, number = {Supplement-1}, pages = {S13}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.04.198}, doi = {10.1016/J.NEUROIMAGE.2010.04.198}, timestamp = {Sat, 14 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/NormandinWRLLMCDNH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/NormandinZRLNHQHWCHN10, author = {Marc D. Normandin and Ming{-}Qiang Zheng and Jim Ropchan and David Labaree and Soheila Najafzadeh and Rachel Hull and Wei Qian and Shannan Henry and Wendol A. Williams and Richard E. Carson and Yiyun Huang and Alexander Neumeister}, title = {Imaging the cannabinoid {CB1} receptor in humans with {[11C]OMAR:} Test-retest reproducibility and gender differences}, journal = {NeuroImage}, volume = {52}, number = {Supplement-1}, pages = {S82--S83}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.04.066}, doi = {10.1016/J.NEUROIMAGE.2010.04.066}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/NormandinZRLNHQHWCHN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TomasiZRWLNWDJHC10, author = {Giampaolo Tomasi and Ming{-}Qiang Zheng and Jim Ropchan and David Weinzimmer and Shu{-}Fei Lin and Nabeel Nabulsi and Wendol A. Williams and Yu{-}Shin Ding and Leslie K. Jacobsen and Henry Huang and Richard E. Carson}, title = {Kinetic analysis of the kappa agonist tracer {[11C]GR103545} in healthy controls}, journal = {NeuroImage}, volume = {52}, number = {Supplement-1}, pages = {S172}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.04.140}, doi = {10.1016/J.NEUROIMAGE.2010.04.140}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/TomasiZRWLNWDJHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LaiH10, author = {Jim Z. C. Lai and Tsung{-}Jen Huang}, title = {Fast global k-means clustering using cluster membership and inequality}, journal = {Pattern Recognit.}, volume = {43}, number = {5}, pages = {1954--1963}, year = {2010}, url = {https://doi.org/10.1016/j.patcog.2009.11.021}, doi = {10.1016/J.PATCOG.2009.11.021}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LaiH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChenHHT10, author = {Y{-}Chuang Chen and Yong{-}Zen Huang and Lih{-}Hsing Hsu and Jimmy J. M. Tan}, title = {A family of Hamiltonian and Hamiltonian connected graphs with fault tolerance}, journal = {J. Supercomput.}, volume = {54}, number = {2}, pages = {229--238}, year = {2010}, url = {https://doi.org/10.1007/s11227-009-0316-3}, doi = {10.1007/S11227-009-0316-3}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChenHHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/LeeHWF10, author = {Shan{-}Tsung Lee and Kuan{-}Lin Huang and Jim{-}Wei Wu and Li{-}Chen Fu}, title = {Design and Control of Long Travel Range Electromagnetically Actuated Positioning Stage with Application to Precise machining}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2010, Yokohama, Japan, September 8-10, 2010}, pages = {2219--2224}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CCA.2010.5611286}, doi = {10.1109/CCA.2010.5611286}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/LeeHWF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/airs/YeHHL10, author = {Zheng Ye and Ben He and Xiangji Huang and Hongfei Lin}, editor = {Pu{-}Jen Cheng and Min{-}Yen Kan and Wai Lam and Preslav Nakov}, title = {Revisiting Rocchio's Relevance Feedback Algorithm for Probabilistic Models}, booktitle = {Information Retrieval Technology - 6th Asia Information Retrieval Societies Conference, {AIRS} 2010, Taipei, Taiwan, December 1-3, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6458}, pages = {151--161}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17187-1\_14}, doi = {10.1007/978-3-642-17187-1\_14}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/airs/YeHHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amt/HuYH10, author = {Qinmin Vivian Hu and Zheng Ye and Jimmy Xiangji Huang}, editor = {Aijun An and Pawan Lingras and Sheila Petty and Runhe Huang}, title = {Enhancing Content-Based Image Retrieval Using Machine Learning Techniques}, booktitle = {Active Media Technology, 6th International Conference, {AMT} 2010, Toronto, Canada, August 28-30, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6335}, pages = {383--394}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15470-6\_40}, doi = {10.1007/978-3-642-15470-6\_40}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/amt/HuYH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amt/YeHJL10, author = {Zheng Ye and Jimmy Xiangji Huang and Song Jin and Hongfei Lin}, editor = {Aijun An and Pawan Lingras and Sheila Petty and Runhe Huang}, title = {Exploring Social Annotation Tags to Enhance Information Retrieval Performance}, booktitle = {Active Media Technology, 6th International Conference, {AMT} 2010, Toronto, Canada, August 28-30, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6335}, pages = {255--266}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15470-6\_27}, doi = {10.1007/978-3-642-15470-6\_27}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amt/YeHJL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/HuH10, author = {Qinmin Vivian Hu and Jimmy Xiangji Huang}, editor = {Aidong Zhang and Mark Borodovsky and Gultekin {\"{O}}zsoyoglu and Armin R. Mikler}, title = {Genomics information retrieval using a Bayesian model for learning and re-ranking}, booktitle = {Proceedings of the First {ACM} International Conference on Bioinformatics and Computational Biology, {BCB} 2010, Niagara Falls, NY, USA, August 2-4, 2010}, pages = {426--429}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854776.1854846}, doi = {10.1145/1854776.1854846}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcb/HuH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HuHM10, author = {Qinmin Vivian Hu and Jimmy Xiangji Huang and Jun Miao}, editor = {Taesung Park and Stephen Kwok{-}Wing Tsui and Luonan Chen and Michael K. Ng and Limsoon Wong and Xiaohua Hu}, title = {Exploring a multi-source fusion approach for genomics information retrieval}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2010, Hong Kong, China, December 18-21, 2010, Proceedings}, pages = {669--672}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BIBM.2010.5706649}, doi = {10.1109/BIBM.2010.5706649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HuHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YinLHH10, author = {Xiaoshi Yin and Zhoujun Li and Jimmy Xiangji Huang and Xiaohua Hu}, editor = {Taesung Park and Stephen Kwok{-}Wing Tsui and Luonan Chen and Michael K. Ng and Limsoon Wong and Xiaohua Hu}, title = {A relevance-novelty combined model for genomics search result diversification}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2010, Hong Kong, China, December 18-21, 2010, Proceedings}, pages = {692--695}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/BIBM.2010.5706654}, doi = {10.1109/BIBM.2010.5706654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YinLHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/brain/HuHMK10, author = {Qinmin Vivian Hu and Jimmy Xiangji Huang and William Melek and C. Joseph Kurian}, editor = {Yiyu Yao and Ron Sun and Tomaso A. Poggio and Jiming Liu and Ning Zhong and Jimmy X. Huang}, title = {A Time Series Based Method for Analyzing and Predicting Personalized Medical Data}, booktitle = {Brain Informatics, International Conference, {BI} 2010, Toronto, ON, Canada, August 28-30, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6334}, pages = {288--298}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15314-3\_27}, doi = {10.1007/978-3-642-15314-3\_27}, timestamp = {Sun, 02 Oct 2022 15:55:52 +0200}, biburl = {https://dblp.org/rec/conf/brain/HuHMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicling/AnHC10, author = {Xiangdong An and Xiangji Huang and Nick Cercone}, editor = {Alexander F. Gelbukh}, title = {Optimal {IR:} How Far Away?}, booktitle = {Computational Linguistics and Intelligent Text Processing, 11th International Conference, CICLing 2010, Iasi, Romania, March 21-27, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6008}, pages = {602--613}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12116-6\_51}, doi = {10.1007/978-3-642-12116-6\_51}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicling/AnHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/HuangL10, author = {Di{-}Wei Huang and Jimmy Lin}, title = {Scaling Populations of a Genetic Algorithm for Job Shop Scheduling Problems Using MapReduce}, booktitle = {Cloud Computing, Second International Conference, CloudCom 2010, November 30 - December 3, 2010, Indianapolis, Indiana, USA, Proceedings}, pages = {780--785}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CloudCom.2010.18}, doi = {10.1109/CLOUDCOM.2010.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/HuangL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangFLYSSC10, author = {Tsung{-}Ching Huang and Kenjiro Fukuda and Chun{-}Ming Lo and Yung{-}Hui Yeh and Tsuyoshi Sekitani and Takao Someya and Kwang{-}Ting Cheng}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Pseudo-CMOS: {A} novel design style for flexible electronics}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {154--159}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457220}, doi = {10.1109/DATE.2010.5457220}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HuangFLYSSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoHCHC10, author = {Chun{-}Ming Lo and Tsung{-}Ching Huang and Cheng{-}Yi Chiang and Johnson Hou and Kwang{-}Ting Cheng}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {A portable multi-pitch e-drum based on printed flexible pressure sensors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1082--1087}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456970}, doi = {10.1109/DATE.2010.5456970}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LoHCHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/YinHL10, author = {Xiaoshi Yin and Xiangji Huang and Zhoujun Li}, editor = {Cathal Gurrin and Yulan He and Gabriella Kazai and Udo Kruschwitz and Suzanne Little and Thomas Roelleke and Stefan M. R{\"{u}}ger and Keith van Rijsbergen}, title = {Promoting Ranking Diversity for Biomedical Information Retrieval Using Wikipedia}, booktitle = {Advances in Information Retrieval, 32nd European Conference on {IR} Research, {ECIR} 2010, Milton Keynes, UK, March 28-31, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5993}, pages = {495--507}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12275-0\_43}, doi = {10.1007/978-3-642-12275-0\_43}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecir/YinHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PuZHMNHTSMTS10, author = {Yu Pu and Xin Zhang and Jim Huang and Atsushi Muramatsu and Masahiro Nomura and Koji Hirairi and Hidehiro Takata and Taro Sakurabayashi and Shinji Miyano and Makoto Takamiya and Takayasu Sakurai}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Misleading energy and performance claims in sub/near threshold digital systems}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {625--631}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654219}, doi = {10.1109/ICCAD.2010.5654219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PuZHMNHTSMTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TellerWACDFFGHHJKLRS10, author = {Seth J. Teller and Matthew R. Walter and Matthew E. Antone and Andrew Correa and Randall Davis and Luke Fletcher and Emilio Frazzoli and Jim Glass and Jonathan P. How and Albert S. Huang and Jeong hwan Jeon and Sertac Karaman and Brandon Luders and Nicholas Roy and Tara N. Sainath}, title = {A voice-commandable robotic forklift working alongside humans in minimally-prepared outdoor environments}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2010, Anchorage, Alaska, USA, 3-7 May 2010}, pages = {526--533}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ROBOT.2010.5509238}, doi = {10.1109/ROBOT.2010.5509238}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/TellerWACDFFGHHJKLRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/HongHCYL10, author = {Zeng{-}Wei Hong and Rui{-}Tang Huang and Kai{-}Yi Chin and Chia{-}Chi Yen and Jim{-}Min Lin}, editor = {Kwan{-}Ho You and Sang{-}Won Lee and Won Kim and Dongho Won}, title = {An interactive agent system for supporting knowledge-based recommendation: a case study on an e-novel recommender system}, booktitle = {Proceedings of the 4th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2010, Suwon, Republic of Korea, January 14-15, 2010}, pages = {53}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/2108616.2108681}, doi = {10.1145/2108616.2108681}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/HongHCYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lsms/HuangL10, author = {Lailei Huang and Jiming Liu}, editor = {Kang Li and Minrui Fei and Li Jia and George W. Irwin}, title = {Characterizing Multiplex Social Dynamics with Autonomy Oriented Computing}, booktitle = {Life System Modeling and Intelligent Computing, International Conference on Life System Modeling and Simulation, {LSMS} 2010, and International Conference on Intelligent Computing for Sustainable Energy and Environment, {ICSEE} 2010, Wuxi, China, September 17-20, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6329}, pages = {277--287}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15597-0\_31}, doi = {10.1007/978-3-642-15597-0\_31}, timestamp = {Tue, 14 May 2019 10:00:35 +0200}, biburl = {https://dblp.org/rec/conf/lsms/HuangL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/HuangCLLLW10, author = {Wei Huang and Kap Luk Chan and Huiqi Li and Joo{-}Hwee Lim and Jiang Liu and Tien Yin Wong}, editor = {Fei Wang and Pingkun Yan and Kenji Suzuki and Dinggang Shen}, title = {Content-Based Medical Image Retrieval with Metric Learning via Rank Correlation}, booktitle = {Machine Learning in Medical Imaging, First International Workshop, {MLMI} 2010, Held in Conjunction with {MICCAI} 2010, Beijing, China, September 20, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6357}, pages = {18--25}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15948-0\_3}, doi = {10.1007/978-3-642-15948-0\_3}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/HuangCLLLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuangJM10, author = {Jim C. Huang and Nebojsa Jojic and Christopher Meek}, editor = {John D. Lafferty and Christopher K. I. Williams and John Shawe{-}Taylor and Richard S. Zemel and Aron Culotta}, title = {Exact inference and learning for cumulative distribution functions on loopy graphs}, booktitle = {Advances in Neural Information Processing Systems 23: 24th Annual Conference on Neural Information Processing Systems 2010. Proceedings of a meeting held 6-9 December 2010, Vancouver, British Columbia, Canada}, pages = {874--882}, publisher = {Curran Associates, Inc.}, year = {2010}, url = {https://proceedings.neurips.cc/paper/2010/hash/705f2172834666788607efbfca35afb3-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuangJM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/HuangL10, author = {Jiming Huang and Yangmin Li}, title = {Design and analysis of a completely decoupled compliant parallel {XY} micro-motion stage}, booktitle = {2010 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2010, Tianjin, China, December 14-18, 2010}, pages = {1008--1013}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ROBIO.2010.5723464}, doi = {10.1109/ROBIO.2010.5723464}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/HuangL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuangAH10, author = {Xiangji Huang and Aijun An and Qinmin Hu}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {Medical search and classification tools for recommendation}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {707}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835574}, doi = {10.1145/1835449.1835574}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HuangAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiuYHA10, author = {Yang Liu and Xiaohui Yu and Xiangji Huang and Aijun An}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {{S-PLASA+:} adaptive sentiment analysis with application to sales performance prediction}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {873--874}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835659}, doi = {10.1145/1835449.1835659}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LiuYHA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/YinHZL10, author = {Xiaoshi Yin and Xiangji Huang and Xiaofeng Zhou and Zhoujun Li}, editor = {Fabio Crestani and St{\'{e}}phane Marchand{-}Maillet and Hsin{-}Hsi Chen and Efthimis N. Efthimiadis and Jacques Savoy}, title = {A survival modeling approach to biomedical search result diversification using wikipedia}, booktitle = {Proceeding of the 33rd International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2010, Geneva, Switzerland, July 19-23, 2010}, pages = {901--902}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835449.1835673}, doi = {10.1145/1835449.1835673}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/YinHZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soli/HuangWCB10, author = {Y. C. Huang and Yen{-}Chun Jim Wu and N. J. Chang and N. C. Boulanger}, title = {Reverse logistics activities, the task environment, and performance: Taiwanese 3C retailers}, booktitle = {Proceedings of 2010 {IEEE} International Conference on Service Operations and Logistics, and Informatics, {SOLI} 2010, Qingdao, China, 15-17 July 2010}, pages = {258--263}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOLI.2010.5551569}, doi = {10.1109/SOLI.2010.5551569}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soli/HuangWCB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/HuangZKL10, author = {Dijiang Huang and Xinwen Zhang and Myong H. Kang and Jim Luo}, title = {MobiCloud: Building Secure Cloud Framework for Mobile Computing and Communication}, booktitle = {The Fifth {IEEE} International Symposium on Service-Oriented System Engineering, {SOSE} 2010, June 4-5, 2010, Nanjing, China}, pages = {27--34}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SOSE.2010.20}, doi = {10.1109/SOSE.2010.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sose/HuangZKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/LupuTHZ10, author = {Mihai Lupu and John Tait and Jimmy X. Huang and Jianhan Zhu}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {{TREC-CHEM} 2010}, booktitle = {Proceedings of The Nineteenth Text REtrieval Conference, {TREC} 2010, Gaithersburg, Maryland, USA, November 16-19, 2010}, series = {{NIST} Special Publication}, volume = {500-294}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2010}, url = {https://trec.nist.gov/pubs/trec19/papers/CHEM.OVERVIEW.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/LupuTHZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/MiaoHH10, author = {Jun Miao and Xiangji Huang and Qinmin Hu}, editor = {Paul Over and George Awad and Jonathan G. Fiscus and Brian Antonishek and Martial Michel and Wessel Kraaij and Alan F. Smeaton and Georges Qu{\'{e}}not}, title = {York{\_}University at {TRECVID} 2010}, booktitle = {{TRECVID} 2010 workshop participants notebook papers, Gaithersburg, MD, USA, November 2010}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2010}, url = {http://www-nlpir.nist.gov/projects/tvpubs/tv10.papers/york\_university.pdf}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/MiaoHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChengH10, author = {Kwang{-}Ting Cheng and Tsung{-}Ching Huang}, title = {Design, analysis, and test of low-power and reliable flexible electronics}, booktitle = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010, Santa Cruz, California, {USA}}, pages = {82}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/VTS.2010.5469610}, doi = {10.1109/VTS.2010.5469610}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/ChengH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/YuLHA10, author = {Xiaohui Yu and Yang Liu and Xiangji Huang and Aijun An}, editor = {Michael Rappa and Paul Jones and Juliana Freire and Soumen Chakrabarti}, title = {A quality-aware model for sales prediction using reviews}, booktitle = {Proceedings of the 19th International Conference on World Wide Web, {WWW} 2010, Raleigh, North Carolina, USA, April 26-30, 2010}, pages = {1217--1218}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1772690.1772882}, doi = {10.1145/1772690.1772882}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/YuLHA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/HuangJ10a, author = {Jim C. Huang and Nebojsa Jojic}, editor = {Yee Whye Teh and D. Mike Titterington}, title = {Maximum-likelihood learning of cumulative distribution functions on graphs}, booktitle = {Proceedings of the Thirteenth International Conference on Artificial Intelligence and Statistics, {AISTATS} 2010, Chia Laguna Resort, Sardinia, Italy, May 13-15, 2010}, series = {{JMLR} Proceedings}, volume = {9}, pages = {342--349}, publisher = {JMLR.org}, year = {2010}, url = {http://proceedings.mlr.press/v9/huang10b.html}, timestamp = {Wed, 29 May 2019 08:41:44 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/HuangJ10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/brain/2010, editor = {Yiyu Yao and Ron Sun and Tomaso A. Poggio and Jiming Liu and Ning Zhong and Jimmy X. Huang}, title = {Brain Informatics, International Conference, {BI} 2010, Toronto, ON, Canada, August 28-30, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6334}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15314-3}, doi = {10.1007/978-3-642-15314-3}, isbn = {978-3-642-15313-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/brain/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cikm/2010, editor = {Jimmy X. Huang and Nick Koudas and Gareth J. F. Jones and Xindong Wu and Kevyn Collins{-}Thompson and Aijun An}, title = {Proceedings of the 19th {ACM} Conference on Information and Knowledge Management, {CIKM} 2010, Toronto, Ontario, Canada, October 26-30, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-4503-0099-5}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iat/2010, editor = {Jimmy Xiangji Huang and Ali A. Ghorbani and Mohand{-}Said Hacid and Takahira Yamaguchi}, title = {Proceedings of the 2010 {IEEE/WIC/ACM} International Conference on Intelligent Agent Technology, {IAT} 2010, Toronto, Canada, August 31 - September 3, 2010}, publisher = {{IEEE} Computer Society Press}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=5614054}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iat/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/webi/2010, editor = {Jimmy Xiangji Huang and Irwin King and Vijay V. Raghavan and Stefan M. R{\"{u}}ger}, title = {2010 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2010, Toronto, Canada, August 31 - September 3, 2010, Main Conference Proceedings}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/tocresult.jsp?isnumber=5614551}, isbn = {978-0-7695-4191-4}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webi/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LinTHHH09, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {Mutually independent hamiltonian cycles for the pancake graphs and the star graphs}, journal = {Discret. Math.}, volume = {309}, number = {17}, pages = {5474--5483}, year = {2009}, url = {https://doi.org/10.1016/j.disc.2008.12.023}, doi = {10.1016/J.DISC.2008.12.023}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LinTHHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinHLWWL09, author = {Chern{-}Sheng Lin and Kuo{-}Hon Huang and Yun{-}Long Lay and Kuo{-}Chun Wu and Yieng{-}Chiang Wu and Jim{-}Min Lin}, title = {An improved pattern match method with flexible mask for automatic inspection in the {LCD} manufacturing process}, journal = {Expert Syst. Appl.}, volume = {36}, number = {2}, pages = {3234--3239}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.01.035}, doi = {10.1016/J.ESWA.2008.01.035}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinHLWWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/TangHY09, author = {Shanyu Tang and Yongfeng Huang and Yau Jim Yip}, title = {Performance of Database Driven Network Applications from the User Perspective}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {3}, number = {3}, pages = {235--250}, year = {2009}, url = {https://doi.org/10.3837/tiis.2009.03.002}, doi = {10.3837/TIIS.2009.03.002}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/TangHY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/NgOLHFGN09, author = {Hsiao Piau Ng and Sim Heng Ong and Jimin Liu and Su Huang and Kelvin Weng Chiong Foong and Poh{-}Sun Goh and Wieslaw Lucjan Nowinski}, title = {3D Segmentation and Quantification of a Masticatory Muscle from {MR} Data Using Patient-Specific Models and Matching Distributions}, journal = {J. Digit. Imaging}, volume = {22}, number = {5}, pages = {449--462}, year = {2009}, url = {https://doi.org/10.1007/s10278-008-9132-1}, doi = {10.1007/S10278-008-9132-1}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/NgOLHFGN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HsuCSHT09, author = {Guo{-}Huang Hsu and Chieh{-}Feng Chiang and Lun{-}Min Shih and Lih{-}Hsing Hsu and Jimmy J. M. Tan}, title = {Conditional diagnosability of hypercubes under the comparison diagnosis model}, journal = {J. Syst. Archit.}, volume = {55}, number = {2}, pages = {140--146}, year = {2009}, url = {https://doi.org/10.1016/j.sysarc.2008.10.005}, doi = {10.1016/J.SYSARC.2008.10.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/HsuCSHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/LiuHN09, author = {Jimin Liu and Su Huang and Wieslaw L. Nowinski}, title = {Automatic Segmentation of the Human Brain Ventricles from {MR} Images by Knowledge-Based Region Growing and Trimming}, journal = {Neuroinformatics}, volume = {7}, number = {2}, pages = {131--146}, year = {2009}, url = {https://doi.org/10.1007/s12021-009-9046-1}, doi = {10.1007/S12021-009-9046-1}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ni/LiuHN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LaiHL09, author = {Jim Z. C. Lai and Tsung{-}Jen Huang and Yi{-}Ching Liaw}, title = {A fast k-means clustering algorithm using cluster center displacement}, journal = {Pattern Recognit.}, volume = {42}, number = {11}, pages = {2551--2556}, year = {2009}, url = {https://doi.org/10.1016/j.patcog.2009.02.014}, doi = {10.1016/J.PATCOG.2009.02.014}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LaiHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigir/LupuHZT09, author = {Mihai Lupu and Jimmy X. Huang and Jianhan Zhu and John Tait}, title = {{TREC-CHEM:} large scale chemical information retrieval evaluation at {TREC}}, journal = {{SIGIR} Forum}, volume = {43}, number = {2}, pages = {63--70}, year = {2009}, url = {https://doi.org/10.1145/1670564.1670576}, doi = {10.1145/1670564.1670576}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigir/LupuHZT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KuoLLH09, author = {Chin{-}Cheng Kuo and Meng{-}Jung Lee and Chien{-}Nan Jimmy Liu and Ching{-}Ji Huang}, title = {Fast Statistical Analysis of Process Variation Effects Using Accurate {PLL} Behavioral Models}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {6}, pages = {1160--1172}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2008502}, doi = {10.1109/TCSI.2008.2008502}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KuoLLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChangLTHH09, author = {Chung{-}Hao Chang and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and Lih{-}Hsing Hsu}, title = {The super spanning connectivity and super spanning laceability of the enhanced hypercubes}, journal = {J. Supercomput.}, volume = {48}, number = {1}, pages = {66--87}, year = {2009}, url = {https://doi.org/10.1007/s11227-008-0206-0}, doi = {10.1007/S11227-008-0206-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChangLTHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LiuHN09, author = {Jimin Liu and Su Huang and Wieslaw Lucjan Nowinski}, title = {Registration of Brain Atlas to {MR} Images Using Topology Preserving Front Propagation}, journal = {J. Signal Process. Syst.}, volume = {55}, number = {1-3}, pages = {209--216}, year = {2009}, url = {https://doi.org/10.1007/s11265-008-0185-7}, doi = {10.1007/S11265-008-0185-7}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LiuHN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/HuangZ09, author = {Rui Huang and J. Jim Zhu}, title = {Time-varying high-gain trajectory linearization observer design}, booktitle = {American Control Conference, {ACC} 2009. St. Louis, Missouri, USA, June 10-12, 2009}, pages = {4628--4635}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ACC.2009.5160252}, doi = {10.1109/ACC.2009.5160252}, timestamp = {Fri, 03 Dec 2021 13:02:58 +0100}, biburl = {https://dblp.org/rec/conf/amcc/HuangZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/Efthimiadis0SJ09, author = {Efthimis N. Efthimiadis and Jeff Huang and Amanda Spink and Bernard Jim Jansen}, title = {Query formulation in web search}, booktitle = {Thriving on Diversity: Information Opportunities in a Pluralistic World - Proceedings of the 72nd ASIS{\&}T Annual Meeting, {ASIST} 2009, Vancouver, BC, Canada, November 6-11, 2009}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {46}, number = {1}, pages = {1--3}, publisher = {Wiley}, year = {2009}, url = {https://doi.org/10.1002/meet.2009.1450460131}, doi = {10.1002/MEET.2009.1450460131}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/Efthimiadis0SJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/YangHLL09, author = {Bo Yang and Jing Huang and Dayou Liu and Jiming Liu}, editor = {Nasrullah Memon and Reda Alhajj}, title = {A Multi-Agent Based Decentralized Algorithm for Social Network Community Mining}, booktitle = {2009 International Conference on Advances in Social Network Analysis and Mining, {ASONAM} 2009, 20-22 July 2009, Athens, Greece}, pages = {78--82}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ASONAM.2009.23}, doi = {10.1109/ASONAM.2009.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asunam/YangHLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/RohianAZH09, author = {Hashmat Rohian and Aijun An and Jiashu Zhao and Xiangji Huang}, title = {Discovering Temporal Associations among Significant Changes in Gene Expression}, booktitle = {2009 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2009, Washington, DC, USA, November 1-4, 2009, Proceedings}, pages = {419--423}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBM.2009.51}, doi = {10.1109/BIBM.2009.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/RohianAZH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YinHL09, author = {Xiaoshi Yin and Xiangji Huang and Zhoujun Li}, title = {Towards a Better Ranking for Biomedical Information Retrieval Using Context}, booktitle = {2009 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2009, Washington, DC, USA, November 1-4, 2009, Proceedings}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBM.2009.82}, doi = {10.1109/BIBM.2009.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YinHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YinHL09a, author = {Xiaoshi Yin and Xiangji Huang and Zhoujun Li}, title = {BioCLink: {A} Probabilistic Approach for Improving Genomics Search with Citation Links}, booktitle = {2009 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2009, Washington, DC, USA, November 1-4, 2009, Proceedings}, pages = {375--378}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBM.2009.83}, doi = {10.1109/BIBM.2009.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YinHL09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/AnWZH09, author = {Aijun An and Qian Wan and Jiashu Zhao and Xiangji Huang}, editor = {David Wai{-}Lok Cheung and Il{-}Yeol Song and Wesley W. Chu and Xiaohua Hu and Jimmy Lin}, title = {Diverging patterns: discovering significant frequency change dissimilarities in large databases}, booktitle = {Proceedings of the 18th {ACM} Conference on Information and Knowledge Management, {CIKM} 2009, Hong Kong, China, November 2-6, 2009}, pages = {1473--1476}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645953.1646148}, doi = {10.1145/1645953.1646148}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/AnWZH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/YeHHL09, author = {Zheng Ye and Xiangji Huang and Qinmin Hu and Hongfei Lin}, editor = {Carol Peters and Barbara Caputo and Julio Gonzalo and Gareth J. F. Jones and Jayashree Kalpathy{-}Cramer and Henning M{\"{u}}ller and Theodora Tsikrika}, title = {An Integrated Approach for Medical Image Retrieval through Combining Textual and Visual Features}, booktitle = {Multilingual Information Access Evaluation {II.} Multimedia Experiments - 10th Workshop of the Cross-Language Evaluation Forum, {CLEF} 2009, Corfu, Greece, September 30 - October 2, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6242}, pages = {195--202}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-15751-6\_23}, doi = {10.1007/978-3-642-15751-6\_23}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clef/YeHHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/YeHL09, author = {Zheng Ye and Xiangji Huang and Hongfei Lin}, editor = {Carol Peters and Nicola Ferro}, title = {Towards {A} Better Performance for Medical Image Retrieval Using An Integrated Approach}, booktitle = {Working Notes for {CLEF} 2009 Workshop co-located with the 13th European Conference on Digital Libraries {(ECDL} 2009) , Corf{\`{u}}, Greece, September 30 - October 2, 2009}, series = {{CEUR} Workshop Proceedings}, volume = {1175}, publisher = {CEUR-WS.org}, year = {2009}, url = {https://ceur-ws.org/Vol-1175/CLEF2009wn-ImageCLEF-YeEt2009.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:36 +0100}, biburl = {https://dblp.org/rec/conf/clef/YeHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/HuangLCX09, author = {Guanyao Huang and Ashwin Lall and Chen{-}Nee Chuah and Jun (Jim) Xu}, title = {Uncovering global icebergs in distributed monitors}, booktitle = {17th International Workshop on Quality of Service, IWQoS 2009, Charleston, South Carolina, USA, 13-15 July 2009}, pages = {1--9}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IWQoS.2009.5201394}, doi = {10.1109/IWQOS.2009.5201394}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/HuangLCX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/HuangLCLLW09, author = {Wei Huang and Huiqi Li and Kap Luk Chan and Joo{-}Hwee Lim and Jiang Liu and Tien Yin Wong}, editor = {Guang{-}Zhong Yang and David J. Hawkes and Daniel Rueckert and J. Alison Noble and Christopher J. Taylor}, title = {A Computer-Aided Diagnosis System of Nuclear Cataract via Ranking}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2009, 12th International Conference, London, UK, September 20-24, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5762}, pages = {803--810}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04271-3\_97}, doi = {10.1007/978-3-642-04271-3\_97}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/HuangLCLLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/YinHHL09, author = {Xiaoshi Yin and Xiangji Huang and Qinmin Hu and Zhoujun Li}, editor = {Thanaruk Theeramunkong and Boonserm Kijsirikul and Nick Cercone and Tu Bao Ho}, title = {Boosting Biomedical Information Retrieval Performance through Citation Graph: An Empirical Study}, booktitle = {Advances in Knowledge Discovery and Data Mining, 13th Pacific-Asia Conference, {PAKDD} 2009, Bangkok, Thailand, April 27-30, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5476}, pages = {949--956}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01307-2\_100}, doi = {10.1007/978-3-642-01307-2\_100}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/YinHHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuangH09, author = {Xiangji Huang and Qinmin Hu}, editor = {James Allan and Javed A. Aslam and Mark Sanderson and ChengXiang Zhai and Justin Zobel}, title = {A bayesian learning approach to promoting diversity in ranking for biomedical information retrieval}, booktitle = {Proceedings of the 32nd Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2009, Boston, MA, USA, July 19-23, 2009}, pages = {307--314}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1571941.1571995}, doi = {10.1145/1571941.1571995}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HuangH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/YeHL09, author = {Zheng Ye and Xiangji Huang and Hongfei Lin}, editor = {James Allan and Javed A. Aslam and Mark Sanderson and ChengXiang Zhai and Justin Zobel}, title = {A graph-based approach to mining multilingual word associations from wikipedia}, booktitle = {Proceedings of the 32nd Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2009, Boston, MA, USA, July 19-23, 2009}, pages = {690--691}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1571941.1572080}, doi = {10.1145/1571941.1572080}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/YeHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/LupuPHZT09, author = {Mihai Lupu and Florina Piroi and Xiangji Huang and Jianhan Zhu and John Tait}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {Overview of the {TREC} 2009 Chemical {IR} Track}, booktitle = {Proceedings of The Eighteenth Text REtrieval Conference, {TREC} 2009, Gaithersburg, Maryland, USA, November 17-20, 2009}, series = {{NIST} Special Publication}, volume = {500-278}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2009}, url = {http://trec.nist.gov/pubs/trec18/papers/CHEM09.OVERVIEW.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/LupuPHZT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/YeHHYL09, author = {Zheng Ye and Xiangji Huang and Ben He and Hongfei Lin}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2009: Relevance Feedback Track}, booktitle = {Proceedings of The Eighteenth Text REtrieval Conference, {TREC} 2009, Gaithersburg, Maryland, USA, November 17-20, 2009}, series = {{NIST} Special Publication}, volume = {500-278}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2009}, url = {http://trec.nist.gov/pubs/trec18/papers/yorku.RF.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/YeHHYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/ZhaoHYYZ09, author = {Jiashu Zhao and Xiangji Huang and Zheng Ye and Jianhan Zhu}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2009: Chemical Track}, booktitle = {Proceedings of The Eighteenth Text REtrieval Conference, {TREC} 2009, Gaithersburg, Maryland, USA, November 17-20, 2009}, series = {{NIST} Special Publication}, volume = {500-278}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2009}, url = {http://trec.nist.gov/pubs/trec18/papers/yorku.CHEM.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/ZhaoHYYZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/hci/HuangTWSC09, author = {Fei Huang and Jon Titus and Allan Wolinski and Kevin A. Schneider and Jim A. Carter}, editor = {Ahmed Seffah and Jean Vanderdonckt and Michel C. Desmarais}, title = {XML-Based Tools for Creating, Mapping, and Transforming Usability Engineering Requirements}, booktitle = {Human-Centered Software Engineering - Software Engineering Models, Patterns and Architectures for {HCI}}, series = {Human-Computer Interaction Series}, pages = {83--104}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-1-84800-907-3\_5}, doi = {10.1007/978-1-84800-907-3\_5}, timestamp = {Mon, 06 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/hci/HuangTWSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/dataware/Huang09a, author = {Xiangji Huang}, editor = {John Wang}, title = {Web Usage Mining with Web Logs}, booktitle = {Encyclopedia of Data Warehousing and Mining, Second Edition {(4} Volumes)}, pages = {2096--2102}, publisher = {{IGI} Global}, year = {2009}, url = {http://www.igi-global.com/Bookstore/Chapter.aspx?TitleId=11109}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/dataware/Huang09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/DaiTGZHYVBP08, author = {Wei Dai and Jens M. Teodoridis and Janet Graham and Constanze Zeller and Tim Hui{-}Ming Huang and Pearlly Yan and J. Keith Vass and Robert Brown and Jim Paul}, title = {Methylation Linear Discriminant Analysis {(MLDA)} for identifying differentially methylated CpG islands}, journal = {{BMC} Bioinform.}, volume = {9}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-337}, doi = {10.1186/1471-2105-9-337}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/DaiTGZHYVBP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/LiuHN08, author = {Jimin Liu and Su Huang and Wieslaw L. Nowinski}, title = {A hybrid approach for segmentation of anatomic structures in medical images}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {3}, number = {3-4}, pages = {213--219}, year = {2008}, url = {https://doi.org/10.1007/s11548-008-0229-2}, doi = {10.1007/S11548-008-0229-2}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/LiuHN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/NgLHOFGN08, author = {Hsiao Piau Ng and Jimin Liu and Su Huang and Sim Heng Ong and Kelvin Weng Chiong Foong and Poh{-}Sun Goh and Wieslaw Lucjan Nowinski}, title = {An improved shape determinative slice determination method for patient-specific modeling of facial anatomical structure}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {3}, number = {3-4}, pages = {221--230}, year = {2008}, url = {https://doi.org/10.1007/s11548-008-0222-9}, doi = {10.1007/S11548-008-0222-9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/NgLHOFGN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LinHTH08, author = {Cheng{-}Kuan Lin and Hua{-}Min Huang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {On spanning connected graphs}, journal = {Discret. Math.}, volume = {308}, number = {7}, pages = {1330--1333}, year = {2008}, url = {https://doi.org/10.1016/j.disc.2007.03.072}, doi = {10.1016/J.DISC.2007.03.072}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LinHTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/HuangCTK08, author = {Tsung{-}Ching Huang and Kwang{-}Ting (Tim) Cheng and Huai{-}Yuan Tseng and Chen{-}Pang Kung}, title = {Reliability analysis for flexible electronics: Case study of integrated a-Si: {H} {TFT} scan driver}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {4}, number = {3}, pages = {12:1--12:23}, year = {2008}, url = {https://doi.org/10.1145/1389089.1389092}, doi = {10.1145/1389089.1389092}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/HuangCTK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XuHWAHPSTDKT08, author = {Jianping Xu and Peter Hazucha and Zuoguo Wu and Paolo A. Aseron and Mingwei Huang and Fabrice Paillet and Gerhard Schrom and James W. Tschanz and Vivek De and Tanay Karnik and Greg Taylor}, title = {A Band-Limited Active Damping Circuit With 13 dB Power Supply Resonance Reduction}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {61--68}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.913155}, doi = {10.1109/JSSC.2007.913155}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XuHWAHPSTDKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LiuGHN08, author = {Jimin Liu and Wenpeng Gao and Su Huang and Wieslaw Lucjan Nowinski}, title = {A Model-Based, Semi-Global Segmentation Approach for Automatic 3-D Point Landmark Localization in Neuroimages}, journal = {{IEEE} Trans. Medical Imaging}, volume = {27}, number = {8}, pages = {1034--1044}, year = {2008}, url = {https://doi.org/10.1109/TMI.2008.915684}, doi = {10.1109/TMI.2008.915684}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LiuGHN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/DaumH08, author = {Fred Daum and Jim Huang}, title = {{MIMO} radar: Snake oil or good idea?}, booktitle = {42nd Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2008, Pacific Grove, CA, USA, October 26-29, 2008}, pages = {183--187}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ACSSC.2008.5074388}, doi = {10.1109/ACSSC.2008.5074388}, timestamp = {Thu, 05 Jan 2023 10:07:58 +0100}, biburl = {https://dblp.org/rec/conf/acssc/DaumH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZhuSRH08, author = {Jianhan Zhu and Dawei Song and Stefan M. R{\"{u}}ger and Xiangji Huang}, editor = {James G. Shanahan and Sihem Amer{-}Yahia and Ioana Manolescu and Yi Zhang and David A. Evans and Aleksander Kolcz and Key{-}Sun Choi and Abdur Chowdhury}, title = {Modeling document features for expert finding}, booktitle = {Proceedings of the 17th {ACM} Conference on Information and Knowledge Management, {CIKM} 2008, Napa Valley, California, USA, October 26-30, 2008}, pages = {1421--1422}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1458082.1458312}, doi = {10.1145/1458082.1458312}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ZhuSRH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/er/AndreopoulosAHL08, author = {Bill Andreopoulos and Aijun An and Xiangji Huang and Dirk Labudde}, editor = {Il{-}Yeol Song and Mario Piattini and Yi{-}Ping Phoebe Chen and Sven Hartmann and Fabio Grandi and Juan Trujillo and Andreas L. Opdahl and Fernando Ferri and Patrizia Grifoni and Maria Chiara Caschera and Colette Rolland and Carson Woo and Camille Salinesi and Esteban Zim{\'{a}}nyi and Christophe Claramunt and Flavius Frasincar and Geert{-}Jan Houben and Philippe Thiran}, title = {Integration of Genomic, Proteomic and Biomedical Information on the Semantic Web}, booktitle = {Advances in Conceptual Modeling - Challenges and Opportunities, {ER} 2008 Workshops CMLSA, ECDM, FP-UML, M2AS, RIGiM, SeCoGIS, WISM, Barcelona Spain, October 20-23, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5232}, pages = {33--42}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87991-6\_5}, doi = {10.1007/978-3-540-87991-6\_5}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/er/AndreopoulosAHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/Huang08, author = {Xiangji Huang}, title = {Machine Learning Approaches to Information Retrieval and its Applications to the Web, Medical Informatics and Health Care}, booktitle = {The 2008 {IEEE} International Conference on Granular Computing, GrC 2008, Hangzhou, China, 26-28 August 2008}, pages = {39--40}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GRC.2008.4664796}, doi = {10.1109/GRC.2008.4664796}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/Huang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/LiuHAY08, author = {Yang Liu and Xiangji Huang and Aijun An and Xiaohui Yu}, title = {Modeling and Predicting the Helpfulness of Online Reviews}, booktitle = {Proceedings of the 8th {IEEE} International Conference on Data Mining {(ICDM} 2008), December 15-19, 2008, Pisa, Italy}, pages = {443--452}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICDM.2008.94}, doi = {10.1109/ICDM.2008.94}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/LiuHAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ShenHL08, author = {Shih{-}Hao Shen and Yueh{-}Min Huang and Jim{-}Min Lin}, editor = {Won Kim and Hyung{-}Jin Choi}, title = {An efficient hierarchical multicasting scheme for virtual structures in ad hoc networks}, booktitle = {Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2008, Suwon, Korea, January 31 - February 01, 2008}, pages = {6--11}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1352793.1352796}, doi = {10.1145/1352793.1352796}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/ShenHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/HuH08, author = {Qinmin Hu and Xiangji Huang}, editor = {Aijun An and Stan Matwin and Zbigniew W. Ras and Dominik Slezak}, title = {A Dynamic Window Based Passage Extraction Algorithm for Genomics Information Retrieval}, booktitle = {Foundations of Intelligent Systems, 17th International Symposium, {ISMIS} 2008, Toronto, Canada, May 20-23, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4994}, pages = {434--444}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-68123-6\_47}, doi = {10.1007/978-3-540-68123-6\_47}, timestamp = {Mon, 28 Aug 2023 21:17:48 +0200}, biburl = {https://dblp.org/rec/conf/ismis/HuH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/LinTHHH08, author = {Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and D. Frank Hsu and Lih{-}Hsing Hsu}, title = {Mutually Independent Hamiltonianicity of Pancake Graphs and Star Graphs}, booktitle = {9th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2008, 7-9 May 2008, Sydney, NSW, Australia}, pages = {151--158}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/I-SPAN.2008.41}, doi = {10.1109/I-SPAN.2008.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/LinTHHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuangF08, author = {Jim C. Huang and Brendan J. Frey}, editor = {Daphne Koller and Dale Schuurmans and Yoshua Bengio and L{\'{e}}on Bottou}, title = {Structured ranking learning using cumulative distribution networks}, booktitle = {Advances in Neural Information Processing Systems 21, Proceedings of the Twenty-Second Annual Conference on Neural Information Processing Systems, Vancouver, British Columbia, Canada, December 8-11, 2008}, pages = {697--704}, publisher = {Curran Associates, Inc.}, year = {2008}, url = {https://proceedings.neurips.cc/paper/2008/hash/03c6b06952c750899bb03d998e631860-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuangF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/HuangCRS08, author = {Jimmy Huang and Andrea Chou and Suravee Rongraung and Anik Sharma}, title = {Customer Centric Design: Who Should Be in the Center of the Design?}, booktitle = {Pacific Asia Conference on Information Systems, {PACIS} 2008, Suzhou, China, July 4-7, 2008}, pages = {188}, publisher = {AISeL}, year = {2008}, url = {http://aisel.aisnet.org/pacis2008/188}, timestamp = {Tue, 28 Feb 2012 17:11:33 +0100}, biburl = {https://dblp.org/rec/conf/pacis/HuangCRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psb/HuangFM08, author = {Jim C. Huang and Brendan J. Frey and Quaid Morris}, editor = {Russ B. Altman and A. Keith Dunker and Lawrence Hunter and Tiffany Murray and Teri E. Klein}, title = {Comparing Sequence and Expression for Predicting microRNA Targets Using GenMIR3}, booktitle = {Biocomputing 2008, Proceedings of the Pacific Symposium, Kohala Coast, Hawaii, USA, 4-8 January 2008}, pages = {52--63}, publisher = {World Scientific}, year = {2008}, url = {http://psb.stanford.edu/psb-online/proceedings/psb08/huang.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/psb/HuangFM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuH08, author = {Qinmin Hu and Xiangji Huang}, editor = {Sung{-}Hyon Myaeng and Douglas W. Oard and Fabrizio Sebastiani and Tat{-}Seng Chua and Mun{-}Kew Leong}, title = {A reranking model for genomics aspect search}, booktitle = {Proceedings of the 31st Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2008, Singapore, July 20-24, 2008}, pages = {783--784}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1390334.1390502}, doi = {10.1145/1390334.1390502}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HuH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sutc/FuYLHCKCK08, author = {Shiwa S. Fu and Jeaha Yang and Jim Laredo and Ying Huang and Henry Chang and Santhosh Kumaran and Jen{-}Yao Chung and Yury Kosov}, editor = {Mukesh Singhal and Giovanna Di Marzo Serugendo and Jeffrey J. P. Tsai and Wang{-}Chien Lee and Kay R{\"{o}}mer and Yu{-}Chee Tseng and Han C. W. Hsiao}, title = {Solution Templates Tool for Enterprise Business Applications Integration}, booktitle = {{IEEE} International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing {(SUTC} 2008), 11-13 June 2008, Taichung, Taiwan}, pages = {314--319}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SUTC.2008.84}, doi = {10.1109/SUTC.2008.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sutc/FuYLHCKCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/KovacevicH08, author = {Mladen Kovacevic and Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2008: Blog Track}, booktitle = {Proceedings of The Seventeenth Text REtrieval Conference, {TREC} 2008, Gaithersburg, Maryland, USA, November 18-21, 2008}, series = {{NIST} Special Publication}, volume = {500-277}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2008}, url = {http://trec.nist.gov/pubs/trec17/papers/yorku.blog.rev.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/KovacevicH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/HuangF08, author = {Jim C. Huang and Brendan J. Frey}, editor = {David A. McAllester and Petri Myllym{\"{a}}ki}, title = {Cumulative distribution networks and the derivative-sum-product algorithm}, booktitle = {{UAI} 2008, Proceedings of the 24th Conference in Uncertainty in Artificial Intelligence, Helsinki, Finland, July 9-12, 2008}, pages = {290--297}, publisher = {{AUAI} Press}, year = {2008}, url = {https://dslpitt.org/uai/displayArticleDetails.jsp?mmnu=1\&smnu=2\&article\_id=1349\&proceeding\_id=24}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uai/HuangF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/LiuHAY08, author = {Yang Liu and Xiangji Huang and Aijun An and Xiaohui Yu}, title = {HelpMeter: {A} Nonlinear Model for Predicting the Helpfulness of Online Reviews}, booktitle = {2008 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2008, 9-12 December 2008, Sydney, NSW, Australia, Main Conference Proceedings}, pages = {793--796}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WIIAT.2008.299}, doi = {10.1109/WIIAT.2008.299}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/LiuHAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/LiuHAN07, author = {Jimin Liu and Su Huang and Aamer Aziz and Wieslaw L. Nowinski}, title = {Three dimensional digital atlas of the orbit constructed from multi-modal radiological images}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {1}, number = {5}, pages = {275--283}, year = {2007}, url = {https://doi.org/10.1007/s11548-006-0063-3}, doi = {10.1007/S11548-006-0063-3}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/LiuHAN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/WuH07, author = {Yen{-}Chun Jim Wu and I. C. Huang}, title = {Operations research practice on logistics management in Taiwan: An academic view}, journal = {Eur. J. Oper. Res.}, volume = {182}, number = {1}, pages = {428--435}, year = {2007}, url = {https://doi.org/10.1016/j.ejor.2006.07.015}, doi = {10.1016/J.EJOR.2006.07.015}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/WuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbra/AndreopoulosAHW07, author = {Bill Andreopoulos and Aijun An and Xiangji Huang and Xiaogang Wang}, title = {Finding molecular complexes through multiple layer clustering of protein interaction networks}, journal = {Int. J. Bioinform. Res. Appl.}, volume = {3}, number = {1}, pages = {65--85}, year = {2007}, url = {https://doi.org/10.1504/IJBRA.2007.011835}, doi = {10.1504/IJBRA.2007.011835}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbra/AndreopoulosAHW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/Huang07, author = {Xiangji Huang}, title = {Comparison of Interestingness Measures for Web Usage Mining: an Empirical Study}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {6}, number = {1}, pages = {15--41}, year = {2007}, url = {https://doi.org/10.1142/S0219622007002368}, doi = {10.1142/S0219622007002368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitdm/Huang07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/LiuHA07, author = {Yang Liu and Xiangji Huang and Aijun An}, title = {Personalized recommendation with adaptive mixture of markov models}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {58}, number = {12}, pages = {1851--1870}, year = {2007}, url = {https://doi.org/10.1002/asi.20631}, doi = {10.1002/ASI.20631}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/LiuHA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/PanNHG07, author = {Shan Ling Pan and Sue Newell and Jimmy C. Huang and Robert D. Galliers}, title = {Overcoming knowledge management challenges during {ERP} implementation: The need to integrate and share different types of knowledge}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {58}, number = {3}, pages = {404--419}, year = {2007}, url = {https://doi.org/10.1002/asi.20523}, doi = {10.1002/ASI.20523}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/PanNHG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/HuangMF07, author = {Jim C. Huang and Quaid Morris and Brendan J. Frey}, title = {Bayesian Inference of MicroRNA Targets from Sequence and Expression Data}, journal = {J. Comput. Biol.}, volume = {14}, number = {5}, pages = {550--563}, year = {2007}, url = {https://doi.org/10.1089/cmb.2007.R002}, doi = {10.1089/CMB.2007.R002}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcb/HuangMF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jd/PengH07, author = {Fuchun Peng and Xiangji Huang}, title = {Machine learning for Asian language text classification}, journal = {J. Documentation}, volume = {63}, number = {3}, pages = {378--397}, year = {2007}, url = {https://doi.org/10.1108/00220410710743306}, doi = {10.1108/00220410710743306}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jd/PengH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeim/HuangNPG07, author = {Jimmy C. Huang and Sue Newell and Brad Poulson and Robert D. Galliers}, title = {Creating value from a commodity process: a case study of a call center}, journal = {J. Enterp. Inf. Manag.}, volume = {20}, number = {4}, pages = {396--413}, year = {2007}, url = {https://doi.org/10.1108/17410390710772687}, doi = {10.1108/17410390710772687}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jeim/HuangNPG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HsuT07, author = {Guo{-}Huang Hsu and Jimmy J. M. Tan}, title = {A Local Diagnosability Measure for Multiprocessor Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {5}, pages = {598--607}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1022}, doi = {10.1109/TPDS.2007.1022}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HsuT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acc/HuangZ07, author = {Rui Huang and J. Jim Zhu}, title = {{MIMO} High Gain Trajectory Linearization Observer Design and Hardware-in-the-loop Test}, booktitle = {American Control Conference, {ACC} 2007, New York, NY, USA, 9-13 July, 2007}, pages = {248--253}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ACC.2007.4282978}, doi = {10.1109/ACC.2007.4282978}, timestamp = {Fri, 03 Dec 2021 13:01:26 +0100}, biburl = {https://dblp.org/rec/conf/acc/HuangZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangTKC07, author = {Tsung{-}Ching Huang and Huai{-}Yuan Tseng and Chen{-}Pang Kung and Kwang{-}Ting Cheng}, title = {Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si: {H} {TFT} Scan Driver}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {966--969}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278718}, doi = {10.1145/1278480.1278718}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangTKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismb/HuangKW07, author = {Jim C. Huang and Anitha Kannan and John M. Winn}, title = {Bayesian association of haplotypes and non-genetic factors to regulatory and phenotypic variation in human populations}, booktitle = {Proceedings 15th International Conference on Intelligent Systems for Molecular Biology {(ISMB)} {\&} 6th European Conference on Computational Biology (ECCB), Vienna, Austria, July 21-25, 2007}, pages = {212--221}, year = {2007}, url = {https://doi.org/10.1093/bioinformatics/btm217}, doi = {10.1093/BIOINFORMATICS/BTM217}, timestamp = {Sat, 07 Sep 2019 11:59:25 +0200}, biburl = {https://dblp.org/rec/conf/ismb/HuangKW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XuHHAPSTZDKT07, author = {Jianping Xu and Peter Hazucha and Mingwei Huang and Paolo A. Aseron and Fabrice Paillet and Gerhard Schrom and James W. Tschanz and Cangsang Zhao and Vivek De and Tanay Karnik and Greg Taylor}, title = {On-Die Supply-Resonance Suppression Using Band-Limited Active Damping}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {286--603}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373406}, doi = {10.1109/ISSCC.2007.373406}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XuHHAPSTZDKT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mimi/NowinskiQPVLHALNG07, author = {Wieslaw L. Nowinski and Guoyu Qian and K. N. Bhanu Prakash and Ihar Volkau and Wing Keet Leong and Su Huang and Anand Ananthasubramaniam and Jimin Liu and Ting Ting Ng and Varsha Gupta}, editor = {Xiaohong W. Gao and Henning M{\"{u}}ller and Martin J. Loomes and Richard Comley and Shuqian Luo}, title = {Stroke Suite: Cad Systems for Acute Ischemic Stroke, Hemorrhagic Stroke, and Stroke in {ER}}, booktitle = {Medical Imaging and Informatics, 2nd International Conference, {MIMI} 2007, Beijing, China, August 14-16, 2007, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4987}, pages = {377--386}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-79490-5\_44}, doi = {10.1007/978-3-540-79490-5\_44}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mimi/NowinskiQPVLHALNG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samt/FlorianiHPHH07, author = {Leila De Floriani and Annie Hui and Laura Papaleo and May Huang and James A. Hendler}, editor = {Bianca Falcidieno and Michela Spagnuolo and Yannis Avrithis and Ioannis Kompatsiaris and Paul Buitelaar}, title = {A Semantic Web Environment for Digital Shapes Understanding}, booktitle = {Semantic Multimedia, Second International Conference on Semantic and Digital Media Technologies, {SAMT} 2007, Genoa, Italy, December 5-7, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4816}, pages = {226--239}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77051-0\_25}, doi = {10.1007/978-3-540-77051-0\_25}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samt/FlorianiHPHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/LiuHAY07, author = {Yang Liu and Xiangji Huang and Aijun An and Xiaohui Yu}, editor = {Wessel Kraaij and Arjen P. de Vries and Charles L. A. Clarke and Norbert Fuhr and Noriko Kando}, title = {{ARSA:} a sentiment-aware model for predicting sales performance using blogs}, booktitle = {{SIGIR} 2007: Proceedings of the 30th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Amsterdam, The Netherlands, July 23-27, 2007}, pages = {607--614}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1277741.1277845}, doi = {10.1145/1277741.1277845}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/LiuHAY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HuangFLX07, author = {Yiyi Huang and Nick Feamster and Anukool Lakhina and Jun (Jim) Xu}, editor = {Leana Golubchik and Mostafa H. Ammar and Mor Harchol{-}Balter}, title = {Diagnosing network disruptions with network-wide analysis}, booktitle = {Proceedings of the 2007 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2007, San Diego, California, USA, June 12-16, 2007}, pages = {61--72}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1254882.1254890}, doi = {10.1145/1254882.1254890}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HuangFLX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sip/LiawCLH07, author = {Yi{-}Ching Liaw and B.{-}S. Chiu and Jim Z. C. Lai and Tsung{-}Jen Huang}, editor = {Rui J. P. de Figueiredo}, title = {A fast approach of object segmentation for video sequence}, booktitle = {Signal and Image Processing {(SIP} 2007), Proceedings of the {IASTED} International Conference, August 20-22, 2007, Honolulu, HI, {USA}}, pages = {312--317}, publisher = {{IASTED/ACTA} Press}, year = {2007}, timestamp = {Tue, 25 Feb 2014 16:55:26 +0100}, biburl = {https://dblp.org/rec/conf/sip/LiawCLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YehCHWLL07, author = {Chi{-}Yi Yeh and Hung{-}Ming Chen and Li{-}Da Huang and Wei{-}Ting Wei and Chao{-}Hung Lu and Chien{-}Nan Jimmy Liu}, title = {Using power gating techniques in area-array SoC floorplan design}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {233--236}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545465}, doi = {10.1109/SOCC.2007.4545465}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/YehCHWLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/FanH07, author = {Yu Fan and Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2007: Enterprise Document Search}, booktitle = {Proceedings of The Sixteenth Text REtrieval Conference, {TREC} 2007, Gaithersburg, Maryland, USA, November 5-9, 2007}, series = {{NIST} Special Publication}, volume = {500-274}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2007}, url = {http://trec.nist.gov/pubs/trec16/papers/yorku.ent.final.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/FanH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangSRA07, author = {Xiangji Huang and Damon Sotoudeh{-}Hosseinii and Hashmat Rohian and Xiangdong An}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2007: Genomics Track}, booktitle = {Proceedings of The Sixteenth Text REtrieval Conference, {TREC} 2007, Gaithersburg, Maryland, USA, November 5-9, 2007}, series = {{NIST} Special Publication}, volume = {500-274}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2007}, url = {http://trec.nist.gov/pubs/trec16/papers/yorku.geo.final.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/HuangSRA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/YaoZZH07, author = {Yiyu Yao and Yi Zeng and Ning Zhong and Xiangji Huang}, title = {Knowledge Retrieval {(KR)}}, booktitle = {2007 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence, {WI} 2007, 2-5 November 2007, Silicon Valley, CA, USA, Main Conference Proceedings}, pages = {729--735}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/WI.2007.113}, doi = {10.1109/WI.2007.113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/YaoZZH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wecwis/StolzeZHSWL07, author = {Markus Stolze and Kuo Zhang and Ying Huang and Noi Sukaviriya and Brian White Eagle and Jim Laredo}, title = {The Stakeholder Matrix: Supporting the Modeling of Responsibility in Situation-Oriented Directories}, booktitle = {9th {IEEE} International Conference on E-Commerce Technology {(CEC} 2007) / 4th {IEEE} International Conference on Enterprise Computing, E-Commerce and E-Services {(EEE} 2007), 23-26 July 2007, National Center of Sciences, Tokyo, Japan}, pages = {571--576}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CEC-EEE.2007.97}, doi = {10.1109/CEC-EEE.2007.97}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wecwis/StolzeZHSWL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/AnKH06, author = {Aijun An and Shakil M. Khan and Xiangji Huang}, title = {Hierarchical grouping of association rules and its application to a real-world domain}, journal = {Int. J. Syst. Sci.}, volume = {37}, number = {13}, pages = {867--878}, year = {2006}, url = {https://doi.org/10.1080/00207720600891661}, doi = {10.1080/00207720600891661}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/AnKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/HuangYA06, author = {Xiangji Huang and Qingsong Yao and Aijun An}, title = {Applying language modeling to session identification from database trace logs}, journal = {Knowl. Inf. Syst.}, volume = {10}, number = {4}, pages = {473--504}, year = {2006}, url = {https://doi.org/10.1007/s10115-006-0015-9}, doi = {10.1007/S10115-006-0015-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/HuangYA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/HuangLD06, author = {Xiaoli Huang and Jimmy Lin and Dina Demner{-}Fushman}, title = {Evaluation of {PICO} as a Knowledge Representation for Clinical Questions}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-001-1.623243/f-001-1.623244/a-072-1.623532/a-073-1.623529}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/HuangLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/WenH06, author = {Miao Wen and Xiangji Huang}, title = {A multilevel searching and re-ranking framework for information retrieval}, booktitle = {2006 {IEEE} International Conference on Granular Computing, GrC 2006, Atlanta, Georgia, USA, May 10-12, 2006}, pages = {619--622}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GRC.2006.1635879}, doi = {10.1109/GRC.2006.1635879}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/WenH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ZhongH06, author = {Ming Zhong and Xiangji Huang}, title = {An effective extension to okapi for biomedical text mining}, booktitle = {2006 {IEEE} International Conference on Granular Computing, GrC 2006, Atlanta, Georgia, USA, May 10-12, 2006}, pages = {615--618}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GRC.2006.1635878}, doi = {10.1109/GRC.2006.1635878}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/ZhongH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HuangHWALP06, author = {Xiangji Huang and Yan Rui Huang and Miao Wen and Aijun An and Yang Liu and Josiah Poon}, title = {Applying Data Mining to Pseudo-Relevance Feedback for High Performance Text Retrieval}, booktitle = {Proceedings of the 6th {IEEE} International Conference on Data Mining {(ICDM} 2006), 18-22 December 2006, Hong Kong, China}, pages = {295--306}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICDM.2006.22}, doi = {10.1109/ICDM.2006.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/HuangHWALP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChoKKHLBP06, author = {Yongjin Cho and Do{-}Kyoung Kwon and C.{-}C. Jay Kuo and Renxiang Huang and Claudio Lima and Jim D. Black and James J. Pan}, title = {Video Streaming over 3G Networks with GOP-Based Priority Scheduling}, booktitle = {Second International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2006), Pasadena, California, USA, December 18-20, 2006, Proceedings}, pages = {201--204}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IIH-MSP.2006.264980}, doi = {10.1109/IIH-MSP.2006.264980}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/ChoKKHLBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FlocchiniHL06, author = {Paola Flocchini and Miao Jim Huang and Flaminia L. Luccio}, title = {Decontamination of chordal rings and tori}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639545}, doi = {10.1109/IPDPS.2006.1639545}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FlocchiniHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/YaoAH06, author = {Qingsong Yao and Aijun An and Xiangji Huang}, editor = {Floriana Esposito and Zbigniew W. Ras and Donato Malerba and Giovanni Semeraro}, title = {Mining and Modeling Database User Access Patterns}, booktitle = {Foundations of Intelligent Systems, 16th International Symposium, {ISMIS} 2006, Bari, Italy, September 27-29, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4203}, pages = {493--503}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11875604\_56}, doi = {10.1007/11875604\_56}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismis/YaoAH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/HuangKLJC06, author = {Yueh{-}Min Huang and Yen{-}Hung Kuo and Jim{-}Min Lin and Yu{-}Lin Jeng and Juei{-}Nan Chen}, title = {Fuzzy Information Retrieval Techniques for Help-Seeking System}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.292}, doi = {10.2991/JCIS.2006.292}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/HuangKLJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/LiuAH06, author = {Yang Liu and Aijun An and Xiangji Huang}, editor = {Wee Keong Ng and Masaru Kitsuregawa and Jianzhong Li and Kuiyu Chang}, title = {Boosting Prediction Accuracy on Imbalanced Datasets with {SVM} Ensembles}, booktitle = {Advances in Knowledge Discovery and Data Mining, 10th Pacific-Asia Conference, {PAKDD} 2006, Singapore, April 9-12, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3918}, pages = {107--118}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11731139\_15}, doi = {10.1007/11731139\_15}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/LiuAH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recomb/HuangMF06, author = {Jim C. Huang and Quaid Morris and Brendan J. Frey}, editor = {Alberto Apostolico and Concettina Guerra and Sorin Istrail and Pavel A. Pevzner and Michael S. Waterman}, title = {Detecting MicroRNA Targets by Linking Sequence, MicroRNA and Gene Expression Data}, booktitle = {Research in Computational Molecular Biology, 10th Annual International Conference, {RECOMB} 2006, Venice, Italy, April 2-5, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3909}, pages = {114--129}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11732990\_11}, doi = {10.1007/11732990\_11}, timestamp = {Mon, 13 May 2019 09:30:09 +0200}, biburl = {https://dblp.org/rec/conf/recomb/HuangMF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AhrensCCBHKMMPPSS06, author = {James P. Ahrens and Hank Childs and John P. Clyne and Wes Bethel and Jian Huang and Scott Klasky and Kwan{-}Liu Ma and Kenneth Moreland and Michael E. Papka and Valerio Pascucci and Han{-}Wei Shen and Deborah Silver}, title = {Ultra-scale visualization - Workshop on ultra-scale visualization}, booktitle = {Proceedings of the {ACM/IEEE} {SC2006} Conference on High Performance Networking and Computing, November 11-17, 2006, Tampa, FL, {USA}}, pages = {235}, publisher = {{ACM} Press}, year = {2006}, url = {https://doi.org/10.1145/1188455.1188700}, doi = {10.1145/1188455.1188700}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/AhrensCCBHKMMPPSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuangWAH06, author = {Xiangji Huang and Miao Wen and Aijun An and Yan Rui Huang}, editor = {Efthimis N. Efthimiadis and Susan T. Dumais and David Hawking and Kalervo J{\"{a}}rvelin}, title = {A platform for Okapi-based contextual information retrieval}, booktitle = {{SIGIR} 2006: Proceedings of the 29th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Seattle, Washington, USA, August 6-11, 2006}, pages = {728}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1148170.1148341}, doi = {10.1145/1148170.1148341}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HuangWAH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ZhongH06, author = {Ming Zhong and Xiangji Huang}, editor = {Efthimis N. Efthimiadis and Susan T. Dumais and David Hawking and Kalervo J{\"{a}}rvelin}, title = {Concept-based biomedical text retrieval}, booktitle = {{SIGIR} 2006: Proceedings of the 29th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Seattle, Washington, USA, August 6-11, 2006}, pages = {723--724}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1148170.1148336}, doi = {10.1145/1148170.1148336}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ZhongH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsaiHCSCJ06, author = {Yao{-}Wen Tsai and Kuohsiu David Huang and Shun{-}Chang Chang and Chang{-}Fu Shieh and Chia{-}Hao Chuang and Ji{-}Ming Jhan}, title = {Newly Output Feedback Sliding Mode Control for Mismatched Uncertain Interconnected System}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {1016--1021}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.384533}, doi = {10.1109/ICSMC.2006.384533}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/TsaiHCSCJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/FanHA06, author = {Yu Fan and Xiangji Huang and Aijun An}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2006: Enterprise Email Discussion Search}, booktitle = {Proceedings of the Fifteenth Text REtrieval Conference, {TREC} 2006, Gaithersburg, Maryland, USA, November 14-17, 2006}, series = {{NIST} Special Publication}, volume = {500-272}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2006}, url = {http://trec.nist.gov/pubs/trec15/papers/yorku.ent.final.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/FanHA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangHR06, author = {Xiangji Huang and Bin Hu and Hashmat Rohian}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2006: Genomics Track}, booktitle = {Proceedings of the Fifteenth Text REtrieval Conference, {TREC} 2006, Gaithersburg, Maryland, USA, November 14-17, 2006}, series = {{NIST} Special Publication}, volume = {500-272}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2006}, url = {http://trec.nist.gov/pubs/trec15/papers/yorku.geo.final.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/HuangHR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/WenH06, author = {Miao Wen and Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2006: Legal Track}, booktitle = {Proceedings of the Fifteenth Text REtrieval Conference, {TREC} 2006, Gaithersburg, Maryland, USA, November 14-17, 2006}, series = {{NIST} Special Publication}, volume = {500-272}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2006}, url = {http://trec.nist.gov/pubs/trec15/papers/yorku.legal.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/WenH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwgs/PosladTHZ05, author = {Stefan Poslad and Juan Jim Tan and Xuan Huang and Landong Zuo}, title = {Middleware for semantic-based security and safety management of open services}, journal = {Int. J. Web Grid Serv.}, volume = {1}, number = {3/4}, pages = {305--327}, year = {2005}, url = {https://doi.org/10.1504/IJWGS.2005.008392}, doi = {10.1504/IJWGS.2005.008392}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwgs/PosladTHZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/HsuHFRJF05, author = {John Hsu and Jie Huang and Vicki Fung and Nan Robertson and Holly B. Jimison and Richard Frankel}, title = {Research Paper: Health Information Technology and Physician-Patient Interactions: Impact of Computers on Communication during Outpatient Primary Care Visits}, journal = {J. Am. Medical Informatics Assoc.}, volume = {12}, number = {4}, pages = {474--480}, year = {2005}, url = {https://doi.org/10.1197/jamia.M1741}, doi = {10.1197/JAMIA.M1741}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/HsuHFRJF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/SunHCLH05, author = {Zhan{-}Li Sun and De{-}Shuang Huang and Yiu{-}ming Cheung and Jiming Liu and Guang{-}Bin Huang}, title = {Using FCMC, FVS, and {PCA} techniques for feature extraction of multispectral images}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {2}, number = {2}, pages = {108--112}, year = {2005}, url = {https://doi.org/10.1109/LGRS.2005.844169}, doi = {10.1109/LGRS.2005.844169}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/SunHCLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/YaoAH05, author = {Qingsong Yao and Aijun An and Xiangji Huang}, editor = {Lizhu Zhou and Beng Chin Ooi and Xiaofeng Meng}, title = {Finding and Analyzing Database User Sessions}, booktitle = {Database Systems for Advanced Applications, 10th International Conference, {DASFAA} 2005, Beijing, China, April 17-20, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3453}, pages = {851--862}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11408079\_77}, doi = {10.1007/11408079\_77}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/YaoAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dawak/YaoHA05, author = {Qingsong Yao and Xiangji Huang and Aijun An}, editor = {A Min Tjoa and Juan Trujillo}, title = {A Machine Learning Approach to Identifying Database Sessions Using Unlabeled Data}, booktitle = {Data Warehousing and Knowledge Discovery, 7th International Conference, DaWaK 2005, Copenhagen, Denmark, August 22-26, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3589}, pages = {254--264}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11546849\_25}, doi = {10.1007/11546849\_25}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dawak/YaoHA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/HuangNPG05, author = {Jimmy C. Huang and Sue Newell and Brad Poulson and Robert D. Galliers}, editor = {Dieter Bartmann and Federico Rajola and Jannis Kallinikos and David E. Avison and Robert Winter and Phillip Ein{-}Dor and J{\"{o}}rg Becker and Freimut Bodendorf and Christof Weinhardt}, title = {Deriving Value from a Commodity Process: a Case Study of the Strategic Planning and Management of a Call Center}, booktitle = {Proceedings of the 13th European Conference on Information Systems, Information Systems in a Rapidly Changing Economy, {ECIS} 2005, Regensburg, Germany, May 26-28, 2005}, pages = {503--512}, year = {2005}, url = {http://aisel.aisnet.org/ecis2005/39}, timestamp = {Wed, 24 Jul 2019 16:44:04 +0200}, biburl = {https://dblp.org/rec/conf/ecis/HuangNPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euroimsa/JoraJCHJHOSM05, author = {Serban Jora and Rittwik Jana and Yih{-}Farn Chen and Matti A. Hiltunen and Trevor Jim and Huale Huang and King Ow and Ashish K. Singh and Radhakrishnan Muthumanickam}, editor = {M. H. Hamza}, title = {An Alerting and Notification Service on the AT{\&}T Enterprise Messaging Network}, booktitle = {Internet and Multimedia Systems and Applications, EuroIMSA 2005, Grindelwald, Switzerland, February 21-23, 2005}, pages = {215--220}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Mon, 03 Apr 2006 13:31:51 +0200}, biburl = {https://dblp.org/rec/conf/euroimsa/JoraJCHJHOSM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/HuangH05, author = {Xiangji Huang and Yan Rui Huang}, editor = {Xiaohua Hu and Qing Liu and Andrzej Skowron and Tsau Young Lin and Ronald R. Yager and Bo Zhang}, title = {Using contextual information to improve retrieval performance}, booktitle = {2005 {IEEE} International Conference on Granular Computing, Beijing, China, July 25-27, 2005}, pages = {474--481}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/GRC.2005.1547337}, doi = {10.1109/GRC.2005.1547337}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/HuangH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ZouAH05, author = {Ying Zou and Aijun An and Xiangji Huang}, editor = {Xiaohua Hu and Qing Liu and Andrzej Skowron and Tsau Young Lin and Ronald R. Yager and Bo Zhang}, title = {Evaluation and automatic selection of methods for handling missing data}, booktitle = {2005 {IEEE} International Conference on Granular Computing, Beijing, China, July 25-27, 2005}, pages = {728--733}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/GRC.2005.1547387}, doi = {10.1109/GRC.2005.1547387}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grc/ZouAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmb/HuangW05, author = {Tze{-}Haw Huang and Jim Wu}, title = {Scalable M-Commerce and Load Balancing Technique Using Cost Based Approach}, booktitle = {2005 International Conference on Mobile Business {(ICMB} 2005), 11-13 July 2005, Sydney, Australia}, pages = {559--564}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICMB.2005.87}, doi = {10.1109/ICMB.2005.87}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmb/HuangW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/Serra-SagristaGMMHA05, author = {Joan Serra{-}Sagrist{\`{a}} and Fernando Garc{\'{\i}}a{-}V{\'{\i}}lchez and Juli{\`{a}} Minguill{\'{o}}n and David Meg{\'{\i}}as and Bormin Huang and Alok Ahuja}, title = {Wavelet lossless compression of ultraspectral sounder data}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {4}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1526126}, doi = {10.1109/IGARSS.2005.1526126}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/Serra-SagristaGMMHA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismb/HuangMHF05, author = {Jim C. Huang and Quaid Morris and Timothy R. Hughes and Brendan J. Frey}, title = {GenXHC: a probabilistic generative model for cross-hybridization compensation in high-density genome-wide microarray data}, booktitle = {Proceedings Thirteenth International Conference on Intelligent Systems for Molecular Biology 2005, Detroit, MI, USA, 25-29 June 2005}, pages = {222--231}, year = {2005}, url = {https://doi.org/10.1093/bioinformatics/bti1045}, doi = {10.1093/BIOINFORMATICS/BTI1045}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismb/HuangMHF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/YaoAH05, author = {Qingsong Yao and Aijun An and Xiangji Huang}, editor = {Mohand{-}Said Hacid and Neil V. Murray and Zbigniew W. Ras and Shusaku Tsumoto}, title = {A Distance-Based Algorithm for Clustering Database User Sessions}, booktitle = {Foundations of Intelligent Systems, 15th International Symposium, {ISMIS} 2005, Saratoga Springs, NY, USA, May 25-28, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3488}, pages = {562--572}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11425274\_58}, doi = {10.1007/11425274\_58}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismis/YaoAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/SiKH05, author = {Luo Si and Tapas Kanungo and Xiangji Huang}, editor = {Srinivasan Parthasarathy and Wei Wang and Mohammed J. Zaki}, title = {Boosting performance of bio-entity recognition by combining results from multiple systems}, booktitle = {Proceedings of the 5th international workshop on Bioinformatics, {BIOKDD} 2005, Chicago, Illinois, USA, August 21, 2005}, pages = {76--83}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1134030.1134044}, doi = {10.1145/1134030.1134044}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/SiKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pam/ChenHRSZSKT05, author = {Weifeng Chen and Yong Huang and Bruno F. Ribeiro and Kyoungwon Suh and Honggang Zhang and Edmundo de Souza e Silva and James F. Kurose and Donald F. Towsley}, editor = {Constantinos Dovrolis}, title = {Exploiting the {IPID} Field to Infer Network Path and End-System Characteristics}, booktitle = {Passive and Active Network Measurement, 6th International Workshop, {PAM} 2005, Boston, MA, USA, March 31 - April 1, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3431}, pages = {108--120}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-31966-5\_9}, doi = {10.1007/978-3-540-31966-5\_9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pam/ChenHRSZSKT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/HuangHW05, author = {Xiangji Huang and Yan Rui Huang and Miao Wen}, editor = {Ricardo A. Baeza{-}Yates and Nivio Ziviani and Gary Marchionini and Alistair Moffat and John Tait}, title = {A dual index model for contextual information retrieval}, booktitle = {{SIGIR} 2005: Proceedings of the 28th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Salvador, Brazil, August 15-19, 2005}, pages = {613--614}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1076034.1076154}, doi = {10.1145/1076034.1076154}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/HuangHW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/CaoAH05, author = {Wei Cao and Aijun An and Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2005: {SPAM} Track}, booktitle = {Proceedings of the Fourteenth Text REtrieval Conference, {TREC} 2005, Gaithersburg, Maryland, USA, November 15-18, 2005}, series = {{NIST} Special Publication}, volume = {500-266}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2005}, url = {http://trec.nist.gov/pubs/trec14/papers/yorku-huang.spam.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/CaoAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangZS05, author = {Xiangji Huang and Ming Zhong and Luo Si}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2005: Genomics Track}, booktitle = {Proceedings of the Fourteenth Text REtrieval Conference, {TREC} 2005, Gaithersburg, Maryland, USA, November 15-18, 2005}, series = {{NIST} Special Publication}, volume = {500-266}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2005}, url = {http://trec.nist.gov/pubs/trec14/papers/yorku-huang2.geo.pdf}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/HuangZS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/KovacevicH05, author = {Mladen Kovacevic and Xiangji Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2005: Terabyte Track}, booktitle = {Proceedings of the Fourteenth Text REtrieval Conference, {TREC} 2005, Gaithersburg, Maryland, USA, November 15-18, 2005}, series = {{NIST} Special Publication}, volume = {500-266}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2005}, url = {http://trec.nist.gov/pubs/trec14/papers/yorku-huang.tera.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/KovacevicH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/WenHAH05, author = {Miao Wen and Xiangji Huang and Aijun An and Yan Rui Huang}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2005: {HARD} Track}, booktitle = {Proceedings of the Fourteenth Text REtrieval Conference, {TREC} 2005, Gaithersburg, Maryland, USA, November 15-18, 2005}, series = {{NIST} Special Publication}, volume = {500-266}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2005}, url = {http://trec.nist.gov/pubs/trec14/papers/yorku-huang.hard.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/WenHAH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/WeiZLHX04, author = {Wei Wei and Qingji Zeng and Jimin Liu and Jun Huang and Pengcheng Xiao}, title = {Differentiated multi-layer integrated routing in {IP} over {WDM} networks}, journal = {Comput. Commun.}, volume = {27}, number = {16}, pages = {1594--1607}, year = {2004}, url = {https://doi.org/10.1016/j.comcom.2004.05.005}, doi = {10.1016/J.COMCOM.2004.05.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/WeiZLHX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dpd/GaoGMSPHR04, author = {Dengfeng Gao and Jose Alvin G. Gendrano and Bongki Moon and Richard T. Snodgrass and Minseok Park and Bruce C. Huang and Jim M. Rodrigue}, title = {Main Memory-Based Algorithms for Efficient Parallel Aggregation for Temporal Databases}, journal = {Distributed Parallel Databases}, volume = {16}, number = {2}, pages = {123--163}, year = {2004}, url = {https://doi.org/10.1023/B:DAPD.0000028553.70337.e1}, doi = {10.1023/B:DAPD.0000028553.70337.E1}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dpd/GaoGMSPHR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/HuangPAS04, author = {Xiangji Huang and Fuchun Peng and Aijun An and Dale Schuurmans}, title = {Dynamic Web log session identification with statistical language models}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {55}, number = {14}, pages = {1290--1303}, year = {2004}, url = {https://doi.org/10.1002/asi.20084}, doi = {10.1002/ASI.20084}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasis/HuangPAS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/technometrics/ShenHY04, author = {Xiaotong Shen and Hsin{-}Cheng Huang and Jimmy Ye}, title = {Adaptive Model Selection and Assessment for Exponential Family Distributions}, journal = {Technometrics}, volume = {46}, number = {3}, pages = {306--317}, year = {2004}, url = {https://doi.org/10.1198/004017004000000338}, doi = {10.1198/004017004000000338}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/technometrics/ShenHY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trs/AnHHC04, author = {Aijun An and Yanhui Huang and Xiangji Huang and Nick Cercone}, title = {Feature Selection with Rough Sets for Web Page Classification}, journal = {Trans. Rough Sets}, volume = {2}, pages = {1--13}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27778-1\_1}, doi = {10.1007/978-3-540-27778-1\_1}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trs/AnHHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/PoulsonHNG04, author = {Brad Poulson and Jimmy C. Huang and Sue Newell and Robert D. Galliers}, title = {Customer Relationship Management: Beyond {A} Commodity Process}, booktitle = {10th Americas Conference on Information Systems, {AMCIS} 2004, New York, NY, USA, August 6-8, 2004}, pages = {483}, publisher = {Association for Information Systems}, year = {2004}, url = {http://aisel.aisnet.org/amcis2004/483}, timestamp = {Sat, 07 Jan 2012 12:54:45 +0100}, biburl = {https://dblp.org/rec/conf/amcis/PoulsonHNG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/PoulsonHNG04, author = {Brad Poulson and Jimmy C. Huang and Sue Newell and Robert D. Galliers}, title = {Reversing the Trend of Commoditization: {A} Case Study of the Strategic Planning and Management of a Call Center}, booktitle = {{ICEIS} 2004, Proceedings of the 6th International Conference on Enterprise Information Systems, Porto, Portugal, April 14-17, 2004}, pages = {396--402}, year = {2004}, timestamp = {Thu, 02 Feb 2017 12:56:49 +0100}, biburl = {https://dblp.org/rec/conf/iceis/PoulsonHNG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/SongH04, author = {Sejun Song and Jim Huang}, title = {Internet router outage measurement: an embedded approach}, booktitle = {Managing Next Generation Convergence Networks and Services, {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2004, Seoul, Korea, 19-23 April 2004, Proceedings}, pages = {161--174}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/NOMS.2004.1317652}, doi = {10.1109/NOMS.2004.1317652}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/SongH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangHZW04, author = {Xiangji Huang and Yan Rui Huang and Ming Zhong and Miao Wen}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {York University at {TREC} 2004: {HARD} and Genomics Tracks}, booktitle = {Proceedings of the Thirteenth Text REtrieval Conference, {TREC} 2004, Gaithersburg, Maryland, USA, November 16-19, 2004}, series = {{NIST} Special Publication}, volume = {500-261}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2004}, url = {http://trec.nist.gov/pubs/trec13/papers/yorku.hard.geo.pdf}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/HuangHZW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/LiuHAP04, author = {Yang Liu and Xiangji Huang and Aijun An and Gary Promhouse}, title = {Clustering Web Surfers with Probabilistic Models in a Real Application}, booktitle = {2004 {IEEE/WIC/ACM} International Conference on Web Intelligence {(WI} 2004), 20-24 September 2004, Beijing, China}, pages = {761--765}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WI.2004.10005}, doi = {10.1109/WI.2004.10005}, timestamp = {Thu, 23 Mar 2023 14:30:18 +0100}, biburl = {https://dblp.org/rec/conf/webi/LiuHAP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/DevadossPH03, author = {Paul Raj Devadoss and Shan Ling Pan and Jimmy C. Huang}, title = {Structurational analysis of e-government initiatives: a case study of {SCO}}, journal = {Decis. Support Syst.}, volume = {34}, number = {3}, pages = {253--269}, year = {2003}, url = {https://doi.org/10.1016/S0167-9236(02)00120-3}, doi = {10.1016/S0167-9236(02)00120-3}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/DevadossPH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iando/NewellHGP03, author = {Sue Newell and Jimmy C. Huang and Robert D. Galliers and Shan Ling Pan}, title = {Implementing enterprise resource planning and knowledge management systems in tandem: fostering efficiency and innovation complementarity}, journal = {Inf. Organ.}, volume = {13}, number = {1}, pages = {25--52}, year = {2003}, url = {https://doi.org/10.1016/S1471-7727(02)00007-6}, doi = {10.1016/S1471-7727(02)00007-6}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iando/NewellHGP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijiem/DawsonH03, author = {Patrick Dawson and Jimmy X. Huang}, title = {Organizing knowledge and political process: reconfiguration in the context of {BPR}}, journal = {Int. J. Internet Enterp. Manag.}, volume = {1}, number = {4}, year = {2003}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijiem/DawsonH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ir/HuangPSCR03, author = {Xiangji Huang and Fuchun Peng and Dale Schuurmans and Nick Cercone and Stephen E. Robertson}, title = {Applying Machine Learning to Text Segmentation for Information Retrieval}, journal = {Inf. Retr.}, volume = {6}, number = {3-4}, pages = {333--362}, year = {2003}, url = {https://doi.org/10.1023/A:1026028229881}, doi = {10.1023/A:1026028229881}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ir/HuangPSCR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitech/HuangMNG03, author = {Jimmy C. Huang and Enesi Makoju and Sue Newell and Robert D. Galliers}, title = {Opportunities to learn from 'failure' with electronic commerce: a case study of electronic banking}, journal = {J. Inf. Technol.}, volume = {18}, number = {1}, pages = {17--26}, year = {2003}, url = {https://doi.org/10.1080/0268396031000077422}, doi = {10.1080/0268396031000077422}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jitech/HuangMNG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/RehgPHF03, author = {James M. Rehg and Vladimir Pavlovic and Thomas S. Huang and William T. Freeman}, title = {Guest Editors' Introduction to the Special Section on Graphical Models in Computer Vision}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {25}, number = {7}, pages = {785--786}, year = {2003}, url = {https://doi.org/10.1109/TPAMI.2003.1206508}, doi = {10.1109/TPAMI.2003.1206508}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/RehgPHF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KuoHHSW03, author = {Jin{-}Hau Kuo and Chia{-}Chiang Ho and Kan{-}Li Huang and Jim Shiu and Ja{-}Ling Wu}, title = {A low-cost media-processor based real-time {MPEG-4} video decoder}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {49}, number = {4}, pages = {1488--1497}, year = {2003}, url = {https://doi.org/10.1109/TCE.2003.1261258}, doi = {10.1109/TCE.2003.1261258}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KuoHHSW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/HuangNGP03, author = {Jimmy C. Huang and Sue Newell and Robert D. Galliers and Shan{-}Ling Pan}, title = {Dangerous liaisons? Component-based development and organizational subcultures}, journal = {{IEEE} Trans. Engineering Management}, volume = {50}, number = {1}, pages = {89--99}, year = {2003}, url = {https://doi.org/10.1109/TEM.2002.808297}, doi = {10.1109/TEM.2002.808297}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/HuangNGP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ChenHJJHJJMW03, author = {Yih{-}Farn Chen and Huale Huang and Rittwik Jana and Trevor Jim and Matti A. Hiltunen and Sam John and Serban Jora and Radhakrishnan Muthumanickam and Bin Wei}, title = {iMobile {EE} - An Enterprise Mobile Service Platform}, journal = {Wirel. Networks}, volume = {9}, number = {4}, pages = {283--297}, year = {2003}, url = {https://doi.org/10.1023/A:1023687025164}, doi = {10.1023/A:1023687025164}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ChenHJJHJJMW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/HuangPASC03, author = {Xiangji Huang and Fuchun Peng and Aijun An and Dale Schuurmans and Nick Cercone}, editor = {Yang Xiang and Brahim Chaib{-}draa}, title = {Session Boundary Detection for Association Rule Learning Using n-Gram Language Models}, booktitle = {Advances in Artificial Intelligence, 16th Conference of the Canadian Society for Computational Studies of Intelligence, {AI} 2003, Halifax, Canada, June 11-13, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2671}, pages = {237--251}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44886-1\_19}, doi = {10.1007/3-540-44886-1\_19}, timestamp = {Thu, 28 Sep 2023 12:27:05 +0200}, biburl = {https://dblp.org/rec/conf/ai/HuangPASC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/HuangMZ03, author = {Rui Huang and M. Christopher Mickle and J. Jim Zhu}, title = {Nonlinear time-varying observer design using trajectory linearization}, booktitle = {American Control Conference, {ACC} 2003, Denver, CO, USA, June 4-6 2003}, pages = {4772--4778}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ACC.2003.1242477}, doi = {10.1109/ACC.2003.1242477}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/HuangMZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/NewellGH03, author = {Sue Newell and Robert D. Galliers and Jimmy C. Huang}, editor = {Claudio U. Ciborra and Riccardo Mercurio and Marco de Marco and Marcello Martinez and Andrea Carignani}, title = {Conceptualizing the three dimensions of inter-organizational communities of practice}, booktitle = {Proceedings of the 11th European Conference on Information Systems, {ECIS} 2003, Naples, Italy 16-21 June 2003}, pages = {1391--1397}, year = {2003}, timestamp = {Mon, 05 Dec 2016 15:14:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/NewellGH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/HatamiGH03, author = {Afsoun Hatami and Robert D. Galliers and Jimmy C. Huang}, title = {Exploring the Impacts of Knowledge (Re)Use and Organizational Memory on the Effectiveness of Strategic Decisions: {A} Longitudinal Case Study}, booktitle = {36th Hawaii International Conference on System Sciences {(HICSS-36} 2003), {CD-ROM} / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, {USA}}, pages = {110}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HICSS.2003.1174254}, doi = {10.1109/HICSS.2003.1174254}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/HatamiGH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/AnKH03, author = {Aijun An and Shakil M. Khan and Xiangji Huang}, title = {Objective and Subjective Algorithms for Grouping Association Rules}, booktitle = {Proceedings of the 3rd {IEEE} International Conference on Data Mining {(ICDM} 2003), 19-22 December 2003, Melbourne, Florida, {USA}}, pages = {477--480}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICDM.2003.1250956}, doi = {10.1109/ICDM.2003.1250956}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/AnKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iral/PengHSW03, author = {Fuchun Peng and Xiangji Huang and Dale Schuurmans and Shaojun Wang}, editor = {Jun Adachi}, title = {Text classification in Asian languages without word segmentation}, booktitle = {Proceedings of the Sixth International Workshop on Information Retrieval with Asian Languages, 2003, Sappro, Japan, July 7, 2003}, pages = {41--48}, publisher = {{ACL}}, year = {2003}, url = {https://dl.acm.org/citation.cfm?id=1118941}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iral/PengHSW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/WangHC03, author = {Jim Wang and Shunji Huang and Fengyun Cui}, title = {Application of {GPS} to exospheric target detection using missile-borne passive radar}, booktitle = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, pages = {2226--2229}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/PIMRC.2003.1259112}, doi = {10.1109/PIMRC.2003.1259112}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/WangHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/HuangCTH02, author = {Wen{-}Tzeng Huang and Yen{-}Chu Chuang and Jimmy Jiann{-}Mean Tan and Lih{-}Hsing Hsu}, title = {On the Fault-Tolerant Hamiltonicity of Faulty Crossed Cubes}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {85-A}, number = {6}, pages = {1359--1370}, year = {2002}, url = {http://search.ieice.org/bin/summary.php?id=e85-a\_6\_1359}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/HuangCTH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HuangTHH02, author = {Wen{-}Tzeng Huang and Jimmy J. M. Tan and Chun{-}Nan Hung and Lih{-}Hsing Hsu}, title = {Fault-Tolerant Hamiltonicity of Twisted Cubes}, journal = {J. Parallel Distributed Comput.}, volume = {62}, number = {4}, pages = {591--604}, year = {2002}, url = {https://doi.org/10.1006/jpdc.2001.1813}, doi = {10.1006/JPDC.2001.1813}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HuangTHH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/SmidtsHW02, author = {Carol S. Smidts and Xin Huang and James C. Widmaier}, title = {Producing reliable software: an experiment}, journal = {J. Syst. Softw.}, volume = {61}, number = {3}, pages = {213--224}, year = {2002}, url = {https://doi.org/10.1016/S0164-1212(01)00149-2}, doi = {10.1016/S0164-1212(01)00149-2}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/SmidtsHW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HuangCA02, author = {Xiangji Huang and Nick Cercone and Aijun An}, title = {Comparison of interestingness functions for learning web usage patterns}, booktitle = {Proceedings of the 2002 {ACM} {CIKM} International Conference on Information and Knowledge Management, McLean, VA, USA, November 4-9, 2002}, pages = {617--620}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/584792.584896}, doi = {10.1145/584792.584896}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HuangCA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/PengHSC02, author = {Fuchun Peng and Xiangji Huang and Dale Schuurmans and Nick Cercone}, title = {Investigating the Relationship between Word Segmentation Performance and Retrieval Performance in Chinese {IR}}, booktitle = {19th International Conference on Computational Linguistics, {COLING} 2002, Howard International House and Academia Sinica, Taipei, Taiwan, August 24 - September 1, 2002}, year = {2002}, url = {https://aclanthology.org/C02-1148/}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/PengHSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/HuangNG02, author = {Jimmy C. Huang and Sue Newell and Robert D. Galliers}, editor = {Stanislaw Wrycza}, title = {The Impact of Organizational Sub-cultures on the Implementation of Component-based Development : {A} Case Study of an International Investment Bank}, booktitle = {Proceedings of the 10th European Conference on Information Systems, Information Systems and the Future of the Digital Economy, {ECIS} 2002, Gdansk, Poland, June 6-8, 2002}, pages = {219--228}, year = {2002}, url = {http://aisel.aisnet.org/ecis2002/66}, timestamp = {Mon, 05 Dec 2016 15:14:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/HuangNG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/HuangACP02, author = {Xiangji Huang and Aijun An and Nick Cercone and Gary Promhouse}, title = {Discovery of Interesting Association Rules from Livelink Web Log Data}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Data Mining {(ICDM} 2002), 9-12 December 2002, Maebashi City, Japan}, pages = {763--766}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICDM.2002.1184048}, doi = {10.1109/ICDM.2002.1184048}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/HuangACP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/NewellHT02, author = {Sue Newell and Jimmy C. Huang and Carole Tansley}, editor = {Francesc Miralles and Josep Valor}, title = {Social Capital in {ERP} Projects: The Differential Source and Effects of Bridging and Bonding}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2002, Barcelona, Spain, December 15-18, 2002}, pages = {23}, publisher = {Association for Information Systems}, year = {2002}, url = {http://aisel.aisnet.org/icis2002/23}, timestamp = {Wed, 28 Dec 2011 16:37:53 +0100}, biburl = {https://dblp.org/rec/conf/icis/NewellHT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/HuangPSC02, author = {Xiangji Huang and Fuchun Peng and Dale Schuurmans and Nick Cercone}, editor = {Keizo Oyama and Emi Ishida and Noriko Kando}, title = {Waterloo at {NTCIR-3:} Using Self-supervised Word Segmentation}, booktitle = {Proceedings of the Third {NTCIR} Workshop on Research in Information Retrieval, Automatic Text Summarization and Question Answering, NTCIR-3, Tokyo, Japan, October 8-10, 2002}, publisher = {National Institute of Informatics {(NII)}}, year = {2002}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings3/NTCIR3-CLIR-HuangX.pdf}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/HuangPSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/PengHSCR02, author = {Fuchun Peng and Xiangji Huang and Dale Schuurmans and Nick Cercone and Stephen E. Robertson}, editor = {Kalervo J{\"{a}}rvelin and Micheline Beaulieu and Ricardo A. Baeza{-}Yates and Sung{-}Hyon Myaeng}, title = {Using self-supervised word segmentation in Chinese information retrieval}, booktitle = {{SIGIR} 2002: Proceedings of the 25th Annual International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, August 11-15, 2002, Tampere, Finland}, pages = {349--350}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/564376.564438}, doi = {10.1145/564376.564438}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/PengHSCR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmc/BisdikianBCMRVYRHS02, author = {Chatschik Bisdikian and Isaac Boamah and Paul C. Castro and Archan Misra and Jim Rubas and Nicolas Villoutreix and Danny L. Yeh and Vladimir Rasin and Henry Huang and Craig Simonds}, editor = {Marisa S. Viveros and Hui Lei and Ouri Wolfson}, title = {Intelligent pervasive middleware for context-based and localized telematics services}, booktitle = {Proceedings of the 2nd International Workshop on Mobile Commerce, Atlanta, Georgia, USA, September 28, 2002}, pages = {15--24}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/570705.570710}, doi = {10.1145/570705.570710}, timestamp = {Tue, 10 Mar 2020 16:23:49 +0100}, biburl = {https://dblp.org/rec/conf/wmc/BisdikianBCMRVYRHS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/NewellPGH01, author = {Sue Newell and Shan Ling Pan and Robert D. Galliers and Jimmy C. Huang}, title = {The Myth of the Boundaryless Organization}, journal = {Commun. {ACM}}, volume = {44}, number = {12}, pages = {74--76}, year = {2001}, url = {https://doi.org/10.1145/501317.501350}, doi = {10.1145/501317.501350}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/NewellPGH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejis/HuangNP01, author = {Jimmy C. Huang and Sue Newell and Shan Ling Pan}, title = {The process of global knowledge integration: a case study of a multinational investment bank's {Y2K} program}, journal = {Eur. J. Inf. Syst.}, volume = {10}, number = {3}, pages = {161--174}, year = {2001}, url = {https://doi.org/10.1057/palgrave.ejis.3000402}, doi = {10.1057/PALGRAVE.EJIS.3000402}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejis/HuangNP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jds/HuangNPP01, author = {Jimmy C. Huang and Sue Newell and Shan Ling Pan and Brad Poulson}, title = {{ERP} Systems Implementation: a Knowledge-focused Perspective}, journal = {J. Decis. Syst.}, volume = {10}, number = {1}, pages = {99--117}, year = {2001}, url = {https://doi.org/10.3166/jds.10.99-117}, doi = {10.3166/JDS.10.99-117}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jds/HuangNPP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangB01, author = {Jimmy Y. Huang and Douglas L. Brutlag}, title = {The {EMOTIF} database}, journal = {Nucleic Acids Res.}, volume = {29}, number = {1}, pages = {202--204}, year = {2001}, url = {https://doi.org/10.1093/nar/29.1.202}, doi = {10.1093/NAR/29.1.202}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ai/AnCH01, author = {Aijun An and Nick Cercone and Xiangji Huang}, editor = {Eleni Stroulia and Stan Matwin}, title = {A Case Study for Learning from Imbalanced Data Sets}, booktitle = {Advances in Artificial Intelligence, 14th Biennial Conference of the Canadian Society for Computational Studies of Intelligence, {AI} 2001, Ottawa, Canada, June 7-9, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2056}, pages = {1--15}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45153-6\_1}, doi = {10.1007/3-540-45153-6\_1}, timestamp = {Thu, 28 Sep 2023 12:27:04 +0200}, biburl = {https://dblp.org/rec/conf/ai/AnCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/HuangNPG01, author = {Jimmy C. Huang and Sue Newell and Shan Ling Pan and Robert D. Galliers}, editor = {Steve Smithson and Joze Gricar and Mateja Podlogar and Sophia Avgerinou}, title = {Knowledge integration processes within the context of enterprise resources planning {(ERP)} systems implementation}, booktitle = {Proceedings of the 9th European Conference on Information Systems, Global Co-operation in the New Millennium, {ECIS} 2001, Bled, Slovenia, June 27-29, 2001}, pages = {1212--1226}, year = {2001}, url = {http://aisel.aisnet.org/ecis2001/38}, timestamp = {Mon, 05 Dec 2016 15:14:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/HuangNPG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/ZulfigarPLH01, author = {Khan A. Zulfigar and Shan Ling Pan and Jae{-}Nam Lee and Jimmy C. Huang}, editor = {Steve Smithson and Joze Gricar and Mateja Podlogar and Sophia Avgerinou}, title = {E-Government: An Exploratory Study of On-Iine Electronic Procurement Systems}, booktitle = {Proceedings of the 9th European Conference on Information Systems, Global Co-operation in the New Millennium, {ECIS} 2001, Bled, Slovenia, June 27-29, 2001}, pages = {1010--1024}, year = {2001}, url = {http://aisel.aisnet.org/ecis2001/101}, timestamp = {Mon, 05 Dec 2016 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/ZulfigarPLH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/BraileanHY01, author = {Jim Brailean and Joe Huang and Yuqi Yao}, title = {Performance comparison of {MPEG-4} scalable and non-scalable video streaming}, booktitle = {Proceedings of the 2001 International Conference on Image Processing, {ICIP} 2001, Thessaloniki, Greece, October 7-10, 2001}, pages = {577}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICIP.2001.958182}, doi = {10.1109/ICIP.2001.958182}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/BraileanHY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/PanNHC01, author = {Shan Ling Pan and Sue Newell and Jimmy C. Huang and Alvin Wan Kok Cheung}, editor = {Veda C. Storey and Sumit Sarkar and Janice I. DeGross}, title = {Knowledge Integration as a Key Problem in an {ERP} Implementation}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2001, December 16-19, 2001, New Orleans, Louisiana, {USA}}, pages = {321--328}, publisher = {Association for Information Systems}, year = {2001}, url = {http://aisel.aisnet.org/icis2001/36}, timestamp = {Sun, 29 Mar 2015 13:07:34 +0200}, biburl = {https://dblp.org/rec/conf/icis/PanNHC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/WanLH01, author = {Alvin Wan Kok Cheung and Pan Shan Ling and Jimmy C. Huang}, title = {Barriers to {ERP} Implementation: An Action Research}, booktitle = {The Fifth Pacific Asia Conference on Information Systems, {PACIS} 2001, Seoul, Korea, June 20-22, 2001}, pages = {79}, publisher = {AISeL}, year = {2001}, url = {http://aisel.aisnet.org/pacis2001/79}, timestamp = {Mon, 10 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacis/WanLH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/HuangRCA00, author = {Xiangji Huang and Stephen E. Robertson and Nick Cercone and Aijun An}, title = {Probability-Based Chinese Text Processing and Retrieval}, journal = {Comput. Intell.}, volume = {16}, number = {4}, pages = {552--569}, year = {2000}, url = {https://doi.org/10.1111/0824-7935.00126}, doi = {10.1111/0824-7935.00126}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/HuangRCA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/YuillWSGFHA00, author = {Jim Yuill and Shyhtsun Felix Wu and J. Settle and Fengmin Gong and R. Forno and Ming{-}Yuh Huang and J. Asbery}, title = {Intrusion-detection for incident-response, using a military battlefield-intelligence process}, journal = {Comput. Networks}, volume = {34}, number = {4}, pages = {671--697}, year = {2000}, url = {https://doi.org/10.1016/S1389-1286(00)00142-0}, doi = {10.1016/S1389-1286(00)00142-0}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/YuillWSGFHA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cys/HuangCTH00, author = {Wen{-}Tzeng Huang and Yen{-}Chu Chuang and Jimmy J. M. Tan and Lih{-}Hsing Hsu}, title = {Fault-Free Hamiltonian Cycle in Faulty M{\"{o}}bius Cubes}, journal = {Computaci{\'{o}}n y Sistemas}, volume = {4}, number = {2}, pages = {106--114}, year = {2000}, url = {http://cys.cic.ipn.mx/ojs/index.php/CyS/article/view/934/1030}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cys/HuangCTH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/PavlovicRGH00, author = {Vladimir Pavlovic and James M. Rehg and Ashutosh Garg and Thomas S. Huang}, title = {Multimodal Speaker Detection Using Error Feedback Dynamic Bayesian Networks}, booktitle = {2000 Conference on Computer Vision and Pattern Recognition {(CVPR} 2000), 13-15 June 2000, Hilton Head, SC, {USA}}, pages = {2034}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/CVPR.2000.854730}, doi = {10.1109/CVPR.2000.854730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/PavlovicRGH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/HuangNP00, author = {Jimmy C. Huang and Sue Newell and Shan Ling Pan}, editor = {Hans Robert Hansen and Martin Bichler and Harald Mahrer}, title = {Knowledge Integration: Learning from the Millennium Bug Experience: {A} Case Study of NatWest Bank Global Financial Markets}, booktitle = {Proceedings of the 8th European Conference on Information Systems, Trends in Information and Communication Systems for the 21st Century, {ECIS} 2000, Vienna, Austria, July 3-5, 2000}, pages = {623--629}, year = {2000}, url = {http://aisel.aisnet.org/ecis2000/60}, timestamp = {Mon, 05 Dec 2016 15:14:00 +0100}, biburl = {https://dblp.org/rec/conf/ecis/HuangNP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ChoiSBH00, author = {Baek{-}Young Choi and Sejun Song and Nigel Birch and Jim Huang}, title = {Probabilistic approach to switched Ethernet for real-time control applications}, booktitle = {7th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} 2000), 12-14 December 2000, Cheju Island, South Korea}, pages = {384--388}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/RTCSA.2000.896415}, doi = {10.1109/RTCSA.2000.896415}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ChoiSBH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetika/DenisLHC99, author = {Nikolaos Denis and Douglas P. Looze and Jim Huang and David A. Casta{\~{n}}{\'{o}}n}, title = {H\({}_{\mbox{{\(\infty\)}}}\) control design for an adaptive optics system}, journal = {Kybernetika}, volume = {35}, number = {1}, pages = {69--81}, year = {1999}, url = {http://www.kybernetika.cz/content/1999/1/69}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kybernetika/DenisLHC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nm/DouglasHP99, author = {Jim Douglas Jr. and Chieh{-}Sen Huang and Felipe Pereira}, title = {The modified method of characteristics with adjusted advection}, journal = {Numerische Mathematik}, volume = {83}, number = {3}, pages = {353--369}, year = {1999}, url = {https://doi.org/10.1007/s002110050453}, doi = {10.1007/S002110050453}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nm/DouglasHP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/GendranoHRMS99, author = {Jose Alvin G. Gendrano and Bruce C. Huang and Jim M. Rodrigue and Bongki Moon and Richard T. Snodgrass}, editor = {Masaru Kitsuregawa and Michael P. Papazoglou and Calton Pu}, title = {Parallel Algorithms for Computing Temporal Aggregates}, booktitle = {Proceedings of the 15th International Conference on Data Engineering, Sydney, Australia, March 23-26, 1999}, pages = {418--427}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICDE.1999.754958}, doi = {10.1109/ICDE.1999.754958}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/GendranoHRMS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/raid/YuillWGH99, author = {Jim Yuill and Shyhtsun Felix Wu and Fengmin Gong and Ming{-}Yuh Huang}, title = {Intrusion Detection for an On-Going Attack}, booktitle = {Recent Advances in Intrusion Detection, Second International Workshop, {RAID} 1999, West Lafayette, Indiana, USA, September 7-9, 1999}, year = {1999}, url = {http://www.raid-symposium.org/raid99/PAPERS/Yuill.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/raid/YuillWGH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/DohertyJLAGFHWS99, author = {Raymond Doherty and Guido Jim{\'{e}}nez and Fred Lee and Jonathan Alberts and Joel Goodman and Alberto Forero and Liju Huang and Peter Weishar and Mayumi Sato}, editor = {Marla Schweppe}, title = {The Fort at Mashantucket}, booktitle = {Proceedings of the 26th Annual Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 1999, Los Angeles, CA, USA, August 8-13, 1999, Electronic Art and Animation Catalog}, pages = {138}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/312379.312941}, doi = {10.1145/312379.312941}, timestamp = {Tue, 06 Nov 2018 16:59:14 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/DohertyJLAGFHWS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LauCMHASLMLNHLH98, author = {Benedict Lau and Yiu{-}Fai Chan and Alfredo Moncayo and John T. C. Ho and Mike Allen and Joe Salmon and Jonathan Liu and Manish Muthal and Cliff Lee and Tim Nguyen and Bryce Horine and Mike Leddige and Kuojim Huang and Jason Wei and Leung Yu and Richard Tarver and Yuwen Hsia and Roxanne Vu and Ely Tsern and Haw{-}Jyh Liaw and Jim Hudson and David Nguyen and Kevin S. Donnelly and Richard Crisp}, title = {A 2.6-GByte/s multipurpose chip-to-chip interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {11}, pages = {1617--1626}, year = {1998}, url = {https://doi.org/10.1109/4.726545}, doi = {10.1109/4.726545}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LauCMHASLMLNHLH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/NaphadeMFWHT98, author = {Milind R. Naphade and Rajiv Mehrotra and A. M{\"{u}}fit Ferman and Jim Warnick and Thomas S. Huang and A. Murat Tekalp}, title = {A High-Performance Shot Boundary Detection Algorithm using Multiple Cues}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Image Processing, ICIP-98, Chicago, Illinois, USA, October 4-7, 1998}, pages = {884--887}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICIP.1998.723662}, doi = {10.1109/ICIP.1998.723662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/NaphadeMFWHT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jd/HuangR97, author = {Xiangji Huang and Stephen E. Robertson}, title = {Application of probabilistic methods to Chinese}, journal = {J. Documentation}, volume = {53}, number = {1}, pages = {74--79}, year = {1997}, url = {https://doi.org/10.1108/EUM0000000007193}, doi = {10.1108/EUM0000000007193}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jd/HuangR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HuangR97, author = {Xiangji Huang and Stephen E. Robertson}, editor = {Ellen M. Voorhees and Donna K. Harman}, title = {Okapi Chinese Text Retrieval Experiments at {TREC-6}}, booktitle = {Proceedings of The Sixth Text REtrieval Conference, {TREC} 1997, Gaithersburg, Maryland, USA, November 19-21, 1997}, series = {{NIST} Special Publication}, volume = {500-240}, pages = {137--142}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {1997}, url = {http://trec.nist.gov/pubs/trec6/papers/city\_proc\_chinese.ps}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/HuangR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/its/CollinsGH96, author = {Jason A. Collins and Jim E. Greer and Sherman X. Huang}, editor = {Claude Frasson and Gilles Gauthier and Alan M. Lesgold}, title = {Adaptive Assessment Using Granularity Hierarchies and Bayesian Nets}, booktitle = {Intelligent Tutoring Systems, Third International Conference, {ITS} '96, Montr{\'{e}}al, Canada, June 12-14, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1086}, pages = {569--577}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61327-7\_156}, doi = {10.1007/3-540-61327-7\_156}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/its/CollinsGH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/Hancock-BeaulieuGHRWW96, author = {Micheline Hancock{-}Beaulieu and Mike Gatford and Xiangji Huang and Stephen E. Robertson and Steve Walker and P. W. Williams}, editor = {Ellen M. Voorhees and Donna K. Harman}, title = {Okapi at {TREC-5}}, booktitle = {Proceedings of The Fifth Text REtrieval Conference, {TREC} 1996, Gaithersburg, Maryland, USA, November 20-22, 1996}, series = {{NIST} Special Publication}, volume = {500-238}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {1996}, url = {http://trec.nist.gov/pubs/trec5/papers/city.procpaper.ps.gz}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/Hancock-BeaulieuGHRWW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/FlicknerSAHDGHLPSY95, author = {Myron Flickner and Harpreet S. Sawhney and Jonathan Ashley and Qian Huang and Byron Dom and Monika Gorkani and Jim Hafner and Denis Lee and Dragutin Petkovic and David Steele and Peter Yanker}, title = {Query by Image and Video Content: The {QBIC} System}, journal = {Computer}, volume = {28}, number = {9}, pages = {23--32}, year = {1995}, url = {https://doi.org/10.1109/2.410146}, doi = {10.1109/2.410146}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/FlicknerSAHDGHLPSY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/KellerGSCH95, author = {James M. Keller and Paul D. Gader and Ozy Sjahputera and Charles William Caldwell and Tim Hui{-}Ming Huang}, title = {A Fuzzy Logic Rule-Based System for Chromosome Recognition}, booktitle = {Eighth Annual {IEEE} Symposium on Computer-Based Medical Systems (CBMS'95), June 9-10, 1995, Lubbock, Texas, {USA}}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/CBMS.1995.465438}, doi = {10.1109/CBMS.1995.465438}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/KellerGSCH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/umuai/HuangMGN91, author = {Xueming Huang and Gordon I. McCalla and Jim E. Greer and Eric Neufeld}, title = {Revising Deductive Knowledge and Stereotypical Knowledge in a Student Model}, journal = {User Model. User Adapt. Interact.}, volume = {1}, number = {2}, pages = {87--115}, year = {1991}, url = {https://doi.org/10.1007/BF00158953}, doi = {10.1007/BF00158953}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/umuai/HuangMGN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/BezdekBH86, author = {James C. Bezdek and Gautam Biswas and Li{-}ya Huang}, title = {Transitive Closures of Fuzzy Thesauri for Information-Retrieval Systems}, journal = {Int. J. Man Mach. Stud.}, volume = {25}, number = {3}, pages = {343--356}, year = {1986}, url = {https://doi.org/10.1016/S0020-7373(86)80065-7}, doi = {10.1016/S0020-7373(86)80065-7}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/BezdekBH86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HuangOL81, author = {Tsou{-}Chiang Huang and Jim K. Omura and William C. Lindsey}, title = {Analysis of Coherent Satellite Communication Systems in the Presence of Interference and Noise}, journal = {{IEEE} Trans. Commun.}, volume = {29}, number = {5}, pages = {593--604}, year = {1981}, url = {https://doi.org/10.1109/TCOM.1981.1095034}, doi = {10.1109/TCOM.1981.1095034}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HuangOL81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HuangOB80, author = {Tsou{-}Chiang Huang and Jim K. Omura and Leon Biederman}, title = {Bit Error Rate Comparison of Repeater and Regenerative Communication Satellites}, journal = {{IEEE} Trans. Commun.}, volume = {28}, number = {7}, pages = {1088--1097}, year = {1980}, url = {https://doi.org/10.1109/TCOM.1980.1094758}, doi = {10.1109/TCOM.1980.1094758}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HuangOB80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/LeavenworthHESK76, author = {Burt M. Leavenworth and Raymond J. Hookway and George W. Ernst and Thomas A. Standish and Dennis F. Kibler and James M. Neighbors and J. C. Huang}, editor = {John A. Gosden and Olin G. Johnson}, title = {{SICSOFT} (Paper Session)}, booktitle = {Proceedings of the 1976 Annual Conference, Houston, Texas, USA, October 20-22, 1976}, pages = {498}, publisher = {{ACM}}, year = {1976}, url = {https://doi.org/10.1145/800191.805649}, doi = {10.1145/800191.805649}, timestamp = {Wed, 14 Apr 2021 11:40:49 +0200}, biburl = {https://dblp.org/rec/conf/acm/LeavenworthHESK76.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.