default search action
Search dblp for Publications
export results for "Hyun-Tae Choi"
@article{DBLP:journals/access/KimOCK24, author = {Dong{-}Jin Kim and Tae{-}Hyun Oh and Jinsoo Choi and In So Kweon}, title = {Semi-Supervised Image Captioning by Adversarially Propagating Labeled Data}, journal = {{IEEE} Access}, volume = {12}, pages = {93580--93592}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3423790}, doi = {10.1109/ACCESS.2024.3423790}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimOCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkIKLKSKC24, author = {Dong{-}Sik Park and Dong{-}Hyun Im and Yun{-}Jung Kim and Sung Sam Lee and Byung{-}Jae Kang and Jae{-}Hong Seo and Taewoong Koo and Byoungdeog Choi}, title = {Novel Dual Work Function Buried Channel Array Transistor Process Design for Sub-17 nm {DRAM}}, journal = {{IEEE} Access}, volume = {12}, pages = {63049--63065}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3371508}, doi = {10.1109/ACCESS.2024.3371508}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkIKLKSKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangCLLMLCBKKWK24, author = {Tae Jun Yang and Jung Rae Cho and Hyunkyu Lee and Hee Jun Lee and Seung Joo Myoung and Da Yeon Lee and Sung{-}Jin Choi and Jong{-}Ho Bae and Dong Myong Kim and Changwook Kim and Jiyong Woo and Dae Hwan Kim}, title = {Improvement of the Symmetry and Linearity of Synaptic Weight Update by Combining the InGaZnO Synaptic Transistor and Memristor}, journal = {{IEEE} Access}, volume = {12}, pages = {28531--28537}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366224}, doi = {10.1109/ACCESS.2024.3366224}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangCLLMLCBKKWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/ChoiLKBOK24, author = {Seung{-}Hyun Choi and Dong{-}Hee Lee and Eun{-}Su Kim and Young{-}Mok Bae and Young{-}Chan Oh and Kwang{-}Jae Kim}, title = {Development of a spatial dimension-based taxonomy for classifying the defect patterns in a wafer bin map}, journal = {Adv. Eng. Informatics}, volume = {60}, pages = {102540}, year = {2024}, url = {https://doi.org/10.1016/j.aei.2024.102540}, doi = {10.1016/J.AEI.2024.102540}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/ChoiLKBOK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ParkJLLSCCY24, author = {Hyun{-}Cheol Park and Yunsang Joo and O{-}Joun Lee and Kunkyu Lee and Tai{-}Kyong Song and Chang Choi and Moon Hyung Choi and Changhan Yoon}, title = {Automated classification of liver fibrosis stages using ultrasound imaging}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {36}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01209-4}, doi = {10.1186/S12880-024-01209-4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ParkJLLSCCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ShinKSJLCL24, author = {Changmin Shin and Taehee Kwon and Jaeyong Song and Jae Hyung Ju and Frank Liu and YeonKyu Choi and Jinho Lee}, title = {A Case for In-Memory Random Scatter-Gather for Fast Graph Processing}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {23}, number = {1}, pages = {73--77}, year = {2024}, url = {https://doi.org/10.1109/LCA.2024.3376680}, doi = {10.1109/LCA.2024.3376680}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/ShinKSJLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/NaLCYCLB24, author = {Minsoo Na and Jaehyun Lee and Giwan Choi and Takki Yu and Jeongsik Choi and Jinyoung Lee and Saewoong Bahk}, title = {Operator's Perspective on 6G: 6G Services, Vision, and Spectrum}, journal = {{IEEE} Commun. Mag.}, volume = {62}, number = {8}, pages = {178--184}, year = {2024}, url = {https://doi.org/10.1109/MCOM.001.2400060}, doi = {10.1109/MCOM.001.2400060}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/NaLCYCLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HoTCLBKLJCC24, author = {Thao Thi Ho and Minh Tam Tran and Xinguang Cui and Ching{-}Long Lin and Stephen Baek and Woojin Kim and Chang Hyun Lee and Gong Yong Jin and Kum Ju Chae and Sanghun Choi}, title = {Human-airway surface mesh smoothing based on graph convolutional neural networks}, journal = {Comput. Methods Programs Biomed.}, volume = {246}, pages = {108061}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108061}, doi = {10.1016/J.CMPB.2024.108061}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/HoTCLBKLJCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimKKHJCKL24, author = {Iljeok Kim and Sung Wook Kim and Jeongsan Kim and Hyunsuk Huh and Iljoo Jeong and Taegyu Choi and Jeongchan Kim and Seungchul Lee}, title = {Single domain generalizable and physically interpretable bearing fault diagnosis for unseen working conditions}, journal = {Expert Syst. Appl.}, volume = {241}, pages = {122455}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122455}, doi = {10.1016/J.ESWA.2023.122455}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimKKHJCKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeCK24, author = {Jaehyung Lee and Yoonseo Choi and Jinhee Kim}, title = {A multi-task deep learning framework for forecasting sparse demand of demand responsive transit}, journal = {Expert Syst. Appl.}, volume = {250}, pages = {123833}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.123833}, doi = {10.1016/J.ESWA.2024.123833}, timestamp = {Sun, 26 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeCLDL24, author = {Hongju Lee and Sung Il Choi and Sang Hyun Lee and M{\'{e}}rouane Debbah and Inkyu Lee}, title = {Distributed Task Offloading in Mobile-Edge Computing With Virtual Machines}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {13}, pages = {24083--24097}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3388452}, doi = {10.1109/JIOT.2024.3388452}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeCLDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/YoonCYJ24, author = {Meehyun Yoon and Koun Choi and Seonghye Yoon and Il{-}Hyun Jo}, title = {Task type matters: The impact of virtual reality training on training performance}, journal = {J. Comput. Assist. Learn.}, volume = {40}, number = {1}, pages = {205--218}, year = {2024}, url = {https://doi.org/10.1111/jcal.12874}, doi = {10.1111/JCAL.12874}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcal/YoonCYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhnSKKKLGLJKCPLBMLLBO24, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Dong{-}Hun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Joo{-}Youn Lim and Daihyun Lim and Seung{-}Jun Bae and Tae{-}Young Oh}, title = {A 1.01-V 8.5-Gb/s/pin 16-Gb LPDDR5x {SDRAM} With Advanced {I/O} Circuitry for High-Speed and Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3479--3487}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3396615}, doi = {10.1109/JSSC.2024.3396615}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AhnSKKKLGLJKCPLBMLLBO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCRPLKJKYIYCCK24, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4 ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3307--3316}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3430990}, doi = {10.1109/JSSC.2024.3430990}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCRPLKJKYIYCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ChoiKKLRKY24, author = {Joon Yul Choi and Hyungsu Kim and Jin Kuk Kim and In Sik Lee and Ik Hee Ryu and Jung Soo Kim and Tae Keun Yoo}, title = {Deep learning prediction of steep and flat corneal curvature using fundus photography in post-COVID telemedicine era}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {2}, pages = {449--463}, year = {2024}, url = {https://doi.org/10.1007/s11517-023-02952-6}, doi = {10.1007/S11517-023-02952-6}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/ChoiKKLRKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/ParkYLPC24, author = {Sangbeom Park and Taerim Yoon and Joonhyung Lee and Sunghyun Park and Sungjoon Choi}, title = {Quality-diversity based semi-autonomous teleoperation using reinforcement learning}, journal = {Neural Networks}, volume = {179}, pages = {106543}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2024.106543}, doi = {10.1016/J.NEUNET.2024.106543}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/ParkYLPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/YeBinCKKO24, author = {Moon Ye{-}Bin and Dongmin Choi and Yongjin Kwon and Junsik Kim and Tae{-}Hyun Oh}, title = {ENInst: Enhancing weakly-supervised low-shot instance segmentation}, journal = {Pattern Recognit.}, volume = {145}, pages = {109888}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2023.109888}, doi = {10.1016/J.PATCOG.2023.109888}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/YeBinCKKO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiHCCSKO24, author = {Cheol{-}Ho Choi and Joonhwan Han and Jeong Woo Cha and Hyunmin Choi and Jungho Shin and Taehyun Kim and Hyun Woo Oh}, title = {Contrast Enhancement Method Using Region-Based Dynamic Clipping Technique for LWIR-Based Thermal Camera of Night Vision Systems}, journal = {Sensors}, volume = {24}, number = {12}, pages = {3829}, year = {2024}, url = {https://doi.org/10.3390/s24123829}, doi = {10.3390/S24123829}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiHCCSKO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24, author = {Duccio Abbaneo and Shakeel Ahmad and Raffaele Albanese and Andrey Alexandrov and Fabio Alicante and Konstantin Androsov and Anna Anokhina and Takashi Asada and Chayanit Asawatangtrakuldee and Marco A. Ayala Torres and Carlo Battilana and Aurelio Bay and Alcide Bertocco and Christopher Betancourt and Daniel Bick and Riddhi Biswas and Alberto Blanco Castro and Vincenzo Boccia and Mariyan Bogomilov and Daniele Bonacorsi and Walter Bonivento and Paula Bordalo and Alexey Boyarsky and Salvatore Buontempo and Mario Campanelli and TizianoCamporesi and Vincenzo Canale and Andrea Castro and Daniele Centanni and Francesco Cerutti and Mikhail Chernyavskiy and Ki Young Choi and Serhii Cholak and Federico Cindolo and Matei Climescu and Andrew Conaboy and Gaetano Marco Dallavalle and Daniele Davino and Paul de Bryas and Giovanni De Lellis and Massimiliano De Magistris and Albert De Roeck and Alvaro De R{\'{u}}jula and Marilisa De Serio and Dario De Simone and Antonia Di Crescenzo and Donato Di Ferdinando and Roberto Don{\`{a}} and Onur Durhan and Fabrizio Fabbri and Filips Fedotovs and Martina Ferrillo and Massimiliano Ferro{-}Luzzi and Rosa Anna Fini and Antimo Fiorillo and Raffaele Fresa and Wolfgang Funk and Francisca M. Garay Walls and Artem Golovatiuk and Andrey Golutvin and Elena Graverini and Ali M. Guler and Vasilisa Guliaeva and Guido J. Haefeli and Caren Hagner and Juan C. Helo Herrera and Eric van Herwijnen and Paolo Iengo and Simona Ilieva and Angelo Infantino and Antonio Iuliano and Richard Jacobsson and Cagin Kamiscioglu and Anni M. Kauniskangas and Emil Khalikov and Sung Hyun Kim and Yeong Gyun Kim and Guennadii Klioutchnikov and Masahiro Komatsu and Nina Konovalova and Sergey Kuleshov and Lukasz Krzempek and Heiko M. Lacker and Oliver Lantwin and Federico Lasagni Manghi and Adele Lauria and Kang Young Lee and Kyong Sei Lee and Sergio Lo Meo and Vincenzo Paolo Loschiavo and Stefano Marcellini and Annarita Margiotta and Anna Mascellani and Filippo Mei and Andrea Miano and A. Mikulenko and Maria Cristina Montesi and Francesco Luigi Navarria and Waraporn Nuntiyakul and Satoru Ogawa and Natalia Okateva and Maksym Ovchynnikov and Giulia Paggi and Byungdo Park and Alessandra Pastore and Andrea Perrotta and Dmitriy Podgrudkov and Natalia Polukhina and Andrea Prota and Antonio Quercia and S{\'{e}}rgio Ramos and Anupama Reghunath and Tatiana Roganova and Federico Ronchetti and Tiziano Rovelli and Oleg Ruchayskiy and Thomas Ruf and Marta Sabate Gilarte and Zhakypbek Sadykov and Mikhail Samoilov and Valentino Scalera and Walter Schmidt{-}Parzefall and Olivier Schneider and Givi Sekhniaidze and Nicola Serra and Mikhail Shaposhnikov and Vladimir Shevchenko and Tatiana Shchedrina and Lesya Shchutska and Hiroshi Shibuya and Saverio Simone and Gian P. Siroli and Gabriele Sirri and Guilherme Soares and Jong Yoon Sohn and Orlando J. Soto Sandoval and Maurizio Spurio and Nikolai Starkov and Jan Steggemann and Inar Timiryasov and Valeri Tioukov and Francesco Tramontano and Carina Trippl and Eduard Ursov and Andrey Ustyuzhanin and Galina Vankova{-}Kirilova and Gerardo Vasquez and Vassil Verguilov and Nuno Viegas Guerreiro Leonardo and Cristovao Vilela and Ciro Visone and Rainer Wanke and Eda Yaman and Zhibin Yang and Ceren Yazici and Chun Sil Yoon and Ettore Zaffaroni and Jilberto Zamora{-}Sa{\'{a}}}, title = {Results and Perspectives from the First Two Years of Neutrino Physics at the {LHC} by the SND@LHC Experiment}, journal = {Symmetry}, volume = {16}, number = {6}, pages = {702}, year = {2024}, url = {https://doi.org/10.3390/sym16060702}, doi = {10.3390/SYM16060702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/AhnPPCL24, author = {Woo{-}Jin Ahn and So{-}Yeon Park and Dong{-}Sung Pae and Hyun Duck Choi and Myo{-}Taeg Lim}, title = {Bridging Viewpoints in Cross-View Geo-Localization With Siamese Vision Transformer}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3429570}, doi = {10.1109/TGRS.2024.3429570}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/AhnPPCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tismir/FabbroULCRLGRHRSDLYCMSSHGHKLDZLM24, author = {Giorgio Fabbro and Stefan Uhlich and Chieh{-}Hsin Lai and Woosung Choi and Marco A. Mart{\'{\i}}nez Ram{\'{\i}}rez and Wei{-}Hsiang Liao and Igor Gadelha and Geraldo Ramos and Eddie Hsu and Hugo Rodrigues and Fabian{-}Robert St{\"{o}}ter and Alexandre D{\'{e}}fossez and Yi Luo and Jianwei Yu and Dipam Chakraborty and Sharada P. Mohanty and Roman A. Solovyev and Alexander L. Stempkovskiy and Tatiana Habruseva and Nabarun Goswami and Tatsuya Harada and Minseok Kim and Jun Hyung Lee and Yuanliang Dong and Xinran Zhang and Jiafeng Liu and Yuki Mitsufuji}, title = {The Sound Demixing Challenge 2023 - Music Demixing Track}, journal = {Trans. Int. Soc. Music. Inf. Retr.}, volume = {7}, number = {1}, pages = {63--84}, year = {2024}, url = {https://doi.org/10.5334/tismir.171}, doi = {10.5334/TISMIR.171}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tismir/FabbroULCRLGRHRSDLYCMSSHGHKLDZLM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LeePCL24, author = {Yong Jun Lee and Dong Sung Pae and Hyun Duck Choi and Myo Taeg Lim}, title = {Extended Dissipative Output-Feedback Controller for Autonomous Vehicle Path-Following With Steering Delays}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {9}, pages = {11143--11155}, year = {2024}, url = {https://doi.org/10.1109/TITS.2024.3375885}, doi = {10.1109/TITS.2024.3375885}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/LeePCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/LimCKPSCPLKLH24, author = {Hyunseung Lim and Ji Yong Cho and Taewan Kim and Jeongeon Park and Hyungyu Shin and Seulgi Choi and Sunghyun Park and Kyungjae Lee and Juho Kim and Moontae Lee and Hwajung Hong}, editor = {Anna Vallg{\aa}rda and Li J{\"{o}}nsson and Jonas Fritsch and Sarah Fdili Alaoui and Christopher A. Le Dantec}, title = {Co-Creating Question-and-Answer Style Articles with Large Language Models for Research Promotion}, booktitle = {Designing Interactive Systems Conference, {DIS} 2024, {IT} University of Copenhagen, Denmark, July 1-5, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643834.3660705}, doi = {10.1145/3643834.3660705}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/LimCKPSCPLKLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/0006LHPKZ24, author = {Won{-}Seok Choi and Hyundo Lee and Dong{-}Sig Han and Junseok Park and Heeyeon Koo and Byoung{-}Tak Zhang}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{DUEL:} Duplicate Elimination on Active Memory for Self-Supervised Class-Imbalanced Learning}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {11579--11587}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i10.29040}, doi = {10.1609/AAAI.V38I10.29040}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/0006LHPKZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeJJCYBBH24, author = {Seung Won Lee and Tae Hee Jo and Semin Jin and Jiin Choi and Kyungwon Yun and Sergio Bromberg and Seonghoon Ban and Kyung Hoon Hyun}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {The Impact of Sketch-guided vs. Prompt-guided 3D Generative AIs on the Design Exploration Process}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1057:1--1057:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642218}, doi = {10.1145/3613904.3642218}, timestamp = {Mon, 13 May 2024 09:54:03 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeJJCYBBH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AhnYCL24, author = {Woo{-}Jin Ahn and Geun{-}Yeong Yang and Hyun Duck Choi and Myo{-}Taeg Lim}, title = {Style Blind Domain Generalized Semantic Segmentation via Covariance Alignment and Semantic Consistence Contrastive Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {3616--3626}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.00347}, doi = {10.1109/CVPR52733.2024.00347}, timestamp = {Wed, 02 Oct 2024 09:45:16 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AhnYCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeLLKTYLWYDZ22, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Ioannis Katsavounidis and Radu Timofte and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Zhiyuan Li and Hao Wei and Chenyang Ge and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Menghan Zhou and Yiqiang Yan and Kihwan Yoon and Ganzorig Gankhuyag and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Hyeon{-}Cheol Moon and Tae Hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024 - Workshops, Seattle, WA, USA, June 17-18, 2024}, pages = {5838--5856}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPRW63382.2024.00592}, doi = {10.1109/CVPRW63382.2024.00592}, timestamp = {Thu, 10 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeLLKTYLWYDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RyuKACSKKHCH24, author = {Hyunwoo Ryu and Jiwoo Kim and Hyunseok An and Junwoo Chang and Joohwan Seo and Taehan Kim and Yubin Kim and Chaewon Hwang and Jongeun Choi and Roberto Horowitz}, title = {Diffusion-EDFs: Bi-Equivariant Denoising Generative Modeling on {SE(3)} for Visual Robotic Manipulation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {18007--18018}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.01705}, doi = {10.1109/CVPR52733.2024.01705}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/RyuKACSKKHCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoSCCK24, author = {Handong Cho and Hyunbae Seo and Sehyeon Chung and Kyu{-}Myung Choi and Taewhan Kim}, title = {Standard Cell Layout Generator Amenable to Design Technology Co-Optimization in Advanced Process Nodes}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/DATE58400.2024.10546524}, doi = {10.23919/DATE58400.2024.10546524}, timestamp = {Mon, 07 Oct 2024 17:01:09 +0200}, biburl = {https://dblp.org/rec/conf/date/ChoSCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiH24, author = {Kyu Hyun Choi and Taeho Hwang}, title = {Building an Inference Server Platform for Large Language Models Using Dataflow {PIM} Platform}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457213}, doi = {10.1109/ICEIC61013.2024.10457213}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangLKYYCKKS24, author = {Tae Eun Jang and Kyu Hyun Lee and Gi Yeol Kim and Su Yeon Yun and Da{-}Hyeon Youn and Hyunggu Choi and Jihyang Kim and Soo Youn Kim and Minkyu Song}, title = {Compute-in-Memory with {SAR} {ADC} and 2T1C {DRAM} for {MAC} Operations}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457128}, doi = {10.1109/ICEIC61013.2024.10457128}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JangLKYYCKKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ArmandBKHAC024, author = {Tagne Poupi Theodore Armand and Subrata Bhattacharjee and Hyun{-}Joong Kim and Ali Hussain and Sikandar Ali and Heung{-}Kook Choi and Hee{-}Cheol Kim}, title = {Vision Transformer-based Model for Gastric Cancer Detection and Classification using Weakly Annotated Histopathological Images}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {413--418}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471994}, doi = {10.23919/ICACT60172.2024.10471994}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/ArmandBKHAC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimCCLC24, author = {Taehoon Kim and Jongwook Choi and Hyunjin Cho and HyoungJun Lim and Jongwon Choi}, title = {Domain Generalization for Face Forgery Detection by Style Transfer}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444215}, doi = {10.1109/ICCE59016.2024.10444215}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimCCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkCSPCL24, author = {Chanhyeok Park and Seongbong Choi and Yongseok Son and Jeongyeup Paek and Sungrae Cho and Hyung Tae Lee}, title = {New RSA-Based Public Key Encryption with Authorized Equality Test}, booktitle = {International Conference on Information Networking, {ICOIN} 2024, Ho Chi Minh City, Vietnam, January 17-19, 2024}, pages = {299--304}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICOIN59985.2024.10572164}, doi = {10.1109/ICOIN59985.2024.10572164}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ParkCSPCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimSACK24, author = {Taeyeon Kim and Eunseok Song and Seongbin An and Hyunjin Choi and Kyoungchul Kong}, title = {Leaf-Inspired {FSR} Array and Insole-Type Sensor Module for Mobile Three-Dimensional Ground Reaction Force Estimation}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {17459--17464}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610058}, doi = {10.1109/ICRA57147.2024.10610058}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimSACK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KwonKPSLLAKREKJCCKLYC24, author = {Youngjae Kwon and Won{-}Tae Koo and Sangsu Park and Dong Ik Suh and Gunhee Lee and Hyung Dong Lee and Youngbae Ahn and Dohee Kim and Seungwook Ryu and Hoseok Em and Seokjoon Kang and Chang Won Jeong and Junho Cheon and Hyejung Choi and Soo Gil Kim and Seho Lee and Jaeyun Yi and Seonyong Cha}, title = {Improvement of {MAC} Accuracy using Oxygen Diffusion Barriers in Resistive Synaptic Cell Arrays}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536974}, doi = {10.1109/IMW59701.2024.10536974}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KwonKPSLLAKREKJCCKLYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KwoYCYKPJK24, author = {Hyuk Je Kwo and Hyung Suk Yu and Bongman Choi and Jinseon Yeom and Hyungsuk Kim and Tae{-}Min Park and Jaeyong Jeong and Eun{-}Kyoung Kim}, title = {A Valid Experimental Design of the Lifetime Prediction for {NAND} Cell Oxide}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529448}, doi = {10.1109/IRPS48228.2024.10529448}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KwoYCYKPJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KimPBCK24, author = {Suwan Kim and Hyunbum Park and Kyeonghyeon Baek and Kyumyung Choi and Taewhan Kim}, editor = {Iris Hui{-}Ru Jiang and Gracieli Posser}, title = {Methodology of Resolving Design Rule Checking Violations Coupled with Fully Compatible Prediction Model}, booktitle = {Proceedings of the 2024 International Symposium on Physical Design, {ISPD} 2024, Taipei, Taiwan, March 12-15, 2024}, pages = {103--111}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626184.3633324}, doi = {10.1145/3626184.3633324}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/KimPBCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoPYKCKKKCLSJK24, author = {Jeonghoon Cho and You Jang Pyeon and Junyeong Yeom and Hyunjoong Kim and Sanghyeon Cho and Yonggi Kim and Taejung Kim and Jong{-}Hyun Kwak and Geonjun Choi and Yoonsik Lee and Heungjoo Shin and Hoon Eui Jeong and Jae Joon Kim}, title = {33.7 An Adhesive Interposer-Based Reconfigurable Multi-Sensor Patch Interface with On-Chip Application Tunable Time-Domain Feature Extraction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {554--556}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454293}, doi = {10.1109/ISSCC49657.2024.10454293}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoPYKCKKKCLSJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/llm4eval/KimCYLPLKK24, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, editor = {Clemencia Siro and Mohammad Aliannejadi and Hossein A. Rahmani and Nick Craswell and Charles L. A. Clarke and Guglielmo Faggioli and Bhaskar Mitra and Paul Thomas and Emine Yilmaz}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, booktitle = {Proceedings of The First Workshop on Large Language Models for Evaluation in Information Retrieval (LLM4Eval 2024) co-located with 10th International Conference on Online Publishing {(SIGIR} 2024), Washington D.C., USA, July 18, 2024}, series = {{CEUR} Workshop Proceedings}, volume = {3752}, pages = {66--91}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3752/paper5.pdf}, timestamp = {Wed, 16 Oct 2024 17:10:41 +0200}, biburl = {https://dblp.org/rec/conf/llm4eval/KimCYLPLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/ChoiN24, author = {Kyu Hyun Choi and Seung{-}hoon Na}, editor = {Atul Kr. Ojha and A. Seza Dogru{\"{o}}z and Harish Tayyar Madabushi and Giovanni Da San Martino and Sara Rosenthal and Aiala Ros{\'{a}}}, title = {GeminiPro at SemEval-2024 Task 9: BrainTeaser on Gemini}, booktitle = {Proceedings of the 18th International Workshop on Semantic Evaluation, SemEval@NAACL 2024, Mexico City, Mexico, June 20-21, 2024}, pages = {1602--1606}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.semeval-1.228}, doi = {10.18653/V1/2024.SEMEVAL-1.228}, timestamp = {Thu, 12 Sep 2024 13:29:32 +0200}, biburl = {https://dblp.org/rec/conf/semeval/ChoiN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ParkKYHYCCC24, author = {Chung Park and Taesan Kim and Hyungjun Yoon and Junui Hong and Yelim Yu and Mincheol Cho and Minsung Choi and Jaegul Choo}, editor = {Grace Hui Yang and Hongning Wang and Sam Han and Claudia Hauff and Guido Zuccon and Yi Zhang}, title = {Pacer and Runner: Cooperative Learning Framework between Single- and Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 47th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2024, Washington DC, USA, July 14-18, 2024}, pages = {2071--2080}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626772.3657710}, doi = {10.1145/3626772.3657710}, timestamp = {Sun, 06 Oct 2024 21:14:16 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ParkKYHYCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/0002KCP24, author = {Jinwoo Choi and Minju Kang and Hyun{-}Taek Choi and Jeonghong Park}, title = {Preliminary Results on Cooperative Operation of {ASV-AUV} using Acoustic based Relative Localization\({}^{\mbox{*}}\)}, booktitle = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, pages = {691--694}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/UR61395.2024.10597539}, doi = {10.1109/UR61395.2024.10597539}, timestamp = {Wed, 14 Aug 2024 15:52:44 +0200}, biburl = {https://dblp.org/rec/conf/urai/0002KCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/MarsimCJRKKM24, author = {Kevin Christiansen Marsim and Junho Choi and Myeongwoo Jeong and Kihwan Ryoo and Jeewon Kim and Taehyun Kim and Hyun Myung}, title = {Multi-Robot Cooperative Localization with Single {UWB} Error Correction}, booktitle = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, pages = {539--544}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/UR61395.2024.10597444}, doi = {10.1109/UR61395.2024.10597444}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/MarsimCJRKKM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08963, author = {Won{-}Seok Choi and Hyundo Lee and Dong{-}Sig Han and Junseok Park and Heeyeon Koo and Byoung{-}Tak Zhang}, title = {{DUEL:} Duplicate Elimination on Active Memory for Self-Supervised Class-Imbalanced Learning}, journal = {CoRR}, volume = {abs/2402.08963}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08963}, doi = {10.48550/ARXIV.2402.08963}, eprinttype = {arXiv}, eprint = {2402.08963}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10076, author = {Taesu Kim and Jongho Lee and Daehyun Ahn and Sarang Kim and Jiwoong Choi and Minkyu Kim and Hyungjun Kim}, title = {{QUICK:} Quantization-aware Interleaving and Conflict-free Kernel for efficient {LLM} inference}, journal = {CoRR}, volume = {abs/2402.10076}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10076}, doi = {10.48550/ARXIV.2402.10076}, eprinttype = {arXiv}, eprint = {2402.10076}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10076.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06122, author = {Woo{-}Jin Ahn and Geun{-}Yeong Yang and Hyun Duck Choi and Myo{-}Taeg Lim}, title = {Style Blind Domain Generalized Semantic Segmentation via Covariance Alignment and Semantic Consistence Contrastive Learning}, journal = {CoRR}, volume = {abs/2403.06122}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06122}, doi = {10.48550/ARXIV.2403.06122}, eprinttype = {arXiv}, eprint = {2403.06122}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06122.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00285, author = {Jihun Kim and Dahyun Kim and Hyungrok Jung and Taeil Oh and Jonghyun Choi}, title = {Long-Tailed Recognition on Binary Networks by Calibrating {A} Pre-trained Model}, journal = {CoRR}, volume = {abs/2404.00285}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00285}, doi = {10.48550/ARXIV.2404.00285}, eprinttype = {arXiv}, eprint = {2404.00285}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00376, author = {Hyunjae Kim and Hyeon Hwang and Jiwoo Lee and Sihyeon Park and Dain Kim and Taewhoo Lee and Chanwoong Yoon and Jiwoong Sohn and Donghee Choi and Jaewoo Kang}, title = {Small Language Models Learn Enhanced Reasoning Skills from Medical Textbooks}, journal = {CoRR}, volume = {abs/2404.00376}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00376}, doi = {10.48550/ARXIV.2404.00376}, eprinttype = {arXiv}, eprint = {2404.00376}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08672, author = {Hwiyeol Jo and Taiwoo Park and Nayoung Choi and Changbong Kim and Ohjoon Kwon and Donghyeon Jeon and Hyunwoo Lee and Eui{-}Hyeon Lee and Kyoungho Shin and Sun Suk Lim and Kyungmi Kim and Jihye Lee and Sun Kim}, title = {Taxonomy and Analysis of Sensitive User Queries in Generative {AI} Search}, journal = {CoRR}, volume = {abs/2404.08672}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08672}, doi = {10.48550/ARXIV.2404.08672}, eprinttype = {arXiv}, eprint = {2404.08672}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10199, author = {Huihan Li and Liwei Jiang and Jena D. Huang and Hyunwoo Kim and Sebastin Santy and Taylor Sorensen and Bill Yuchen Lin and Nouha Dziri and Xiang Ren and Yejin Choi}, title = {{CULTURE-GEN:} Revealing Global Cultural Perception in Language Models through Natural Language Prompting}, journal = {CoRR}, volume = {abs/2404.10199}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10199}, doi = {10.48550/ARXIV.2404.10199}, eprinttype = {arXiv}, eprint = {2404.10199}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10199.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16484, author = {Marcos V. Conde and Zhijun Lei and Wen Li and Cosmin Stejerean and Ioannis Katsavounidis and Radu Timofte and Kihwan Yoon and Ganzorig Gankhuyag and Jiangtao Lv and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhiyuan Li and Hao Wei and Chenyang Ge and Dongyang Zhang and Tianle Liu and Huaian Chen and Yi Jin and Menghan Zhou and Yiqiang Yan and Si Gao and Biao Wu and Shaoli Liu and Chengjian Zheng and Diankai Zhang and Ning Wang and Xintao Qiu and Yuanbo Zhou and Kongxian Wu and Xinwei Dai and Hui Tang and Wei Deng and Qingquan Gao and Tong Tong and Jae{-}Hyeon Lee and Ui{-}Jin Choi and Min Yan and Xin Liu and Qian Wang and Xiaoqian Ye and Zhan Du and Tiansen Zhang and Long Peng and Jiaming Guo and Xin Di and Bohao Liao and Zhibo Du and Peize Xia and Renjing Pei and Yang Wang and Yang Cao and Zhengjun Zha and Bingnan Han and Hongyuan Yu and Zhuoyuan Wu and Cheng Wan and Yuqing Liu and Haodong Yu and Jizhe Li and Zhijuan Huang and Yuan Huang and Yajun Zou and Xianyu Guan and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Hyeon{-}Cheol Moon and Tae Hyun Jeong and Yoonmo Yang and Jae{-}Gon Kim and Jinwoo Jeong and Sunjei Kim}, title = {Real-Time 4K Super-Resolution of Compressed {AVIF} Images. {AIS} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16484}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16484}, doi = {10.48550/ARXIV.2404.16484}, eprinttype = {arXiv}, eprint = {2404.16484}, timestamp = {Tue, 08 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19795, author = {Ohjoon Kwon and Donghyeon Jeon and Nayoung Choi and Gyu{-}Hwung Cho and Changbong Kim and Hyunwoo Lee and Inho Kang and Sun Kim and Taiwoo Park}, title = {{SLM} as Guardian: Pioneering {AI} Safety with Small Language Models}, journal = {CoRR}, volume = {abs/2405.19795}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19795}, doi = {10.48550/ARXIV.2405.19795}, eprinttype = {arXiv}, eprint = {2405.19795}, timestamp = {Mon, 24 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-11245, author = {Chung Park and Taesan Kim and Hyungjun Yoon and Junui Hong and Yelim Yu and Mincheol Cho and Minsung Choi and Jaegul Choo}, title = {Pacer and Runner: Cooperative Learning Framework between Single- and Cross-Domain Sequential Recommendation}, journal = {CoRR}, volume = {abs/2407.11245}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.11245}, doi = {10.48550/ARXIV.2407.11245}, eprinttype = {arXiv}, eprint = {2407.11245}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-11245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13166, author = {Hyunwoo Kim and Yoonseo Choi and Taehyun Yang and Honggu Lee and Chaneon Park and Yongju Lee and Jin Young Kim and Juho Kim}, title = {Using LLMs to Investigate Correlations of Conversational Follow-up Queries with User Satisfaction}, journal = {CoRR}, volume = {abs/2407.13166}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13166}, doi = {10.48550/ARXIV.2407.13166}, eprinttype = {arXiv}, eprint = {2407.13166}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13442, author = {Moon Ye{-}Bin and Nam Hyeon{-}Woo and Wonseok Choi and Tae{-}Hyun Oh}, title = {{BEAF:} Observing BEfore-AFter Changes to Evaluate Hallucination in Vision-language Models}, journal = {CoRR}, volume = {abs/2407.13442}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13442}, doi = {10.48550/ARXIV.2407.13442}, eprinttype = {arXiv}, eprint = {2407.13442}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13442.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-17003, author = {Dooseop Choi and Jungyu Kang and Taeg{-}Hyun An and Kyounghwan Ahn and KyoungWook Min}, title = {Progressive Query Refinement Framework for Bird's-Eye-View Semantic Segmentation from Surrounding Images}, journal = {CoRR}, volume = {abs/2407.17003}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.17003}, doi = {10.48550/ARXIV.2407.17003}, eprinttype = {arXiv}, eprint = {2407.17003}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-17003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-13751, author = {Taehyeong Kim and Hyungu Lee and Hayoung Choi}, title = {Improved identification of breakpoints in piecewise regression and its applications}, journal = {CoRR}, volume = {abs/2408.13751}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.13751}, doi = {10.48550/ARXIV.2408.13751}, eprinttype = {arXiv}, eprint = {2408.13751}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-13751.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-16213, author = {Jonggwon Park and Soobum Kim and Byungmu Yoon and Jihun Hyun and Kyoyun Choi}, title = {{M4CXR:} Exploring Multi-task Potentials of Multi-modal Large Language Models for Chest X-ray Interpretation}, journal = {CoRR}, volume = {abs/2408.16213}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.16213}, doi = {10.48550/ARXIV.2408.16213}, eprinttype = {arXiv}, eprint = {2408.16213}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-16213.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-14759, author = {Nam Hyeon{-}Woo and Moon Ye{-}Bin and Won{-}Seok Choi and Lee Hyun and Tae{-}Hyun Oh}, title = {VLM's Eye Examination: Instruct and Inspect Visual Competency of Vision Language Models}, journal = {CoRR}, volume = {abs/2409.14759}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.14759}, doi = {10.48550/ARXIV.2409.14759}, eprinttype = {arXiv}, eprint = {2409.14759}, timestamp = {Tue, 15 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-14759.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiJK23, author = {Sujin Choi and Hyundong Jin and Eunwoo Kim}, title = {Task-Aware Dynamic Model Optimization for Multi-Task Learning}, journal = {{IEEE} Access}, volume = {11}, pages = {137709--137717}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3339793}, doi = {10.1109/ACCESS.2023.3339793}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSH23a, author = {Hyun{-}Tae Choi and Bong{-}Soo Sohn and Byung{-}Woo Hong}, title = {Unsupervised Image to Image Translation With Additional Mask}, journal = {{IEEE} Access}, volume = {11}, pages = {110522--110529}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3322146}, doi = {10.1109/ACCESS.2023.3322146}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiSH23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HwangBHKKC23, author = {Dong Hwan Hwang and So Hyeon Bak and Tae{-}Jun Ha and Yoon Kim and Woojin Kim and Hyun{-}Soo Choi}, title = {Multi-View Computed Tomography Network for Osteoporosis Classification}, journal = {{IEEE} Access}, volume = {11}, pages = {22297--22306}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3252361}, doi = {10.1109/ACCESS.2023.3252361}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HwangBHKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungCSRK23, author = {Myung{-}Suk Jung and Jae{-}Hyun Choi and Min{-}Sup Song and Jin{-}Eep Roh and Kyung{-}Tae Kim}, title = {Design of a Hybrid Radar Sensor to Monitor the Behavior of a Projectile in a Circular Tube}, journal = {{IEEE} Access}, volume = {11}, pages = {123650--123658}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3329681}, doi = {10.1109/ACCESS.2023.3329681}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungCSRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KooPCK23, author = {Hyungjoon Koo and Soyeon Park and Daejin Choi and Taesoo Kim}, title = {Binary Code Representation With Well-Balanced Instruction Normalization}, journal = {{IEEE} Access}, volume = {11}, pages = {29183--29198}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3259481}, doi = {10.1109/ACCESS.2023.3259481}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KooPCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeePCL23, author = {Yong Jun Lee and Dong Sung Pae and Hyun Duck Choi and Myo Taeg Lim}, title = {Sampled-Data L\({}_{\mbox{2}}\)-L\({}_{\mbox{{\(\infty\)}}}\) Filter-Based Fuzzy Control for Active Suspensions}, journal = {{IEEE} Access}, volume = {11}, pages = {21068--21080}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3250643}, doi = {10.1109/ACCESS.2023.3250643}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeePCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKLJCC23, author = {Jeonghong Park and Minju Kang and Yeongjun Lee and Jongdae Jung and Hyun{-}Taek Choi and Jinwoo Choi}, title = {Multiple Autonomous Surface Vehicles for Autonomous Cooperative Navigation Tasks in a Marine Environment: Development and Preliminary Field Tests}, journal = {{IEEE} Access}, volume = {11}, pages = {36203--36217}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3262985}, doi = {10.1109/ACCESS.2023.3262985}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKLJCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KongLKLKCKJKS23, author = {Joon Seok Kong and Kang Hyun Lee and Oh Hyun Kim and Hee Young Lee and Chan Young Kang and Dooruh Choi and Sang Chul Kim and Hoyeon Jeong and Dae Ryong Kang and Tae{-}Eung Sung}, title = {Machine learning-based injury severity prediction of level 1 trauma center enrolled patients associated with car-to-car crashes in Korea}, journal = {Comput. Biol. Medicine}, volume = {153}, pages = {106393}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2022.106393}, doi = {10.1016/J.COMPBIOMED.2022.106393}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KongLKLKCKJKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/LeeKCBPOK23, author = {Dong{-}Hee Lee and Eun{-}Su Kim and Seung{-}Hyun Choi and Young{-}Mok Bae and Jong{-}Bum Park and Young{-}Chan Oh and Kwang{-}Jae Kim}, title = {Development of taxonomy for classifying defect patterns on wafer bin map using Bin2Vec and clustering methods}, journal = {Comput. Ind.}, volume = {152}, pages = {104005}, year = {2023}, url = {https://doi.org/10.1016/j.compind.2023.104005}, doi = {10.1016/J.COMPIND.2023.104005}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/LeeKCBPOK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KwonBCJNGCKCLHB23, author = {Oh Kwang Kwon and In Hyuk Bang and So Young Choi and Ju Mi Jeon and Ann{-}Yae Na and Yan Gao and Sam Seok Cho and Sung Hwan Ki and Youngshik Choe and Jun Nyung Lee and Yun{-}Sok Ha and Eun Ju Bae and Tae Gyun Kwon and Byung{-}Hyun Park and Sangkyu Lee}, title = {{LDHA} Desuccinylase Sirtuin 5 as {A} Novel Cancer Metastatic Stimulator in Aggressive Prostate Cancer}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {1}, pages = {177--189}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2022.02.004}, doi = {10.1016/J.GPB.2022.02.004}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KwonBCJNGCKCLHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/NaLMPCSLKBL23, author = {Ann{-}Yae Na and Hyojin Lee and Eun Ki Min and Sanjita Paudel and So Young Choi and Hyunchae Sim and Kwang{-}Hyeon Liu and Ki{-}Tae Kim and Jong{-}Sup Bae and Sangkyu Lee}, title = {Novel Time-Dependent Multi-Omics Integration in Sepsis-Associated Liver Dysfunction}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {6}, pages = {1101--1116}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2023.04.002}, doi = {10.1016/J.GPB.2023.04.002}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/NaLMPCSLKBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AhnKCL23, author = {Woo{-}Jin Ahn and Geon Kang and Hyun Duck Choi and Myo{-}Taeg Lim}, title = {Domain adaptation for complex shadow removal with shadow transformer network}, journal = {Neurocomputing}, volume = {552}, pages = {126559}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.126559}, doi = {10.1016/J.NEUCOM.2023.126559}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AhnKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCJKLC23, author = {Byungchoul Park and Hyun{-}Seung Choi and Jinwoong Jeong and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 113.3-dB Dynamic Range 600 Frames/s {SPAD} X-Ray Detector With Seamless Global Shutter and Time-Encoded Extrapolation Counter}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {2965--2975}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3302849}, doi = {10.1109/JSSC.2023.3302849}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkCJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/KimRYCLCLKC23, author = {Bokkeun Kim and Syed M. Raza and Huigyu Yang and Rajesh Challa and Duc Tai Le and HyunJun Choi and DongJin Lee and Moonseong Kim and Hyunseung Choo}, title = {iPaaS: Intelligent Paging as a Service}, journal = {{IEEE} Netw.}, volume = {37}, number = {2}, pages = {238--245}, year = {2023}, url = {https://doi.org/10.1109/MNET.123.2100764}, doi = {10.1109/MNET.123.2100764}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/KimRYCLCLKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiO23, author = {Jinsoo Choi and Tae{-}Hyun Oh}, title = {Joint Video Super-Resolution and Frame Interpolation via Permutation Invariance}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2529}, year = {2023}, url = {https://doi.org/10.3390/s23052529}, doi = {10.3390/S23052529}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLCPC23, author = {Taehwa Kim and Seungjin Lee and Hyungwoo Choi and Hong{-}Shik Park and Jun Kyun Choi}, title = {An Energy-Efficient Multi-Level Sleep Strategy for Periodic Uplink Transmission in Industrial Private 5G Networks}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9070}, year = {2023}, url = {https://doi.org/10.3390/s23229070}, doi = {10.3390/S23229070}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLCPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/SimPCCKK23, author = {Jincheol Sim and Hyunsu Park and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Chulwoo Kim}, title = {{PAM-4} Receiver With 1-Tap {DFE} Using Clocked Comparator Offset Instead of Threshold Voltages for Improved {LSB} {BER} Performance}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {5}, pages = {1907--1916}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3241929}, doi = {10.1109/TCSI.2023.3241929}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/SimPCCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ChoiCPSKPKK23, author = {Jonghyuck Choi and Yoonjae Choi and Hyunsu Park and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Chulwoo Kim}, title = {A 16-Gb/s {NRZ} Receiver With 0.0019-pJ/bit/dB 1-Tap Charge-Redistribution {DFE}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {3}, pages = {904--908}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3217787}, doi = {10.1109/TCSII.2022.3217787}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ChoiCPSKPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimSPCCK23, author = {Seongcheol Kim and Jincheol Sim and Hyunsu Park and Yoonjae Choi and Jonghyuck Choi and Chulwoo Kim}, title = {A 15-Gb/s Single-Ended {NRZ} Receiver Using Self-Referenced Technique With 1-Tap Latched {DFE} for {DRAM} Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {1}, pages = {101--105}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3208280}, doi = {10.1109/TCSII.2022.3208280}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/KimSPCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimCCPM23, author = {Taewoo Kim and Seung{-}Hyun Choi and Dongmin Choi and Jeong{-}Eon Park and Gun{-}Woo Moon}, title = {Double Fault-Tolerant {LLC} Resonant Converter With Reconfiguration Method}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {5}, pages = {4651--4661}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3187590}, doi = {10.1109/TIE.2022.3187590}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/KimCCPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/LeeLCBMHK23, author = {Sooeon Lee and Seungheyon Lee and Yumin Choi and Jalel Ben{-}Othman and Lynda Mokdad and Kwang{-}il Hwang and Hyunbum Kim}, title = {Task-Oriented Surveillance Framework for Virtual Emotion Informatics in Polygon Spaces}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {3}, pages = {104--111}, year = {2023}, url = {https://doi.org/10.1109/MWC.001.2200454}, doi = {10.1109/MWC.001.2200454}, timestamp = {Sun, 23 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/LeeLCBMHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Donghun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Changsik Yoo and Tae{-}Young Oh}, title = {A 1.01V 8.5Gb/s/pin 16Gb LPDDR5x {SDRAM} with Self-Pre-Emphasized Stacked-Tx, Supply Voltage Insensitive Rx, and Optimized Clock Using 4th-Generation 10nm {DRAM} Process for High-Speed and Low-Power Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348005}, doi = {10.1109/A-SSCC58667.2023.10348005}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungCRPLKJKYIYCCK23, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348011}, doi = {10.1109/A-SSCC58667.2023.10348011}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungCRPLKJKYIYCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YoonJBCCK23, author = {Dong{-}Hyun Yoon and He Junsen and Kwang{-}Hyun Baek and Youngdon Choi and Jung{-}Hwan Choi and Tony Tae{-}Hyoung Kim}, title = {A Time-Based {PAM-4} Transceiver Using Single Path Decoder and Fast-Stochastic Calibration Techniques}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347939}, doi = {10.1109/A-SSCC58667.2023.10347939}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/YoonJBCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/ChoiKKLS23, author = {Ahyoung Choi and No Soo Kim and Aeyung Kim and Haeseung Lee and Hyunjin Shin}, editor = {May D. Wang and Byung{-}Jun Yoon}, title = {Identification of therapeutic targets for muscle atrophy via analyzing transcriptomic profiles with systems biology approaches}, booktitle = {Proceedings of the 14th {ACM} International Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} 2023, Houston, TX, USA, September 3-6, 2023}, pages = {77:1}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584371.3613043}, doi = {10.1145/3584371.3613043}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcb/ChoiKKLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ParkKCHYCLRYCC23, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {2024--2033}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614828}, doi = {10.1145/3583780.3614828}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ParkKCHYCLRYCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/ChoiPAKPPKJL23, author = {Seung{-}Hwan Choi and Junkyu Park and Dawn An and Chang{-}Hyun Kim and Gunseok Park and Jongbum Park and Tae{-}Keun Kim and Byung{-}jin Jung and Suwoong Lee}, title = {A Comparative Study on the Failure Detection Methods Using Time-Series Data Image Generation and {CNN} for Driving Module of Cobots}, booktitle = {9th International Conference on Control, Decision and Information Technologies, CoDIT 2023, Rome, Italy, July 3-6, 2023}, pages = {241--244}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CoDIT58514.2023.10284371}, doi = {10.1109/CODIT58514.2023.10284371}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codit/ChoiPAKPPKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/LimKCL0SSBZGPK23, author = {Gionnieve Lim and Hyunwoo Kim and Yoonseo Choi and Toby Jia{-}Jun Li and Chinmay Kulkarni and Hariharan Subramonyam and Joseph Seering and Michael S. Bernstein and Amy X. Zhang and Elena L. Glassman and Simon T. Perrault and Juho Kim}, editor = {Casey Fiesler and Loren G. Terveen and Morgan Ames and Susan R. Fussell and Eric Gilbert and Vera Liao and Xiaojuan Ma and Xinru Page and Mark Rouncefield and Vivek Singh and Pamela J. Wisniewski}, title = {Designing for AI-Powered Social Computing Systems}, booktitle = {Computer Supported Cooperative Work and Social Computing, {CSCW} 2023, Minneapolis, MN, USA, October 14-18, 2023}, pages = {572--575}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3584931.3606951}, doi = {10.1145/3584931.3606951}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/LimKCL0SSBZGPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeHG0KZ23, author = {Hyundo Lee and Inwoo Hwang and Hyunsung Go and Won{-}Seok Choi and Kibeom Kim and Byoung{-}Tak Zhang}, title = {Learning Geometry-aware Representations by Sketching}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23315--23326}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02233}, doi = {10.1109/CVPR52729.2023.02233}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeHG0KZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangOCNTZC23, author = {Hengfei Wang and Jun O. Oh and Hyung Jin Chang and Jin Hee Na and Minwoo Tae and Zhongqun Zhang and Sang{-}Il Choi}, title = {GazeCaps: Gaze Estimation with Self-Attention-Routed Capsules}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2669--2677}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00267}, doi = {10.1109/CVPRW59228.2023.00267}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangOCNTZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/LeeLCKC23, author = {Hyunjun Lee and Junhyun Lee and Taehwa Choi and Jaewoo Kang and Sangbum Choi}, editor = {Kobi Gal and Ann Now{\'{e}} and Grzegorz J. Nalepa and Roy Fairstein and Roxana Radulescu}, title = {Towards Flexible Time-to-Event Modeling: Optimizing Neural Networks via Rank Regression}, booktitle = {{ECAI} 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Krak{\'{o}}w, Poland - Including 12th Conference on Prestigious Applications of Intelligent Systems {(PAIS} 2023)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {372}, pages = {1340--1347}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230410}, doi = {10.3233/FAIA230410}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai/LeeLCKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/TanZLC00H23, author = {Qiaoyu Tan and Daochen Zha and Ninghao Liu and Soo{-}Hyun Choi and Li Li and Rui Chen and Xia Hu}, editor = {Guihai Chen and Latifur Khan and Xiaofeng Gao and Meikang Qiu and Witold Pedrycz and Xindong Wu}, title = {Double Wins: Boosting Accuracy and Efficiency of Graph Neural Networks by Reliable Knowledge Distillation}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2023, Shanghai, China, December 1-4, 2023}, pages = {1343--1348}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDM58522.2023.00172}, doi = {10.1109/ICDM58522.2023.00172}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/TanZLC00H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiSPL23, author = {Seongbong Choi and Yongseok Son and Jeongyeup Paek and Hyung Tae Lee}, title = {Experimental Analysis of the Recent Key Recovery Protocol with respect to Commitment Schemes}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {669--674}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392697}, doi = {10.1109/ICTC58733.2023.10392697}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiSPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeJKYCJ23, author = {Hyunjong Lee and Han Hee Jung and Jeongho Kwak and Junwoo Yea and Jihwan P. Choi and Kyung{-}In Jang}, title = {Preprocessing Taste Data for Deep Neural Networks}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {526--528}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393201}, doi = {10.1109/ICTC58733.2023.10393201}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeJKYCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23, author = {Daehyun Kwon and Heon Su Jeong and Jaemin Choi and Wijong Kim and Jae Woong Kim and Junsub Yoon and Jungmin Choi and Sanguk Lee and Hyunsub Norbert Rie and Jin{-}Il Lee and Jongbum Lee and Taeseong Jang and JunHyung Kim and Sanghee Kang and Jung{-}Bum Shin and Yanggyoon Loh and Chang{-}Yong Lee and Junmyung Woo and Hye{-}Seung Yu and Changhyun Bae and Reum Oh and Young{-}Soo Sohn and Changsik Yoo and Jooyoung Lee}, title = {A 1.1V 6.4Gb/s/pin 24-Gb {DDR5} {SDRAM} with a Highly-Accurate Duty Corrector and NBTI-Tolerant {DLL}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {412--413}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067651}, doi = {10.1109/ISSCC42615.2023.10067651}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkACJHKLC23, author = {Byungchoul Park and Byungwook Ahn and Hyun{-}Seung Choi and Jinwoong Jeong and Kangmin Hwang and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 400 {\texttimes} 200 600fps 117.7dB-DR {SPAD} X-Ray Detector with Seamless Global Shutter and Time-Encoded Extrapolation Counter}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {100--101}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067344}, doi = {10.1109/ISSCC42615.2023.10067344}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkACJHKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongPBLSOLKLMJCYPRS23, author = {Kihwan Seong and Donguk Park and Gyeom{-}Je Bae and Hyunwoo Lee and Youngseob Suh and Wooseuk Oh and Hyemun Lee and Juyoung Kim and Takgun Lee and Geonhoo Mo and Sukhyun Jung and Dongcheol Choi and Byoung{-}Joo Yoo and Sanghune Park and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 32Gb/s 8Tb/s/mm Die-to-Die Chiplet Using {NRZ} Single-Ended Transceiver With Equalization Schemes And Training Techniques}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {114--115}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067477}, doi = {10.1109/ISSCC42615.2023.10067477}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeongPBLSOLKLMJCYPRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimBNCKK23, author = {Jun{-}Mo Kim and Soyeon Bak and Hyeonyeong Nam and WooHyeok Choi and Da{-}Hyun Kim and Tae{-}Eui Kam}, title = {SAT-Net: SincNet-Based Attentive Temporal Convolutional Network for Motor Imagery Classification}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {4452--4457}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10393906}, doi = {10.1109/SMC53992.2023.10393906}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/KimBNCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185353}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185353}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongLMMLKMCSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/TanL0CL0H23, author = {Qiaoyu Tan and Ninghao Liu and Xiao Huang and Soo{-}Hyun Choi and Li Li and Rui Chen and Xia Hu}, editor = {Tat{-}Seng Chua and Hady W. Lauw and Luo Si and Evimaria Terzi and Panayiotis Tsaparas}, title = {{S2GAE:} Self-Supervised Graph Autoencoders are Generalizable Learners with Graph Masking}, booktitle = {Proceedings of the Sixteenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2023, Singapore, 27 February 2023 - 3 March 2023}, pages = {787--795}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539597.3570404}, doi = {10.1145/3539597.3570404}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/TanL0CL0H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/TanZLZL0CH23, author = {Qiaoyu Tan and Xin Zhang and Ninghao Liu and Daochen Zha and Li Li and Rui Chen and Soo{-}Hyun Choi and Xia Hu}, editor = {Tat{-}Seng Chua and Hady W. Lauw and Luo Si and Evimaria Terzi and Panayiotis Tsaparas}, title = {Bring Your Own View: Graph Neural Networks for Link Prediction with Personalized Subgraph Selection}, booktitle = {Proceedings of the Sixteenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2023, Singapore, 27 February 2023 - 3 March 2023}, pages = {625--633}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539597.3570407}, doi = {10.1145/3539597.3570407}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/TanZLZL0CH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11174, author = {Dong{-}Jin Kim and Tae{-}Hyun Oh and Jinsoo Choi and In So Kweon}, title = {Semi-Supervised Image Captioning by Adversarially Propagating Labeled Data}, journal = {CoRR}, volume = {abs/2301.11174}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11174}, doi = {10.48550/ARXIV.2301.11174}, eprinttype = {arXiv}, eprint = {2301.11174}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11174.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09765, author = {Moon Ye{-}Bin and Dongmin Choi and Yongjin Kwon and Junsik Kim and Tae{-}Hyun Oh}, title = {ENInst: Enhancing Weakly-supervised Low-shot Instance Segmentation}, journal = {CoRR}, volume = {abs/2302.09765}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09765}, doi = {10.48550/ARXIV.2302.09765}, eprinttype = {arXiv}, eprint = {2302.09765}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12172, author = {Hyungyung Lee and Da Young Lee and Wonjae Kim and Jin{-}Hwa Kim and Tackeun Kim and Jihang Kim and Leonard Sunwoo and Edward Choi}, title = {Unified Chest X-ray and Radiology Report Generation Model with Multi-view Chest X-rays}, journal = {CoRR}, volume = {abs/2302.12172}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12172}, doi = {10.48550/ARXIV.2302.12172}, eprinttype = {arXiv}, eprint = {2302.12172}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16557, author = {Hong{-}Jun Choi and Dongbin Na and Kyungjin Cho and Byunguk Bae and Seo Taek Kong and Hyunjoon An}, title = {Self-accumulative Vision Transformer for Bone Age Assessment Using the Sauvegrain Method}, journal = {CoRR}, volume = {abs/2303.16557}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16557}, doi = {10.48550/ARXIV.2303.16557}, eprinttype = {arXiv}, eprint = {2303.16557}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-00471, author = {Bo{-}Kyeong Kim and Jaemin Kang and Daeun Seo and Hancheol Park and Shinkook Choi and Hyoung{-}Kyu Song and Hyungshin Kim and Sungsu Lim}, title = {A Unified Compression Framework for Efficient Speech-Driven Talking-Face Generation}, journal = {CoRR}, volume = {abs/2304.00471}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.00471}, doi = {10.48550/ARXIV.2304.00471}, eprinttype = {arXiv}, eprint = {2304.00471}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-00471.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-08204, author = {Hyundo Lee and Inwoo Hwang and Hyunsung Go and Won{-}Seok Choi and Kibeom Kim and Byoung{-}Tak Zhang}, title = {Learning Geometry-aware Representations by Sketching}, journal = {CoRR}, volume = {abs/2304.08204}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.08204}, doi = {10.48550/ARXIV.2304.08204}, eprinttype = {arXiv}, eprint = {2304.08204}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-08204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02254, author = {Hyunwoong Ko and Kichang Yang and Minho Ryu and Taekyoon Choi and Seungmu Yang and Jiwung Hyun and Sungho Park and Kyubyong Park}, title = {A Technical Report for Polyglot-Ko: Open-Source Large-Scale Korean Language Models}, journal = {CoRR}, volume = {abs/2306.02254}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02254}, doi = {10.48550/ARXIV.2306.02254}, eprinttype = {arXiv}, eprint = {2306.02254}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02254.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-01193, author = {Jiwoong Choi and Minkyu Kim and Daehyun Ahn and Taesu Kim and Yulhwa Kim and Dongwon Jo and Hyesung Jeon and Jae{-}Joon Kim and Hyungjun Kim}, title = {Squeezing Large-Scale Diffusion Models for Mobile}, journal = {CoRR}, volume = {abs/2307.01193}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.01193}, doi = {10.48550/ARXIV.2307.01193}, eprinttype = {arXiv}, eprint = {2307.01193}, timestamp = {Mon, 10 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-01193.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08044, author = {Hyunjun Lee and Junhyun Lee and Taehwa Choi and Jaewoo Kang and Sangbum Choi}, title = {Towards Flexible Time-to-event Modeling: Optimizing Neural Networks via Rank Regression}, journal = {CoRR}, volume = {abs/2307.08044}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08044}, doi = {10.48550/ARXIV.2307.08044}, eprinttype = {arXiv}, eprint = {2307.08044}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00994, author = {Moon Ye{-}Bin and Nam Hyeon{-}Woo and Wonseok Choi and Nayeong Kim and Suha Kwak and Tae{-}Hyun Oh}, title = {Exploiting Synthetic Data for Data Imbalance Problems: Baselines from a Data Perspective}, journal = {CoRR}, volume = {abs/2308.00994}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00994}, doi = {10.48550/ARXIV.2308.00994}, eprinttype = {arXiv}, eprint = {2308.00994}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-06979, author = {Giorgio Fabbro and Stefan Uhlich and Chieh{-}Hsin Lai and Woosung Choi and Marco A. Mart{\'{\i}}nez Ram{\'{\i}}rez and Wei{-}Hsiang Liao and Igor Gadelha and Geraldo Ramos and Eddie Hsu and Hugo Rodrigues and Fabian{-}Robert St{\"{o}}ter and Alexandre D{\'{e}}fossez and Yi Luo and Jianwei Yu and Dipam Chakraborty and Sharada P. Mohanty and Roman A. Solovyev and Alexander L. Stempkovskiy and Tatiana Habruseva and Nabarun Goswami and Tatsuya Harada and Minseok Kim and Jun Hyung Lee and Yuanliang Dong and Xinran Zhang and Jiafeng Liu and Yuki Mitsufuji}, title = {The Sound Demixing Challenge 2023 - Music Demixing Track}, journal = {CoRR}, volume = {abs/2308.06979}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.06979}, doi = {10.48550/ARXIV.2308.06979}, eprinttype = {arXiv}, eprint = {2308.06979}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-06979.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02685, author = {Hyunwoo Ryu and Jiwoo Kim and Junwoo Chang and Hyun{-}Seok Ahn and Joo{-}Hwan Seo and Taehan Kim and Yubin Kim and Jongeun Choi and Roberto Horowitz}, title = {Diffusion-EDFs: Bi-equivariant Denoising Generative Modeling on {SE(3)} for Visual Robotic Manipulation}, journal = {CoRR}, volume = {abs/2309.02685}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02685}, doi = {10.48550/ARXIV.2309.02685}, eprinttype = {arXiv}, eprint = {2309.02685}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09436, author = {Minkyung Kim and Jongmin Yu and Junsik Kim and Tae{-}Hyun Oh and Jun Kyun Choi}, title = {An Iterative Method for Unsupervised Robust Anomaly Detection Under Data Contamination}, journal = {CoRR}, volume = {abs/2309.09436}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09436}, doi = {10.48550/ARXIV.2309.09436}, eprinttype = {arXiv}, eprint = {2309.09436}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03383, author = {Tuyen Pham Le and Hieu T. Nguyen and Seungyeol Baek and Taeyoun Kim and Jungwoo Lee and Seongjung Kim and Hyunjin Kim and Misu Jung and Daehoon Kim and Seokyong Lee and Daewoo Choi}, title = {Toward Reinforcement Learning-based Rectilinear Macro Placement Under Human Constraints}, journal = {CoRR}, volume = {abs/2311.03383}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03383}, doi = {10.48550/ARXIV.2311.03383}, eprinttype = {arXiv}, eprint = {2311.03383}, timestamp = {Mon, 25 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13188, author = {Chung Park and Taesan Kim and Taekyoon Choi and Junui Hong and Yelim Yu and Mincheol Cho and Kyunam Lee and Sungil Ryu and Hyungjun Yoon and Minsung Choi and Jaegul Choo}, title = {Cracking the Code of Negative Transfer: {A} Cooperative Game Theoretic Approach for Cross-Domain Sequential Recommendation}, journal = {CoRR}, volume = {abs/2311.13188}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13188}, doi = {10.48550/ARXIV.2311.13188}, eprinttype = {arXiv}, eprint = {2311.13188}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12664, author = {Bumsoo Kim and Taeho Choi and Jaewoo Kang and Hyunwoo J. Kim}, title = {UnionDet: Union-Level Detector Towards Real-Time Human-Object Interaction Detection}, journal = {CoRR}, volume = {abs/2312.12664}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12664}, doi = {10.48550/ARXIV.2312.12664}, eprinttype = {arXiv}, eprint = {2312.12664}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChaeJC22, author = {Joo{-}Hyung Chae and Yong{-}Un Jeong and Byung{-}Du Choi}, title = {Design and Comparative Study of Voltage Regulation-Based 2-Tap Flexible Feed-Forward Equalizer for Voltage-Mode Transmitters}, journal = {{IEEE} Access}, volume = {10}, pages = {37446--37456}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3164742}, doi = {10.1109/ACCESS.2022.3164742}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChaeJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiJKKK22, author = {Hyojung Choi and Chanhwi Jung and Taein Kang and Hyunwoo J. Kim and Il{-}Youp Kwak}, title = {Explainable Time-Series Prediction Using a Residual Network and Gradient-Based Methods}, journal = {{IEEE} Access}, volume = {10}, pages = {108469--108482}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3213926}, doi = {10.1109/ACCESS.2022.3213926}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiJKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ElliottCTN22, author = {Ryan T. Elliott and Hyungjin Choi and Daniel J. Trudnowski and Tam Nguyen}, title = {Real Power Modulation Strategies for Transient Stability Control}, journal = {{IEEE} Access}, volume = {10}, pages = {37215--37245}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3163736}, doi = {10.1109/ACCESS.2022.3163736}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ElliottCTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungPCC22, author = {Jongdae Jung and Jeonghong Park and Jinwoo Choi and Hyun{-}Taek Choi}, title = {Bathymetric Pose Graph Optimization With Regularized Submap Matching}, journal = {{IEEE} Access}, volume = {10}, pages = {31155--31164}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3160190}, doi = {10.1109/ACCESS.2022.3160190}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungPCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCCCKL22, author = {Myungsun Kim and Sangrae Cho and Seongbong Choi and Young{-}Seob Cho and Soohyung Kim and Hyung Tae Lee}, title = {A Key Recovery Protocol for Multiparty Threshold {ECDSA} Schemes}, journal = {{IEEE} Access}, volume = {10}, pages = {133206--133218}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3230683}, doi = {10.1109/ACCESS.2022.3230683}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimCCCKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCKPC22, author = {Seungjin Lee and Hyungwoo Choi and Taehwa Kim and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Energy-Conscious Access Point (eAP) System With Cross-Layer Design in Wi-Fi Networks for Reliable IoT Services}, journal = {{IEEE} Access}, volume = {10}, pages = {61228--61248}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3181304}, doi = {10.1109/ACCESS.2022.3181304}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeCKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCLYC22, author = {Hyeong{-}Tak Lee and Hey{-}Min Choi and Jeong{-}Seok Lee and Hyun Yang and Ik{-}Soon Cho}, title = {Generation of Ship's Passage Plan Using Data-Driven Shortest Path Algorithms}, journal = {{IEEE} Access}, volume = {10}, pages = {126217--126231}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225571}, doi = {10.1109/ACCESS.2022.3225571}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCLYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/RamirezLCKKRKBH22, author = {Ryan A. Ramirez and Gi{-}Jun Lee and Shin{-}Kyu Choi and Tae{-}Hyuk Kwon and Young{-}Chul Kim and Hee{-}Hwan Ryu and Sangyoung Kim and Byungeol Bae and Chiho Hyun}, title = {Monitoring of construction-induced urban ground deformations using Sentinel-1 PS-InSAR: The case study of tunneling in Dangjin, Korea}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {108}, pages = {102721}, year = {2022}, url = {https://doi.org/10.1016/j.jag.2022.102721}, doi = {10.1016/J.JAG.2022.102721}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/RamirezLCKKRKBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/GoukKKJCLJ22, author = {Donghyun Gouk and Seungkwan Kang and Miryeong Kwon and Junhyeok Jang and Hyunkyu Choi and Sangwon Lee and Myoungsoo Jung}, title = {PreGNN: Hardware Acceleration to Take Preprocessing Off the Critical Path in Graph Neural Networks}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {21}, number = {2}, pages = {117--120}, year = {2022}, url = {https://doi.org/10.1109/LCA.2022.3193256}, doi = {10.1109/LCA.2022.3193256}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/GoukKKJCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KimKLCBKJPC22, author = {Taewoo Kim and Woo Jin Kim and Chang Hyun Lee and Kum Ju Chae and So Hyeon Bak and Sung Ok Kwon and Gong Yong Jin and Eun{-}Kee Park and Sanghun Choi}, title = {Quantitative computed tomography imaging-based classification of cement dust-exposed subjects with an artificial neural network technique}, journal = {Comput. Biol. Medicine}, volume = {141}, pages = {105162}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2021.105162}, doi = {10.1016/J.COMPBIOMED.2021.105162}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/KimKLCBKJPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ChoiL22, author = {Seongbong Choi and Hyung Tae Lee}, title = {Attack and improvement of the recent identity-based encryption with authorized equivalence test in cluster computing}, journal = {Clust. Comput.}, volume = {25}, number = {1}, pages = {633--646}, year = {2022}, url = {https://doi.org/10.1007/s10586-021-03409-x}, doi = {10.1007/S10586-021-03409-X}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/ChoiL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KimKCH22, author = {Taehyung Kim and Hyunsoo Kim and Sooyong Choi and Daesik Hong}, title = {How Will Cell-Free Systems Be Deployed?}, journal = {{IEEE} Commun. Mag.}, volume = {60}, number = {4}, pages = {46--51}, year = {2022}, url = {https://doi.org/10.1109/MCOM.001.2100533}, doi = {10.1109/MCOM.001.2100533}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KimKCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/ShinCS22, author = {Jiho Shin and Hyunpyo Choi and Jung{-}Taek Seo}, title = {A study on command block collection and restoration techniques through detection of project file manipulation on engineering workstation of industrial control system}, journal = {Digit. Investig.}, volume = {40}, number = {Supplement}, pages = {301354}, year = {2022}, url = {https://doi.org/10.1016/j.fsidi.2022.301354}, doi = {10.1016/J.FSIDI.2022.301354}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/ShinCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChoiOCKH22, author = {Daejin Choi and Hyuncheol Oh and Selin Chun and Taekyoung Kwon and Jinyoung Han}, title = {Preventing rumor spread with deep learning}, journal = {Expert Syst. Appl.}, volume = {197}, pages = {116688}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.116688}, doi = {10.1016/J.ESWA.2022.116688}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChoiOCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hovhannes Melikyan and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: {TEAM} CoSTAR's Robotic Autonomy Solution that Won Phase {II} of {DARPA} Subterranean Challenge}, journal = {Field Robotics}, volume = {2}, number = {1}, pages = {1432--1506}, year = {2022}, url = {https://doi.org/10.55417/fr.2022047}, doi = {10.55417/FR.2022047}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimKCM22, author = {Hyung Min Kim and Taehoon Ko and In Young Choi and Jun{-}Pyo Myong}, title = {Asbestosis diagnosis algorithm combining the lung segmentation method and deep learning model in computed tomography image}, journal = {Int. J. Medical Informatics}, volume = {158}, number = {February}, pages = {104667}, year = {2022}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104667}, doi = {10.1016/J.IJMEDINF.2021.104667}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/KimKCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/OagazSC22, author = {Hawkar Oagaz and Breawn Schoun and Min{-}Hyung Choi}, title = {Real-time posture feedback for effective motor learning in table tennis in virtual reality}, journal = {Int. J. Hum. Comput. Stud.}, volume = {158}, pages = {102731}, year = {2022}, url = {https://doi.org/10.1016/j.ijhcs.2021.102731}, doi = {10.1016/J.IJHCS.2021.102731}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmms/OagazSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AhnKCL22, author = {Woo{-}Jin Ahn and Tae{-}Koo Kang and Hyun Duck Choi and Myo Taeg Lim}, title = {Remove and recover: Deep end-to-end two-stage attention network for single-shot heavy rain removal}, journal = {Neurocomputing}, volume = {481}, pages = {216--227}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.01.041}, doi = {10.1016/J.NEUCOM.2022.01.041}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AhnKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/BaekKYCCB22, author = {Tae Hyun Baek and Se{-}Eun Kim and Sukki Yoon and Yung Kyun Choi and Dongwon Choi and Hyejin Bang}, title = {Emojis and assertive environmental messages in social media campaigns}, journal = {Internet Res.}, volume = {32}, number = {3}, pages = {988--1002}, year = {2022}, url = {https://doi.org/10.1108/INTR-11-2020-0638}, doi = {10.1108/INTR-11-2020-0638}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/BaekKYCCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKKLJCYABC22, author = {Changuk Lee and Byeongseol Kim and Jejung Kim and Sangwon Lee and Taejune Jeon and Woojun Choi and Sunggu Yang and Jong{-}Hyun Ahn and Joonsung Bae and Youngcheol Chae}, title = {A Miniaturized Wireless Neural Implant With Body-Coupled Power Delivery and Data Transmission}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3212--3227}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3202795}, doi = {10.1109/JSSC.2022.3202795}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKKLJCYABC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ChoiSKJHS22, author = {Keunhoon Choi and Taeyong Song and Sunok Kim and Hyunsung Jang and Namkoo Ha and Kwanghoon Sohn}, title = {Deep Cascade Network for Noise-Robust {SAR} Ship Detection With Label Augmentation}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3205715}, doi = {10.1109/LGRS.2022.3205715}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/ChoiSKJHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ChoiCBKL22, author = {Myungsub Choi and Janghoon Choi and Sungyong Baik and Tae Hyun Kim and Kyoung Mu Lee}, title = {Test-Time Adaptation for Video Frame Interpolation via Meta-Learning}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {12}, pages = {9615--9628}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2021.3129819}, doi = {10.1109/TPAMI.2021.3129819}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/ChoiCBKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/KimOCK22, author = {Dong{-}Jin Kim and Tae{-}Hyun Oh and Jinsoo Choi and In So Kweon}, title = {Dense Relational Image Captioning via Multi-Task Triple-Stream Networks}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {11}, pages = {7348--7362}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2021.3119754}, doi = {10.1109/TPAMI.2021.3119754}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/KimOCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimPBPPDCKK22, author = {Hwi{-}Su Kim and Jongwoo Park and Myeongsu Bae and Dongil Park and Chanhun Park and Hyunmin Do and Tae{-}Yong Choi and Doo{-}Hyeong Kim and Jin Ho Kyung}, title = {Advanced 2-DOF Counterbalance Mechanism Based on Gear Units and Springs to Minimize Required Torques of Robot Arm}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {6320--6326}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3168936}, doi = {10.1109/LRA.2022.3168936}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/KimPBPPDCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekBJKKSCHKK22, author = {Seung{-}Yun Baek and Seung{-}Min Baek and Hyeon{-}Ho Jeon and Wan{-}Soo Kim and Yeon{-}Soo Kim and Taeyong Sim and Kyu{-}Hong Choi and Soon{-}Jung Hong and Hyunggun Kim and Yong{-}Joo Kim}, title = {Traction Performance Evaluation of the Electric All-Wheel-Drive Tractor}, journal = {Sensors}, volume = {22}, number = {3}, pages = {785}, year = {2022}, url = {https://doi.org/10.3390/s22030785}, doi = {10.3390/S22030785}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekBJKKSCHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongLCCKKKKK22, author = {Juntaek Hong and Jongweon Lee and Taeyoung Choi and Wooin Choi and Taeyong Kim and Kyuwan Kwak and Seongjun Kim and Kyeongyeol Kim and Daehyun Kim}, title = {Feasibility of Overground Gait Training Using a Joint-Torque-Assisting Wearable Exoskeletal Robot in Children with Static Brain Injury}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3870}, year = {2022}, url = {https://doi.org/10.3390/s22103870}, doi = {10.3390/S22103870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HongLCCKKKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PattipakaJCRH22, author = {Srinivas Pattipaka and Jaewon Jeong and Hyunsu Choi and Jungho Ryu and Geon{-}Tae Hwang}, title = {Magneto-Mechano-Electric {(MME)} Composite Devices for Energy Harvesting and Magnetic Field Sensing Applications}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5723}, year = {2022}, url = {https://doi.org/10.3390/s22155723}, doi = {10.3390/S22155723}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/PattipakaJCRH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeokSCCPKJKK22, author = {Hyunho Seok and Sihoon Son and Jinill Cho and Sanghwan Choi and Kihong Park and Changmin Kim and Nari Jeon and Taesung Kim and Hyeong{-}U. Kim}, title = {Chromism-Integrated Sensors and Devices for Visual Indicators}, journal = {Sensors}, volume = {22}, number = {11}, pages = {4288}, year = {2022}, url = {https://doi.org/10.3390/s22114288}, doi = {10.3390/S22114288}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeokSCCPKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangNKCKPLP22, author = {Seoyun Chang and Hyunkyung Na and Minseok Koo and Taewon Choi and Younghoon Kim and Sun Ah Park and Seung{-}Kyun Lee and Jinhyoung Park}, title = {Therapeutic Quadrisected Annular Array for Improving Magnetic Resonance Compatibility}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {199--208}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3090986}, doi = {10.1109/TBME.2021.3090986}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangNKCKPLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KwonPCSCPK22, author = {Youngwook Kwon and Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Seungwoo Park and Chulwoo Kim}, title = {A 15 Gb/s Non-Return-to-Zero Transmitter With 1-Tap Pre-Emphasis Feed-Forward Equalizer for Low-Power Ground Terminated Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {6}, pages = {2737--2741}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3159769}, doi = {10.1109/TCSII.2022.3159769}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KwonPCSCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/OagazSC22, author = {Hawkar Oagaz and Breawn Schoun and Min{-}Hyung Choi}, title = {Performance Improvement and Skill Transfer in Table Tennis Through Training in Virtual Reality}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {28}, number = {12}, pages = {4332--4343}, year = {2022}, url = {https://doi.org/10.1109/TVCG.2021.3086403}, doi = {10.1109/TVCG.2021.3086403}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/OagazSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeKC22, author = {Taeheon Lee and Dong{-}Hyun Kim and Seibum B. Choi}, title = {Driveline Modeling With Transmission Loss and Robust Torque Observer Design for Dual Clutch Transmission}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {2}, pages = {1267--1279}, year = {2022}, url = {https://doi.org/10.1109/TVT.2021.3132615}, doi = {10.1109/TVT.2021.3132615}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LeeCGLCK22, author = {Yeonkyeong Lee and Taeho Choi and Hyunsung Go and Hyunjoon Lee and Sunghyun Cho and Junho Kim}, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {Exp-GAN: 3D-Aware Facial Image Generation with Expression Control}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13847}, pages = {151--167}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26293-7\_10}, doi = {10.1007/978-3-031-26293-7\_10}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/LeeCGLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JungKPYKYCSL22, author = {Woojoong Jung and Minsu Kim and Hyunjun Park and Sungmin Yoo and Tae{-}Hwang Kong and Jun{-}Hyeok Yang and Michael Choi and Jongshin Shin and Hyung{-}Min Lee}, title = {A Hybrid Always-Dual-Path Recursive Step-Down Converter Using Adaptive Switching Level Control Achieving 95.4{\%} Efficiency with 288m{\(\Omega\)} Large-DCR Inductor}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772867}, doi = {10.1109/CICC53496.2022.9772867}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/JungKPYKYCSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChoiCKBK22, author = {Yoonhyuk Choi and Jiho Choi and Taewook Ko and Hyungho Byun and Chong{-}Kwon Kim}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Finding Heterophilic Neighbors via Confidence-based Subgraph Matching for Semi-supervised Node Classification}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {283--292}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557324}, doi = {10.1145/3511808.3557324}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ChoiCKBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChoiCKBK22a, author = {Yoonhyuk Choi and Jiho Choi and Taewook Ko and Hyungho Byun and Chong{-}Kwon Kim}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Review-Based Domain Disentanglement without Duplicate Users or Contexts for Cross-Domain Recommendation}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {293--303}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557434}, doi = {10.1145/3511808.3557434}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ChoiCKBK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HanCLSL22, author = {Sangwoo Han and Eunseong Choi and Chan Lim and Hyunjung Shim and Jongwuk Lee}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Long-tail Mixup for Extreme Multi-label Classification}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {3998--4002}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557632}, doi = {10.1145/3511808.3557632}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HanCLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BangKPS0C22, author = {Jihwan Bang and Hyunseo Koh and Seulki Park and Hwanjun Song and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on a Contaminated Data Stream with Blurry Task Boundaries}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {9265--9274}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00906}, doi = {10.1109/CVPR52688.2022.00906}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BangKPS0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongWLYCLCK22, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21393--21398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02074}, doi = {10.1109/CVPR52688.2022.02074}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongWLYCLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiH22, author = {Kyu Hyun Choi and Taeho Hwang}, title = {Study on Processing-in-Memory Technology based on Dataflow Architecture}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748255}, doi = {10.1109/ICEIC54506.2022.9748255}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeCMLBJLH22, author = {Yejin Lee and Hyunji Choi and Sunhong Min and Hyunseung Lee and Sangwon Beak and Dawoon Jeong and Jae W. Lee and Tae Jun Ham}, title = {{ANNA:} Specialized Architecture for Approximate Nearest Neighbor Search}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {169--183}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00021}, doi = {10.1109/HPCA53966.2022.00021}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LeeCMLBJLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaekPKCK22, author = {Kyeonghyeon Baek and Hyunbum Park and Suwan Kim and Kyumyung Choi and Taewhan Kim}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Pin Accessibility and Routing Congestion Aware {DRC} Hotspot Prediction Using Graph Neural Network and U-Net}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {26:1--26:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549346}, doi = {10.1145/3508352.3549346}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BaekPKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LimLLHKJKKHCKLC22, author = {Hongjun Lim and Yeon{-}Chang Lee and Jin{-}Seo Lee and Sanggyu Han and Seunghyeon Kim and Yeon Jeong Jeong and Changbong Kim and Jaehun Kim and Sunghoon Han and Solbi Choi and Hanjong Ko and Dokyeong Lee and Jaeho Choi and Yungi Kim and Hong{-}Kyun Bae and Taeho Kim and Jeewon Ahn and Hyun{-}Soung You and Sang{-}Wook Kim}, title = {AiRS: {A} Large-Scale Recommender System at {NAVER} News}, booktitle = {38th {IEEE} International Conference on Data Engineering, {ICDE} 2022, Kuala Lumpur, Malaysia, May 9-12, 2022}, pages = {3386--3398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDE53745.2022.00319}, doi = {10.1109/ICDE53745.2022.00319}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/LimLLHKJKKHCKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KohK0C22, author = {Hyunseo Koh and Dahyun Kim and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on Class Incremental Blurry Task Configuration with Anytime Inference}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=nrGGfMbY\_qK}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KohK0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon-nlp/PatilCSKJTM22, author = {Pranamya Patil and Hyungtak Choi and Ranjan Samal and Gurpreet Kaur and Manisha Jhawar and Aniruddha Tammewar and Siddhartha Mukherjee}, editor = {Md. Shad Akhtar and Tanmoy Chakraborty}, title = {Efficient Dialog State Tracking Using Gated- Intent based Slot Operation Prediction for On-device Dialog Systems}, booktitle = {Proceedings of the 19th International Conference on Natural Language Processing, {ICON} 2022, New Delhi, India, December 15-18, 2022}, pages = {67--74}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.icon-main.9}, timestamp = {Wed, 13 Sep 2023 16:08:43 +0200}, biburl = {https://dblp.org/rec/conf/icon-nlp/PatilCSKJTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiL22, author = {Seongbong Choi and Hyung Tae Lee}, title = {Known Plaintext Attacks on the Omar and abed Homomorphic Encryption Scheme}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1154--1157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952419}, doi = {10.1109/ICTC55196.2022.9952419}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKC22, author = {Hyunjong Kim and Tae Gyu Kang and Kiseok Choi}, title = {A Study on the Supplementary Method between {RNN} and {CNN} Model to Improve the Accuracy of Fine Dust Concentration Inference}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {389--394}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952813}, doi = {10.1109/ICTC55196.2022.9952813}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLHPCSPC22, author = {Jaehyeok Lee and Seo Hu Lee and Jayun Hyun and Chan{-}Yong Park and Minha Choi and Won Jeong Shin and Ji{-}Ung Park and Tai{-}Myoung Chung}, title = {Optimal transfer-interval frequency to minimize data loss in {BLE} network for healthcare service}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2380--2385}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952469}, doi = {10.1109/ICTC55196.2022.9952469}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeLHPCSPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeTCJ22, author = {Youlkyeong Lee and Qing Tang and Jehwan Choi and Kang{-}Hyun Jo}, title = {Low Computational Vehicle Re-Identification for Unlabeled Drone Flight Images}, booktitle = {{IECON} 2022 - 48th Annual Conference of the {IEEE} Industrial Electronics Society, Brussels, Belgium, October 17-20, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IECON49645.2022.9968729}, doi = {10.1109/IECON49645.2022.9968729}, timestamp = {Wed, 04 Jan 2023 16:48:30 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LeeTCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ZhouL0LCH22, author = {Kaixiong Zhou and Zirui Liu and Rui Chen and Li Li and Soo{-}Hyun Choi and Xia Hu}, editor = {Luc De Raedt}, title = {Table2Graph: Transforming Tabular Data to Unified Weighted Graph}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {2420--2426}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/336}, doi = {10.24963/IJCAI.2022/336}, timestamp = {Tue, 15 Oct 2024 16:43:28 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ZhouL0LCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/YooKKJSLLLCLKN22, author = {Sijung Yoo and Donghoon Kim and Yoon Mo Koo and Sujee Kim Wooju Jeong and Hyungjoon Shim and Won{-}Jun Lee and Beom Seok Lee and Seungyun Lee and Hyejung Choi and Hyung Dong Lee and Taehoon Kim and Myung{-}Hee Na}, title = {Structural and Device Considerations for Vertical Cross Point Memory with Single-stack Memory toward {CXL} Memory beyond 1x nm 3DXP}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779247}, doi = {10.1109/IMW52921.2022.9779247}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/YooKKJSLLLCLKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SongSHCKP22, author = {Tae{-}Gyu Song and Young Ha Shin and Seungwoo Hong and Hyungho Chris Choi and Joon{-}Ha Kim and Hae{-}Won Park}, title = {DRPD, Dual Reduction Ratio Planetary Drive for Articulated Robot Actuators}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {443--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981201}, doi = {10.1109/IROS47612.2022.9981201}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/SongSHCKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKLJCYABC22, author = {Changuk Lee and Byeongseol Kim and Jejung Kim and Sangwon Lee and Taejune Jeon and Woojun Choi and Sunggu Yang and Jong{-}Hyun Ahn and Joonsung Bae and Youngcheol Chae}, title = {A Miniaturized Wireless Neural Implant with Body-Coupled Data Transmission and Power Delivery for Freely Behaving Animals}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731733}, doi = {10.1109/ISSCC42614.2022.9731733}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKLJCYABC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLPPLPHPYLLL22, author = {Sungbong Park and Changkyu Lee and Sangcheon Park and Haeyong Park and Taeheon Lee and Dami Park and Minsung Heo and Inyong Park and Hyunyoung Yeo and Youna Lee and Juhee Lee and Beomsuk Lee and Dong{-}Chul Lee and Jinyoung Kim and Bokwon Kim and Jinsun Pyo and Shili Quan and Sungyong You and Inho Ro and Sungsoo Choi and SungIn Kim and Insung Joe and Jongeun Park and Chang{-}Hyo Koo and Jae{-}Ho Kim and Chong Kwang Chang and Taehee Kim and JinGyun Kim and Jamie Lee and Hyunchul Kim and Changrok Moon and Hyoung{-}Sub Kim}, title = {A 64Mpixel {CMOS} Image Sensor with 0.50{\(\mathrm{\mu}\)}m Unit Pixels Separated by Front Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731750}, doi = {10.1109/ISSCC42614.2022.9731750}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkLPPLPHPYLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwis/LeeTCJ22, author = {Youlkyeong Lee and Qing Tang and Jehwan Choi and Kang{-}Hyun Jo}, title = {Low Computational Vehicle Lane Changing Prediction Using Drone Traffic Dataset}, booktitle = {International Workshop on Intelligent Systems, {IWIS} 2022, Ulsan, Korea, Republic of, August 17-19, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IWIS56333.2022.9920801}, doi = {10.1109/IWIS56333.2022.9920801}, timestamp = {Fri, 11 Nov 2022 11:16:40 +0100}, biburl = {https://dblp.org/rec/conf/iwis/LeeTCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/JunCSKJM22, author = {Changwook Jun and Jooyoung Choi and Myoseop Sim and Hyun Kim and Hansol Jang and Kyungkoo Min}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {Korean-Specific Dataset for Table Question Answering}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {6114--6120}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.657}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/JunCSKJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/DoKKCP22, author = {Hyunmin Do and Hwi{-}Su Kim and Uikyum Kim and Tae{-}Yong Choi and Jongwoo Park}, title = {Detachable smart teaching device for the easy and safe operation of robot manipulator}, booktitle = {31st {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2022, Napoli, Italy, August 29 - Sept. 2, 2022}, pages = {1232--1237}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RO-MAN53752.2022.9900773}, doi = {10.1109/RO-MAN53752.2022.9900773}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/DoKKCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/SohnWCQGFL22, author = {Sungryull Sohn and Hyunjae Woo and Jongwook Choi and Lyubing Qiang and Izzeddin Gur and Aleksandra Faust and Honglak Lee}, editor = {James Cussens and Kun Zhang}, title = {Fast inference and transfer of compositional task structures for few-shot task generalization}, booktitle = {Uncertainty in Artificial Intelligence, Proceedings of the Thirty-Eighth Conference on Uncertainty in Artificial Intelligence, {UAI} 2022, 1-5 August 2022, Eindhoven, The Netherlands}, series = {Proceedings of Machine Learning Research}, volume = {180}, pages = {1857--1865}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v180/sohn22a.html}, timestamp = {Sat, 15 Oct 2022 12:08:13 +0200}, biburl = {https://dblp.org/rec/conf/uai/SohnWCQGFL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/BaeJCPK22, author = {Sang{-}Hyeon Bae and Sung{-}Hyeon Joo and Jun{-}Hyun Choi and Hyun{-}Jin Park and Tae{-}Yong Kuc}, title = {Localization System Through 2D LiDAR based Semantic Feature For Indoor Robot}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {338--342}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826250}, doi = {10.1109/UR55393.2022.9826250}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/BaeJCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeJCCL22, author = {Eon{-}Ho Lee and Hyeon Jun Jeon and Jinwoo Choi and Hyun{-}Taek Choi and Sejin Lee}, title = {Development of Vehicle Detection Method on Water Surface Using LiDAR Data for Situation Awareness}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {188--193}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826242}, doi = {10.1109/UR55393.2022.9826242}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeeJCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoLBLCKJPYLYSKK22, author = {H.{-}B. Jo and I.{-}G. Lee and J.{-}M. Baek and S. T. Lee and S.{-}M. Choi and H.{-}J. Kim and H.{-}S. Jeong and W.{-}S. Park and J.{-}H. Yoo and H.{-}Y. Lee and D. Y. Yun and SW. Son and D.{-}H. Ko and Tae{-}Woo Kim and H.{-}M. Kwon and S.{-}K. Kim and Jun{-}Gyu Kim and J. Yun and T. Kim and J. H. Lee and J.{-}H. Lee and C.{-}S. Shin and K.{-}S. Seo and Dae{-}Hyun Kim}, title = {Lg = 130 nm {GAA} MBCFETs with three-level stacked In0.53Ga0.47As nanosheets}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {397--398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830243}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830243}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoLBLCKJPYLYSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-02534, author = {Qiaoyu Tan and Ninghao Liu and Xiao Huang and Rui Chen and Soo{-}Hyun Choi and Xia Hu}, title = {{MGAE:} Masked Autoencoders for Self-Supervised Learning on Graphs}, journal = {CoRR}, volume = {abs/2201.02534}, year = {2022}, url = {https://arxiv.org/abs/2201.02534}, eprinttype = {arXiv}, eprint = {2201.02534}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-02534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-06223, author = {Changwook Jun and Jooyoung Choi and Myoseop Sim and Hyun Kim and Hansol Jang and Kyungkoo Min}, title = {Korean-Specific Dataset for Table Question Answering}, journal = {CoRR}, volume = {abs/2201.06223}, year = {2022}, url = {https://arxiv.org/abs/2201.06223}, eprinttype = {arXiv}, eprint = {2201.06223}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-06223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-15355, author = {Jihwan Bang and Hyunseo Koh and Seulki Park and Hwanjun Song and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on a Contaminated Data Stream with Blurry Task Boundaries}, journal = {CoRR}, volume = {abs/2203.15355}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.15355}, doi = {10.48550/ARXIV.2203.15355}, eprinttype = {arXiv}, eprint = {2203.15355}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-15355.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04892, author = {Kyushik Min and Hyunho Lee and Kwansu Shin and Taehak Lee and Hojoon Lee and Jinwon Choi and Sungho Son}, title = {{JORLDY:} a fully customizable open source framework for reinforcement learning}, journal = {CoRR}, volume = {abs/2204.04892}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04892}, doi = {10.48550/ARXIV.2204.04892}, eprinttype = {arXiv}, eprint = {2204.04892}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04892.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06421, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, journal = {CoRR}, volume = {abs/2205.06421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06421}, doi = {10.48550/ARXIV.2205.06421}, eprinttype = {arXiv}, eprint = {2205.06421}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12648, author = {Sungryull Sohn and Hyunjae Woo and Jongwook Choi and Lyubing Qiang and Izzeddin Gur and Aleksandra Faust and Honglak Lee}, title = {Fast Inference and Transfer of Compositional Task Structures for Few-shot Task Generalization}, journal = {CoRR}, volume = {abs/2205.12648}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12648}, doi = {10.48550/ARXIV.2205.12648}, eprinttype = {arXiv}, eprint = {2205.12648}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12648.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02251, author = {Janghoon Han and Joongbo Shin and Hosung Song and Hyunjik Jo and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi}, title = {External Knowledge Selection with Weighted Negative Sampling in Knowledge-grounded Task-oriented Dialogue Systems}, journal = {CoRR}, volume = {abs/2209.02251}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02251}, doi = {10.48550/ARXIV.2209.02251}, eprinttype = {arXiv}, eprint = {2209.02251}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02251.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-17052, author = {Won{-}Seok Choi and Dong{-}Sig Han and Hyundo Lee and Junseok Park and Byoung{-}Tak Zhang}, title = {{DUEL:} Adaptive Duplicate Elimination on Working Memory for Self-Supervised Learning}, journal = {CoRR}, volume = {abs/2210.17052}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.17052}, doi = {10.48550/ARXIV.2210.17052}, eprinttype = {arXiv}, eprint = {2210.17052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-17052.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-12488, author = {Qiaoyu Tan and Xin Zhang and Ninghao Liu and Daochen Zha and Li Li and Rui Chen and Soo{-}Hyun Choi and Xia Hu}, title = {Bring Your Own View: Graph Neural Networks for Link Prediction with Personalized Subgraph Selection}, journal = {CoRR}, volume = {abs/2212.12488}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.12488}, doi = {10.48550/ARXIV.2212.12488}, eprinttype = {arXiv}, eprint = {2212.12488}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-12488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKPC21, author = {Hyungwoo Choi and Taehwa Kim and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Cooperative Online Learning-Based Load Balancing Scheme for Maximizing QoS Satisfaction in Dense HetNets}, journal = {{IEEE} Access}, volume = {9}, pages = {92345--92357}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089782}, doi = {10.1109/ACCESS.2021.3089782}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSS21, author = {Ho{-}Ik Choi and Heemang Song and Hyun{-}Chool Shin}, title = {Target Range Selection of {FMCW} Radar for Accurate Vital Information Extraction}, journal = {{IEEE} Access}, volume = {9}, pages = {1261--1270}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3043013}, doi = {10.1109/ACCESS.2020.3043013}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungDCPC21, author = {Dawoon Jung and Hyunmin Do and Tae{-}Yong Choi and Jongwoo Park and Joono Cheong}, title = {Robust Parameter Estimation of Robot Manipulators Using Torque Separation Technique}, journal = {{IEEE} Access}, volume = {9}, pages = {150443--150458}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3122787}, doi = {10.1109/ACCESS.2021.3122787}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungDCPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLLSPKS21, author = {Dayoung Kim and Tae{-}Hyeon Kim and Yun{-}Yeong Choi and Geun{-}Ho Lee and Jungwon Lee and Wookyung Sun and Byung{-}Gook Park and Hyungjin Kim and Hyungsoon Shin}, title = {Selected Bit-Line Current {PUF:} Implementation of Hardware Security Primitive Based on a Memristor Crossbar Array}, journal = {{IEEE} Access}, volume = {9}, pages = {120901--120910}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108534}, doi = {10.1109/ACCESS.2021.3108534}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCLLSPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLC21, author = {Hwa{-}Rang Kim and Kwang{-}Ju Kim and Kil{-}Taek Lim and Doo{-}Hyun Choi}, title = {Histological Image Segmentation and Classification Using Entropy-Based Convolutional Module}, journal = {{IEEE} Access}, volume = {9}, pages = {90964--90976}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3091578}, doi = {10.1109/ACCESS.2021.3091578}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCPP21, author = {Ho{-}Jun Lee and Hong Tae Choi and Sung Kyu Park and Ho{-}Hyun Park}, title = {Semi-Global Context Network for Semantic Correspondence}, journal = {{IEEE} Access}, volume = {9}, pages = {2496--2507}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3046845}, doi = {10.1109/ACCESS.2020.3046845}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/KimLKKLC21, author = {Wan{-}Soo Kim and Dae{-}Hyun Lee and Yong{-}Joo Kim and Taehyeong Kim and Won{-}Suk Lee and Chang{-}Hyun Choi}, title = {Stereo-vision-based crop height estimation for agricultural robots}, journal = {Comput. Electron. Agric.}, volume = {181}, pages = {105937}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2020.105937}, doi = {10.1016/J.COMPAG.2020.105937}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/KimLKKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimCJCS21, author = {Kang Cheol Kim and Hyun Cheol Cho and Tae Jun Jang and Jong Mun Choi and Jin Keun Seo}, title = {Automatic detection and segmentation of lumbar vertebrae from X-ray images for compression fracture evaluation}, journal = {Comput. Methods Programs Biomed.}, volume = {200}, pages = {105833}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2020.105833}, doi = {10.1016/J.CMPB.2020.105833}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimCJCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/LeeCHKBYLH21, author = {Yong Sun Lee and Seung Eun Choi and Jarang Hahm and Myoung Joon Kim and Hyo Sook Bae and Kayoung Yi and Hyun Taek Lim and Joon Young Hyon}, title = {Digital Therapeutics: Exploring the Possibilities of Digital Intervention for Myopia}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {710644}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.710644}, doi = {10.3389/FDGTH.2021.710644}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/LeeCHKBYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiSJPKCJK21, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack and Min Wook Kang and Yun Won Chung and Hyungjun Joo and Kyungran Kang}, title = {Design and implementation of integrated tactical mobility testbed}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.01.011}, doi = {10.1016/J.ICTE.2021.01.011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiSJPKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitn/ParkLSRPC21, author = {Hyun Jae Park and Cheol{-}woong Lee and Taeyoung Shin and Byeong{-}Hee Roh and Soo Bum Park and Jungwook Choi}, title = {Implementation of Embedded Testbeds Using {USRP} and GNU-Radio for Performance Measurement and Analysis of {PPS} and PCO-Based Time Synchronizations}, journal = {Int. J. Interdiscip. Telecommun. Netw.}, volume = {13}, number = {1}, pages = {25--35}, year = {2021}, url = {https://doi.org/10.4018/IJITN.2021010103}, doi = {10.4018/IJITN.2021010103}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijitn/ParkLSRPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KarimiCWXK21, author = {Mohsen Karimi and Hyunjong Choi and Yidi Wang and Yecheng Xiang and Hyoseung Kim}, title = {Real-Time Task Scheduling on Intermittently Powered Batteryless Devices}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {17}, pages = {13328--13342}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3065947}, doi = {10.1109/JIOT.2021.3065947}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/KarimiCWXK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KangBCKHLPYYCYC21, author = {Si{-}Hyuck Kang and Hyunyoung Baek and Jihoon Cho and Seok Kim and Hee Hwang and Wonjae Lee and Jin Joo Park and Yeonyee E. Yoon and Chang{-}Hwan Yoon and Young{-}Seok Cho and Tae{-}Jin Youn and Goo{-}Yeong Cho and In{-}Ho Chae and Dong{-}Ju Choi and Sooyoung Yoo and Jung{-}Won Suh}, title = {Management of cardiovascular disease using an mHealth tool: a randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00535-z}, doi = {10.1038/S41746-021-00535-Z}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KangBCKHLPYYCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChoiCBCJ21, author = {Yeji Choi and Keumgang Cha and Minyoung Back and Hyunguk Choi and Taegyun Jeon}, title = {{RAIN-F+:} The Data-Driven Precipitation Prediction Model for Integrated Weather Observations}, journal = {Remote. Sens.}, volume = {13}, number = {18}, pages = {3627}, year = {2021}, url = {https://doi.org/10.3390/rs13183627}, doi = {10.3390/RS13183627}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChoiCBCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLKYP21, author = {Hong Tae Choi and Ho{-}Jun Lee and Hoon Kang and Sungwook Yu and Ho{-}Hyun Park}, title = {{SSD-EMB:} An Improved {SSD} Using Enhanced Feature Map Block for Object Detection}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2842}, year = {2021}, url = {https://doi.org/10.3390/s21082842}, doi = {10.3390/S21082842}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLKYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanECSYKBS21, author = {Jae{-}Soub Han and Tae{-}Hyeok Eom and Seong{-}Wook Choi and Kiho Seong and Dong{-}Hyun Yoon and Tony Tae{-}Hyoung Kim and Kwang{-}Hyun Baek and Yong Shim}, title = {A Reference-Sampling Based Calibration-Free Fractional-N {PLL} with a PI-Linked Sampling Clock Generator}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6824}, year = {2021}, url = {https://doi.org/10.3390/s21206824}, doi = {10.3390/S21206824}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanECSYKBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongCKLKK21, author = {Ji Hyeok Jeong and Jun{-}Hyuk Choi and Keun{-}Tae Kim and Song{-}Joo Lee and Dong{-}Joo Kim and Hyungmin Kim}, title = {Multi-Domain Convolutional Neural Networks for Lower-Limb Motor Imagery Using Dry vs. Wet Electrodes}, journal = {Sensors}, volume = {21}, number = {19}, pages = {6672}, year = {2021}, url = {https://doi.org/10.3390/s21196672}, doi = {10.3390/S21196672}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongCKLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamKKCKKCLK21, author = {Kyeongsik Nam and Hyungseup Kim and Yongsu Kwon and Gyuri Choi and Taeyup Kim and Chulhong Kim and Dongil Cho and Junghoon Lee and Hyoungho Ko}, title = {A Four-Channel Low-Noise Readout {IC} for Air Flow Measurement Using Hot Wire Anemometer in 0.18 {\(\mu\)}m {CMOS} Technology}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4694}, year = {2021}, url = {https://doi.org/10.3390/s21144694}, doi = {10.3390/S21144694}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamKKCKKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SalunkheLCPK21, author = {Tejaswi Tanaji Salunkhe and Ho Kyung Lee and Hyung Wook Choi and Sang Joon Park and Il Tae Kim}, title = {Simply Fabricated Inexpensive Dual-Polymer-Coated Fabry-Perot Interferometer-Based Temperature Sensors with High Sensitivity}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7632}, year = {2021}, url = {https://doi.org/10.3390/s21227632}, doi = {10.3390/S21227632}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SalunkheLCPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooHNCP21, author = {Seong Tak Woo and Ji{-}Wan Ha and Sungdae Na and Hyunjoo Choi and Sung{-}Bom Pyun}, title = {Design and Evaluation of Korean Electropalatography {(K-EPG)}}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3802}, year = {2021}, url = {https://doi.org/10.3390/s21113802}, doi = {10.3390/S21113802}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WooHNCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tap/ChoiYKJJ21, author = {Jeongbong Choi and Soon{-}Hyung Yook and In Young Kim and Mok Kun Jeong and Dong{-}Pyo Jang}, title = {Quantification of Displacement for Tactile Sensation in a Contact-type Low Intensity Focused Ultrasound Haptic Device}, journal = {{ACM} Trans. Appl. Percept.}, volume = {18}, number = {1}, pages = {1:1--1:8}, year = {2021}, url = {https://doi.org/10.1145/3422820}, doi = {10.1145/3422820}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tap/ChoiYKJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/YangSBGHJCKK21, author = {Tae{-}Heon Yang and Hyungki Son and Sang Kyu Byeon and Hyunjae Gil and Inwook Hwang and Gwanghyun Jo and Seungmoon Choi and Sang{-}Youn Kim and Jin Ryong Kim}, title = {Magnetorheological Fluid Haptic Shoes for Walking in {VR}}, journal = {{IEEE} Trans. Haptics}, volume = {14}, number = {1}, pages = {83--94}, year = {2021}, url = {https://doi.org/10.1109/TOH.2020.3017099}, doi = {10.1109/TOH.2020.3017099}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toh/YangSBGHJCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimJJPKPDCKP21, author = {Uikyum Kim and Gwanghyun Jo and Heeyeon Jeong and Cheol Hoon Park and Je{-}Sung Koh and Dong Il Park and Hyunmin Do and Tae{-}Yong Choi and Hwi{-}Su Kim and Chanhun Park}, title = {A Novel Intrinsic Force Sensing Method for Robot Manipulators During Human-Robot Interaction}, journal = {{IEEE} Trans. Robotics}, volume = {37}, number = {6}, pages = {2218--2225}, year = {2021}, url = {https://doi.org/10.1109/TRO.2021.3072736}, doi = {10.1109/TRO.2021.3072736}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/KimJJPKPDCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0001SCSKLH21, author = {Yejin Lee and Seong Hoon Seo and Hyunji Choi and Hyoung Uk Sul and Soosung Kim and Jae W. Lee and Tae Jun Ham}, editor = {Tim Sherwood and Emery D. Berger and Christos Kozyrakis}, title = {{MERCI:} efficient embedding reduction on commodity hardware via sub-query memoization}, booktitle = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021}, pages = {302--313}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3445814.3446717}, doi = {10.1145/3445814.3446717}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0001SCSKLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungKJLCJKLHJ21, author = {Yoontae Jung and Soon{-}Jae Kweon and Hyuntak Jeon and Taeju Lee and Injun Choi and Kyeongwon Jeong and Mi Kyung Kim and Hyunjoo Jenny Lee and Sohmyung Ha and Minkyu Je}, title = {A 99.5dB-DR 5kHz-BW Closed-Loop Neural-Recording {IC} based on Continuous-Time Dynamic-Zoom {\(\Delta\)}{\(\Sigma\)} {ADC} with Automatic AFE-Gain Control}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634824}, doi = {10.1109/A-SSCC53895.2021.9634824}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungKJLCJKLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimCKL21, author = {Keun{-}Tae Kim and Junhyuk Choi and Hyungmin Kim and Song Joo Lee}, title = {Subject-Transfer Approach based on Convolutional Neural Network for the SSSEP-BCIs}, booktitle = {9th International Winter Conference on Brain-Computer Interface, {BCI} 2021, Gangwon, South Korea, February 22-24, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCI51272.2021.9385328}, doi = {10.1109/BCI51272.2021.9385328}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/KimCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/KangCTK21, author = {Shinjin Kang and Jongin Choi and Hyunjeong Tae and Soo Kyun Kim}, title = {Game Engine Based 2D Emotion Segmentation Generation Method}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2021, Las Vegas, NV, USA, December 15-17, 2021}, pages = {659--660}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCI54926.2021.00173}, doi = {10.1109/CSCI54926.2021.00173}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csci/KangCTK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimKKCOK21, author = {Sunghoon Kim and Hyun{-}jeong Kwon and Eunji Kwon and Youngchang Choi and Tae{-}Hyun Oh and Seokhyeong Kang}, title = {{MDARTS:} Multi-objective Differentiable Neural Architecture Search}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1344--1349}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474068}, doi = {10.23919/DATE51398.2021.9474068}, timestamp = {Wed, 21 Jul 2021 10:04:34 +0200}, biburl = {https://dblp.org/rec/conf/date/KimKKCOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimHLKCLZ21, author = {Taehyeong Kim and Injune Hwang and Hyundo Lee and Hyunseo Kim and Won{-}Seok Choi and Joseph J. Lim and Byoung{-}Tak Zhang}, editor = {Marina Meila and Tong Zhang}, title = {Message Passing Adaptive Resonance Theory for Online Active Semi-supervised Learning}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {5519--5529}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/kim21e.html}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimHLKCLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YoonJC21, author = {Byung{-}Hyun Yoon and Hyeonwoo Jeong and Kang{-}Sun Choi}, title = {Targetless Multiple Camera-LiDAR Extrinsic Calibration using Object Pose Estimation}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {13377--13383}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9560936}, doi = {10.1109/ICRA48506.2021.9560936}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/YoonJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsrs/YangPLCPBK21, author = {Taewon Yang and Bumjun Park and Sungheon Lee and Jeong Hun Choi and Jooyoung Park and Ronald L. Boring and Jonghyun Kim}, title = {Experimental Analysis of the Effects of Simulator Complexity on Human Performance}, booktitle = {5th International Conference on System Reliability and Safety, {ICSRS} 2021, Palermo, Italy, November 24-26, 2021}, pages = {85--91}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSRS53853.2021.9660761}, doi = {10.1109/ICSRS53853.2021.9660761}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsrs/YangPLCPBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiYKK21, author = {Taesang Choi and Sangsik Yoon and Taeyeon Kim and Hyungsoo Kim}, title = {Design and Implementation of Quantum Key Distribution Network Control and Management}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {724--727}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621170}, doi = {10.1109/ICTC52510.2021.9621170}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiYKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/ChoiYLNKJLKSLK21, author = {Jonghwan Choi and Jinho Yang and Joohee Lym and Sang Do Noh and Yong{-}Shin Kang and Yu La Joe and Sang Hyun Lee and Jeong{-}Tae Kang and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {Design and Implementation of Digital Twin-Based Application for Global Manufacturing Enterprises}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {V}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {634}, pages = {12--19}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85914-5\_2}, doi = {10.1007/978-3-030-85914-5\_2}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/ChoiYLNKJLKSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChoiCBCJ21, author = {Yeji Choi and Keumgang Cha and Minyoung Back and Hyunguk Choi and Taegyun Jeon}, title = {Rain-F: {A} Fusion Dataset for Rainfall Prediction Using Convolutional Neural Network}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7145--7148}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9555094}, doi = {10.1109/IGARSS47720.2021.9555094}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChoiCBCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/Ham0SKCJL21, author = {Tae Jun Ham and Yejin Lee and Seong Hoon Seo and Soosung Kim and Hyunji Choi and Sung Jun Jung and Jae W. Lee}, title = {{ELSA:} Hardware-Software Co-design for Efficient, Lightweight Self-Attention Mechanism in Neural Networks}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {692--705}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00060}, doi = {10.1109/ISCA52012.2021.00060}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/Ham0SKCJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmbd/ChoiLNHTKLHH21, author = {Hyun{-}Tae Choi and Nahyun Lee and Jewon No and Sangil Han and Jaeho Tak and Hwijin Kim and Haegang Lee and Seonghoon Ham and Byung{-}Woo Hong}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros}, title = {Control the Information of the Image with Anisotropic Diffusion and Isotropic Diffusion for the Image Classification}, booktitle = {Modern Management based on Big Data {II} and Machine Learning and Intelligent Systems {III} - Proceedings of {MMBD} 2021 and {MLIS} 2021, Virtual Event, China, 8-11 November 2021}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {341}, pages = {583--589}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/FAIA210290}, doi = {10.3233/FAIA210290}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmbd/ChoiLNHTKLHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeCLCJKM21, author = {Seunghyun Lee and Sumin Chang and Eungchang Mason Lee and Junho Choi and Jinwoo Jeon and Seoktae Kim and Hyun Myung}, editor = {Jinwhan Kim and Brendan J. Englot and Hae{-}Won Park and Han{-}Lim Choi and Hyun Myung and Junmo Kim and Jong{-}Hwan Kim}, title = {{TPL:} Trajectory Planner for Target Tracking in Low-Light Environments}, booktitle = {Robot Intelligence Technology and Applications 6 - Results from the 9th International Conference on Robot Intelligence Technology and Applications, RiTA 2021, Daejeon, South Korea, 16-17 December, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {429}, pages = {12--23}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-97672-9\_2}, doi = {10.1007/978-3-030-97672-9\_2}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/LeeCLCJKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/XiangWCKK21, author = {Yecheng Xiang and Yidi Wang and Hyunjong Choi and Mohsen Karimi and Hyoseung Kim}, title = {AegisDNN: Dependable and Timely Execution of {DNN} Tasks with {SGX}}, booktitle = {42nd {IEEE} Real-Time Systems Symposium, {RTSS} 2021, Dortmund, Germany, December 7-10, 2021}, pages = {68--81}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RTSS52674.2021.00018}, doi = {10.1109/RTSS52674.2021.00018}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtss/XiangWCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShimLPCYJLKLBKC21, author = {Eun Sub Shim and Kyungho Lee and Junghyung Pyo and Wooseok Choi and Jungbin Yun and Taesub Jung and Kyungduck Lee and Seyoung Kim and Chanhee Lee and Seungki Baek and Hyuncheol Kim and Sungsoo Choi and Junseok Yang and Kyoungmok Son and Jongwon Choi and Howoo Park and Bumsuk Kim and JungChak Ahn and Duckhyun Chang}, title = {All-Directional Dual Pixel Auto Focus Technology in {CMOS} Image Sensors}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492472}, doi = {10.23919/VLSICIRCUITS52068.2021.9492472}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShimLPCYJLKLBKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinO0SK21, author = {Hyunjin Shin and Myeonghee Oh and Jaeseung Choi and Taejoong Song and Jongwook Kye}, title = {A 28nm Embedded Flash Memory with 100MHz Read Operation and 7.42Mb/mm2 at 0.85V featuring for Automotive Application}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492384}, doi = {10.23919/VLSICIRCUITS52068.2021.9492384}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinO0SK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-10642, author = {Hyunjin Choi and Judong Kim and Seongho Joe and Youngjune Gwon}, title = {Evaluation of {BERT} and {ALBERT} Sentence Embedding Performance on Downstream {NLP} Tasks}, journal = {CoRR}, volume = {abs/2101.10642}, year = {2021}, url = {https://arxiv.org/abs/2101.10642}, eprinttype = {arXiv}, eprint = {2101.10642}, timestamp = {Sun, 31 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-10642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-10649, author = {Hyunjin Choi and Judong Kim and Seongho Joe and Seungjai Min and Youngjune Gwon}, title = {Analyzing Zero-shot Cross-lingual Transfer in Supervised {NLP} Tasks}, journal = {CoRR}, volume = {abs/2101.10649}, year = {2021}, url = {https://arxiv.org/abs/2101.10649}, eprinttype = {arXiv}, eprint = {2101.10649}, timestamp = {Sun, 31 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-10649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-02942, author = {Hyungho Chris Choi and Inhwan Wee and Micah Corah and Sahand Sabet and Taeyeon Kim and Thomas Touma and David Hyunchul Shim and Ali{-}akbar Agha{-}mohammadi}, title = {{BAXTER:} Bi-modal Aerial-Terrestrial Hybrid Vehicle for Long-endurance Versatile Mobility: Preprint Version}, journal = {CoRR}, volume = {abs/2102.02942}, year = {2021}, url = {https://arxiv.org/abs/2102.02942}, eprinttype = {arXiv}, eprint = {2102.02942}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-02942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11470, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Stegun Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Yuki Kubo and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: Quest for Robotic Autonomy in Challenging Environments; {TEAM} CoSTAR at the {DARPA} Subterranean Challenge}, journal = {CoRR}, volume = {abs/2103.11470}, year = {2021}, url = {https://arxiv.org/abs/2103.11470}, eprinttype = {arXiv}, eprint = {2103.11470}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-05478, author = {Hyungjoon Koo and Soyeon Park and Daejin Choi and Taesoo Kim}, title = {Semantic-aware Binary Code Representation with {BERT}}, journal = {CoRR}, volume = {abs/2106.05478}, year = {2021}, url = {https://arxiv.org/abs/2106.05478}, eprinttype = {arXiv}, eprint = {2106.05478}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-05478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-09847, author = {Minjung Shin and Jeonghoon Kim and Seongho Choi and Yu{-}Jung Heo and Donghyun Kim and Min Su Lee and Byoung{-}Tak Zhang and Jeh{-}Kwang Ryu}, title = {CogME: {A} Novel Evaluation Metric for Video Understanding Intelligence}, journal = {CoRR}, volume = {abs/2107.09847}, year = {2021}, url = {https://arxiv.org/abs/2107.09847}, eprinttype = {arXiv}, eprint = {2107.09847}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-09847.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-00740, author = {Yonghyun Jeong and Jooyoung Choi and Sungwon Kim and Youngmin Ro and Tae{-}Hyun Oh and Doyeon Kim and Heonseok Ha and Sungroh Yoon}, title = {{FICGAN:} Facial Identity Controllable {GAN} for De-identification}, journal = {CoRR}, volume = {abs/2110.00740}, year = {2021}, url = {https://arxiv.org/abs/2110.00740}, eprinttype = {arXiv}, eprint = {2110.00740}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-00740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-10031, author = {Hyunseo Koh and Dahyun Kim and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on Class Incremental Blurry Task Configuration with Anytime Inference}, journal = {CoRR}, volume = {abs/2110.10031}, year = {2021}, url = {https://arxiv.org/abs/2110.10031}, eprinttype = {arXiv}, eprint = {2110.10031}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-10031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiCKP20, author = {Seokwoo Choi and Taejoo Chang and Changhyun Kim and Yongsu Park}, title = {x64Unpack: Hybrid Emulation Unpacker for 64-bit Windows Environments and Detailed Analysis Results on VMProtect 3.4}, journal = {{IEEE} Access}, volume = {8}, pages = {127939--127953}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3008900}, doi = {10.1109/ACCESS.2020.3008900}, timestamp = {Fri, 31 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiCKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangMHCKKK20, author = {Jun Tae Jang and Jungi Min and Yeongjin Hwang and Sung{-}Jin Choi and Dong Myong Kim and Hyungjin Kim and Dae Hwan Kim}, title = {Digital and Analog Switching Characteristics of InGaZnO Memristor Depending on Top Electrode Material for Neuromorphic System}, journal = {{IEEE} Access}, volume = {8}, pages = {192304--192311}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3032188}, doi = {10.1109/ACCESS.2020.3032188}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangMHCKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungPCC20, author = {Jongdae Jung and Jeonghong Park and Jinwoo Choi and Hyun{-}Taek Choi}, title = {Navigation of Unmanned Surface Vehicles Using Underwater Geophysical Sensing}, journal = {{IEEE} Access}, volume = {8}, pages = {208707--208717}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3038816}, doi = {10.1109/ACCESS.2020.3038816}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungPCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeYCKC20, author = {Jae Seang Lee and Yoon{-}Sik Yoo and Hyungseok Choi and Taejoon Kim and Jun Kyun Choi}, title = {Group Connectivity-Based {UAV} Positioning and Data Slot Allocation for Tactical {MANET}}, journal = {{IEEE} Access}, volume = {8}, pages = {220570--220584}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3042795}, doi = {10.1109/ACCESS.2020.3042795}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeYCKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/KimCLK20, author = {Hyung{-}Yong Kim and Hee{-}Joo Choi and Jeong{-}Yeon Lee and Gu Kong}, title = {Cancer Target Gene Screening: a web application for breast cancer target gene screening using multi-omics data analysis}, journal = {Briefings Bioinform.}, volume = {21}, number = {2}, pages = {663--675}, year = {2020}, url = {https://doi.org/10.1093/bib/bbz003}, doi = {10.1093/BIB/BBZ003}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/KimCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/YuHKPLC20, author = {Sung Hyun Yu and Jung Han Hwang and Jeong Ho Kim and Suyoung Park and Ki Hyun Lee and Sang Tae Choi}, title = {Duplication of superficial femoral artery: imaging findings and literature review}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {99}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00500-4}, doi = {10.1186/S12880-020-00500-4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/YuHKPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/HyeongCLP20, author = {Ji Hyeon Hyeong and Kang Jun Choi and Jae Young Lee and Tae{-}Hyung Pyo}, title = {For whom does a game update? Players' status-contingent gameplay on online games before and after an update}, journal = {Decis. Support Syst.}, volume = {139}, pages = {113423}, year = {2020}, url = {https://doi.org/10.1016/j.dss.2020.113423}, doi = {10.1016/J.DSS.2020.113423}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/HyeongCLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hhci/ChoiHL20, author = {Yuri Choi and Kyung Hoon Hyun and Ji{-}Hyun Lee}, title = {Image-Based Tactile Emojis: Improved Interpretation of Message Intention and Subtle Nuance for Visually Impaired Individuals}, journal = {Hum. Comput. Interact.}, volume = {35}, number = {1}, pages = {40--69}, year = {2020}, url = {https://doi.org/10.1080/07370024.2017.1324305}, doi = {10.1080/07370024.2017.1324305}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hhci/ChoiHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChoJC20, author = {Doo{-}Hyun Cho and Dae{-}Sung Jang and Han{-}Lim Choi}, title = {Memetic algorithm-based path generation for multiple Dubins vehicles performing remote tasks}, journal = {Int. J. Syst. Sci.}, volume = {51}, number = {4}, pages = {608--630}, year = {2020}, url = {https://doi.org/10.1080/00207721.2020.1737263}, doi = {10.1080/00207721.2020.1737263}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/ChoJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/KimACC20, author = {Junhong Kim and Jaemyung Ahn and Han{-}Lim Choi and Doo{-}Hyun Cho}, title = {Task Scheduling of Agile Satellites with Transition Time and Stereoscopic Imaging Constraints}, journal = {J. Aerosp. Inf. Syst.}, volume = {17}, number = {6}, pages = {285--293}, year = {2020}, url = {https://doi.org/10.2514/1.i010775}, doi = {10.2514/1.I010775}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jacic/KimACC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/BurrowsHWKMSLLK20, author = {Cynthia J. Burrows and Jiaxing Huang and Shu Wang and Hyun Jae Kim and Gerald J. Meyer and Kirk Schanze and T. Randall Lee and Jodie L. Lutkenhaus and David Kaplan and Christopher Jones and Carolyn R. Bertozzi and Laura Kiessling and Mary Beth Mulcahy and Craig Lindsley and M. G. Finn and Joel D. Blum and Prashant Kamat and Wonyong Choi and Shane Snyder and Courtney Aldrich and Stuart Rowan and Bin Liu and Dennis Liotta and Paul S. Weiss and Deqing Zhang and Krishna N. Ganesh and Harry A. Atwater and J. Justin Gooding and David T. Allen and Christopher A. Voigt and Jonathan V. Sweedler and Alanna Schepartz and Vincent Rotello and S{\'{e}}bastien Lecommandoux and Shana J. Sturla and Sharon Hammes{-}Schiffer and Jillian Buriak and Jonathan W. Steed and Hongwei Wu and Julie Zimmerman and Bryan Brooks and Phillip Savage and William Tolman and Thomas F. Hofmann and Joan F. Brennecke and Thomas A. Holme and Kenneth M. Merz Jr. and Gustavo Scuseria and William Jorgensen and Gunda I. Georg and Shaomeng Wang and Philip Proteau and John R. Yates and Peter Stang and Gilbert C. Walker and Marc Hillmyer and Lynne S. Taylor and Teri W. Odom and Erick Carreira and Kai Rossen and Paul Chirik and Scott J. Miller and Joan{-}Emma Shea and Anne McCoy and Martin Zanni and Gregory Hartland and Gregory Scholes and Joseph A. Loo and James Milne and Sarah B. Tegen and Daniel T. Kulp and Julia Laskin}, title = {Confronting Racism in Chemistry Journals}, journal = {J. Chem. Inf. Model.}, volume = {60}, number = {7}, pages = {3325--3327}, year = {2020}, url = {https://doi.org/10.1021/acs.jcim.0c00683}, doi = {10.1021/ACS.JCIM.0C00683}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/BurrowsHWKMSLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/JungCMCLKM20, author = {Hyunwoo Jung and Ahnryul Choi and Jose Moon and Seung Heon Chae and Kyungsuk Lee and Kyungran Kim and Joung Hwan Mun}, title = {Insole System-Based Neural Network Model to Evaluate Force Risk in Cube Method: Application to Pepper Farming Tasks}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1444--1451}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3068}, doi = {10.1166/JMIHI.2020.3068}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/JungCMCLKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/KimLSLKLSOLJLHA20, author = {Hyungtai Kim and Minhee Lee and Min Kyun Sohn and Jongmin Lee and Deog Young Kim and Sam{-}Gyu Lee and Yong{-}Il Shin and Gyung{-}Jae Oh and Yang{-}Soo Lee and Cheol Min Joo and So Young Lee and Junhee Han and Jeonghoon Ahn and Won Hyuk Chang and Ji Yoo Choi and Sung Hyun Kang and Dong Han Lee and Young Taek Kim and Mun{-}Taek Choi and Yun{-}Hee Kim}, title = {Simultaneous Clustering and Classification of Function Recovery Patterns of Ischemic Stroke}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1401--1407}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3061}, doi = {10.1166/JMIHI.2020.3061}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/KimLSLKLSOLJLHA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolis/ChaK20, author = {Seung Hyun Cha and Tae Wan Kim}, title = {The role of space attributes in space-choice behaviour and satisfaction in an academic library}, journal = {J. Libr. Inf. Sci.}, volume = {52}, number = {2}, year = {2020}, url = {https://doi.org/10.1177/0961000618794257}, doi = {10.1177/0961000618794257}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jolis/ChaK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelJKKKBCSKACJ20, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Seoung{-}Jae Yoo and Chang{-}Rok Moon and Hyunsurk Ryu and Joonseok Kim}, title = {A {VGA} Indirect Time-of-Flight {CMOS} Image Sensor With 4-Tap 7- {\textdollar}{\textbackslash}mu{\textdollar} m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {889--897}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959502}, doi = {10.1109/JSSC.2019.2959502}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KeelJKKKBCSKACJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {Indirect Time-of-Flight {CMOS} Image Sensor With On-Chip Background Light Cancelling and Pseudo-Four-Tap/Two-Tap Hybrid Imaging for Motion Artifact Suppression}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2849--2865}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021246}, doi = {10.1109/JSSC.2020.3021246}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeJCS20, author = {Sua Bae and Jintae Jang and Moon Hyung Choi and Tai{-}Kyong Song}, title = {In Vivo Evaluation of Plane Wave Imaging for Abdominal Ultrasonography}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5675}, year = {2020}, url = {https://doi.org/10.3390/s20195675}, doi = {10.3390/S20195675}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaeJCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKJKLK20, author = {Junhyuk Choi and Keun{-}Tae Kim and Ji Hyeok Jeong and Laehyun Kim and Song Joo Lee and Hyungmin Kim}, title = {Developing a Motor Imagery-Based Real-Time Asynchronous Hybrid {BCI} Controller for a Lower-Limb Exoskeleton}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7309}, year = {2020}, url = {https://doi.org/10.3390/s20247309}, doi = {10.3390/S20247309}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKJKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanLLC20, author = {Byung{-}Gil Han and Joon{-}Goo Lee and Kil{-}Taek Lim and Doo{-}Hyun Choi}, title = {Design of a Scalable and Fast {YOLO} for Edge-Computing Devices}, journal = {Sensors}, volume = {20}, number = {23}, pages = {6779}, year = {2020}, url = {https://doi.org/10.3390/s20236779}, doi = {10.3390/S20236779}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HanLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCHKM20, author = {Tae Hyong Kim and Ahnryul Choi and Hyun Mu Heo and Hyunggun Kim and Joung Hwan Mun}, title = {Acceleration Magnitude at Impact Following Loss of Balance Can Be Estimated Using Deep Learning Model}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6126}, year = {2020}, url = {https://doi.org/10.3390/s20216126}, doi = {10.3390/S20216126}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCHKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkPOCPCL20, author = {Ji Young Park and Kisang Park and Gyeongsik Ok and Hyun{-}Joo Chang and Tae Jung Park and Sungwook Choi and Min{-}Cheol Lim}, title = {Detection of Escherichia coli {O157:} {H7} Using Automated Immunomagnetic Separation and Enzyme-Based Colorimetric Assay}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1395}, year = {2020}, url = {https://doi.org/10.3390/s20051395}, doi = {10.3390/S20051395}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkPOCPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SalunkheLLCPK20, author = {Tejaswi Tanaji Salunkhe and Dong Jun Lee and Ho Kyung Lee and Hyung Wook Choi and Sang Joon Park and Il Tae Kim}, title = {Enhancing Temperature Sensitivity of the Fabry-Perot Interferometer Sensor with Optimization of the Coating Thickness of Polystyrene}, journal = {Sensors}, volume = {20}, number = {3}, pages = {794}, year = {2020}, url = {https://doi.org/10.3390/s20030794}, doi = {10.3390/S20030794}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SalunkheLLCPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShiCXKUK20, author = {Yifang Shi and Jee Woong Choi and Lei Xu and Hyung June Kim and Ihsan Ullah and Uzair Khan}, title = {Distributed Target Tracking in Challenging Environments Using Multiple Asynchronous Bearing-Only Sensors}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2671}, year = {2020}, url = {https://doi.org/10.3390/s20092671}, doi = {10.3390/S20092671}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShiCXKUK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChaeKCK20, author = {Joo{-}Hyung Chae and Minchang Kim and Sungphil Choi and Suhwan Kim}, title = {A 10.4-Gb/s 1-Tap Decision Feedback Equalizer With Different Pull-Up and Pull-Down Tap Weights for Asymmetric Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {2}, pages = {220--224}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2911017}, doi = {10.1109/TCSII.2019.2911017}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChaeKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/DoHCJ20, author = {Hyungrok Do and Jeongho Hwang and Hong{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 48 Gb/s {PAM-4} Transmitter With 3-Tap {FFE} Based on Double-Shielded Coplanar Waveguide in 65-nm {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {9}, pages = {1569--1573}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2020.2998276}, doi = {10.1109/TCSII.2020.2998276}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/DoHCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/LeeLC20, author = {Kisong Lee and Jin{-}Taek Lim and Hyun{-}Ho Choi}, title = {Impact of Outdated {CSI} on the Secrecy Performance of Wireless-Powered Untrusted Relay Networks}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {15}, pages = {1423--1433}, year = {2020}, url = {https://doi.org/10.1109/TIFS.2019.2940906}, doi = {10.1109/TIFS.2019.2940906}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/LeeLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ZhengMOLC20, author = {Zhi Zheng and Mayesha Sahir Mim and Hyunkyoung Oh and Yura Lee and Wonchan Choi}, title = {Can {A} Robot Encourage Physical Exercise for Older Adults? {A} Pilot Robot-Mediated Tai Chi Exercise Study}, booktitle = {{AMIA} 2020, American Medical Informatics Association Annual Symposium, Virtual Event, USA, November 14-18, 2020}, publisher = {{AMIA}}, year = {2020}, url = {https://knowledge.amia.org/72332-amia-1.4602255/t005-1.4604904/t005-1.4604905/3416939-1.4604912/3411609-1.4604909}, timestamp = {Wed, 17 Apr 2024 11:47:01 +0200}, biburl = {https://dblp.org/rec/conf/amia/ZhengMOLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ChoiKLLK20, author = {Junhyuk Choi and Keun{-}Tae Kim and Jaehyung Lee and Song Joo Lee and Hyungmin Kim}, title = {Robust Semi-synchronous {BCI} Controller for Brain-Actuated Exoskeleton System}, booktitle = {8th International Winter Conference on Brain-Computer Interface, {BCI} 2020, Gangwon, Korea (South), February 26-28, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BCI48061.2020.9061658}, doi = {10.1109/BCI48061.2020.9061658}, timestamp = {Wed, 23 Mar 2022 08:02:42 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ChoiKLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimKLC20, author = {Hwa{-}Rang Kim and Kwang{-}Ju Kim and Kil{-}Taek Lim and Doo{-}Hyun Choi}, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {Colorectal Cancer Image Segmentation and Classification with Deep Neural Network Based on Information Theory}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, pages = {2968--2970}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020.9313157}, doi = {10.1109/BIBM49941.2020.9313157}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KimKLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/BujnowskiRCWPKB20, author = {Pawel Bujnowski and Kseniia Ryzhova and Hyungtak Choi and Katarzyna Witkowska and Jaroslaw Piersa and Tymoteusz Krumholc and Katarzyna Beksa}, editor = {Ann Clifton and Courtney Napoles}, title = {An Empirical Study on Multi-Task Learning for Text Style Transfer and Paraphrase Generation}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020 - Industry Track, Online, December 12, 2020}, pages = {50--63}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-industry.6}, doi = {10.18653/V1/2020.COLING-INDUSTRY.6}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/BujnowskiRCWPKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiCB0L20, author = {Myungsub Choi and Janghoon Choi and Sungyong Baik and Tae Hyun Kim and Kyoung Mu Lee}, title = {Scene-Adaptive Video Frame Interpolation via Meta-Learning}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {9441--9450}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Choi\_Scene-Adaptive\_Video\_Frame\_Interpolation\_via\_Meta-Learning\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00946}, timestamp = {Tue, 31 Aug 2021 14:00:04 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiCB0L20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimCKK20, author = {Bumsoo Kim and Taeho Choi and Jaewoo Kang and Hyunwoo J. Kim}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {UnionDet: Union-Level Detector Towards Real-Time Human-Object Interaction Detection}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XV}}, series = {Lecture Notes in Computer Science}, volume = {12360}, pages = {498--514}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58555-6\_30}, doi = {10.1007/978-3-030-58555-6\_30}, timestamp = {Tue, 17 Nov 2020 16:51:25 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungKSKLCKCKJLR20, author = {Taesub Jung and Yonghun Kwon and Sungyoung Seo and Min{-}Sun Keel and Changkeun Lee and Sung{-}Ho Choi and Sae{-}Young Kim and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Moosup Lim and Hyunsurk Ryu and Yitae Kim and Joonseok Kim and Chang{-}Rok Moon}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {A 4-tap global shutter pixel with enhanced {IR} sensitivity for {VGA} time-of-flight {CMOS} image sensors}, booktitle = {Imaging Sensors and Systems 2020, Burlingame, CA, USA, January 26-30, 2020}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2020}, url = {https://doi.org/10.2352/ISSN.2470-1173.2020.7.ISS-103}, doi = {10.2352/ISSN.2470-1173.2020.7.ISS-103}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungKSKLCKCKJLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ByunLJCOL20, author = {Yoonkwan Byun and Hyunji Lee and Sek{-}Jin Jang and Seong Jong Choi and Seung{-}Hee Oh and Yong{-}Tae Lee}, title = {A Study for Image Expression of Emergency Alert}, booktitle = {22nd International Conference on Advanced Communication Technology, {ICACT} 2020, Phoenix Park, PyeongChang, Korea (South), February 16-19, 2020}, pages = {454--456}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ICACT48636.2020.9061478}, doi = {10.23919/ICACT48636.2020.9061478}, timestamp = {Mon, 21 Mar 2022 08:04:55 +0100}, biburl = {https://dblp.org/rec/conf/icact/ByunLJCOL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ByunLJCOL20a, author = {Yoonkwan Byun and Hyunji Lee and Sek{-}Jin Jang and Seong Jong Choi and Seung{-}Hee Oh and Yong{-}Tae Lee}, title = {Interface Design between Mobile Communication Service Provider and National Alert Originator in Korea}, booktitle = {22nd International Conference on Advanced Communication Technology, {ICACT} 2020, Phoenix Park, PyeongChang, Korea (South), February 16-19, 2020}, pages = {478--480}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ICACT48636.2020.9061489}, doi = {10.23919/ICACT48636.2020.9061489}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/ByunLJCOL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/JangCKK20, author = {Hyuncheol Jang and Soobin Choi and Eunhye Kwon and Chongwook Kwon}, title = {IoT Device Auto-Tagging Using Transformers}, booktitle = {12th International Conference on Advanced Infocomm Technology, {ICAIT} 2020, Taipa, Macao, November 23-25, 2020}, pages = {47--50}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICAIT51223.2020.9315384}, doi = {10.1109/ICAIT51223.2020.9315384}, timestamp = {Tue, 02 Feb 2021 12:16:33 +0100}, biburl = {https://dblp.org/rec/conf/icait/JangCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimHKCKZ20, author = {Taehyeong Kim and Injune Hwang and Gi{-}Cheon Kang and Won{-}Seok Choi and Hyunseo Kim and Byoung{-}Tak Zhang}, title = {Label Propagation Adaptive Resonance Theory for Semi-Supervised Continuous Learning}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {4012--4016}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054655}, doi = {10.1109/ICASSP40776.2020.9054655}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimHKCKZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChoiKK20, author = {Hyunjong Choi and Mohsen Karimi and Hyoseung Kim}, title = {Chain-Based Fixed-Priority Scheduling of Loosely-Dependent Tasks}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {631--639}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00109}, doi = {10.1109/ICCD50377.2020.00109}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChoiKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiLJLMK20, author = {Hee{-}Jin Choi and Hyun{-}Taek Lee and Kyung{-}Il Joo and Tae{-}Hyun Lee and Sung{-}Wook Min and Hak{-}Rin Kim}, title = {Compensation of a chromatic aberration of a geometric phase lens for realizing a bi-focal integral floating display without a color breaking}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043113}, doi = {10.1109/ICCE46568.2020.9043113}, timestamp = {Mon, 30 Mar 2020 16:23:11 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiLJLMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icea/ChoiSS20, author = {Hyunpyo Choi and Jiho Shin and Jung{-}Taek Seo}, title = {A Study on the Digital Forensic Method for Decentralized Web Service}, booktitle = {{ACM} {ICEA} '20: 2020 {ACM} International Conference on Intelligent Computing and its Emerging Applications, GangWon Republic of Korea, December 12 - 15, 2020}, pages = {37:1--37:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3440943.3444736}, doi = {10.1145/3440943.3444736}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icea/ChoiSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icea/KimCSS20, author = {Janghoon Kim and Hyunpyo Choi and Jiho Shin and Jung{-}Taek Seo}, title = {Study on Anomaly Detection Technique in an Industrial Control System Based on Machine Learning}, booktitle = {{ACM} {ICEA} '20: 2020 {ACM} International Conference on Intelligent Computing and its Emerging Applications, GangWon Republic of Korea, December 12 - 15, 2020}, pages = {47:1--47:5}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3440943.3444743}, doi = {10.1145/3440943.3444743}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icea/KimCSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icea/LeeCSS20, author = {Joochan Lee and Hyunpyo Choi and Jiho Shin and Jung{-}Taek Seo}, title = {Detection and Analysis Technique for Manipulation Attacks on {PLC} Control Logic}, booktitle = {{ACM} {ICEA} '20: 2020 {ACM} International Conference on Intelligent Computing and its Emerging Applications, GangWon Republic of Korea, December 12 - 15, 2020}, pages = {46:1--46:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3440943.3444742}, doi = {10.1145/3440943.3444742}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icea/LeeCSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ChoiKJG20, author = {Hyunjin Choi and Judong Kim and Seongho Joe and Youngjune Gwon}, title = {Evaluation of {BERT} and {ALBERT} Sentence Embedding Performance on Downstream {NLP} Tasks}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {5482--5487}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412102}, doi = {10.1109/ICPR48806.2021.9412102}, timestamp = {Fri, 07 May 2021 08:42:33 +0200}, biburl = {https://dblp.org/rec/conf/icpr/ChoiKJG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ChoiKJMG20, author = {Hyunjin Choi and Judong Kim and Seongho Joe and Seungjai Min and Youngjune Gwon}, title = {Analyzing Zero-shot Cross-lingual Transfer in Supervised {NLP} Tasks}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {9608--9613}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412570}, doi = {10.1109/ICPR48806.2021.9412570}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/ChoiKJMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKSPP20, author = {Jinchul Choi and Hyunseok Kim and Youngsung Son and Chan{-}Won Park and Jun Hee Park}, title = {Robotic Behavioral Cloning Through Task Building}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1279--1281}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289148}, doi = {10.1109/ICTC49870.2020.9289148}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKSPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/YangLKNCJLKLK20, author = {Jinho Yang and Sangho Lee and Yong{-}Shin Kang and Sang Do Noh and Sung Soo Choi and Bo Ra Jung and Sang Hyun Lee and Jeong{-}Tae Kang and Dae Yub Lee and Hyung Sun Kim}, editor = {Bojan Lalic and Vidosav D. Majstorovic and Ugljesa Marjanovic and Gregor von Cieminski and David Romero}, title = {Integrated Platform and Digital Twin Application for Global Automotive Part Suppliers}, booktitle = {Advances in Production Management Systems. Towards Smart and Digital Manufacturing - {IFIP} {WG} 5.7 International Conference, {APMS} 2020, Novi Sad, Serbia, August 30 - September 3, 2020, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {592}, pages = {230--237}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-57997-5\_27}, doi = {10.1007/978-3-030-57997-5\_27}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/YangLKNCJLKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JeongLJKKY0CKJJ20, author = {Tae{-}Young Jeong and Miji Lee and Yunkyung Jo and Jinwoo Kim and Min Kim and Myungsoo Yeo and Jinseok Kim and Hyunjun Choi and Joosung Kim and Yoojin Jo and Yongsung Ji and Taiki Uemura and Hai Jiang and Dongkyun Kwon and HwaSung Rhee and Sangwoo Pae and Brandon Lee}, title = {Reliability on {EUV} Interconnect Technology for 7nm and beyond}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129318}, doi = {10.1109/IRPS45951.2020.9129318}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JeongLJKKY0CKJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKCCKEKLSNTL20, author = {Jongseok Kim and Byungkwan Kim and Sungdo Choi and Hyun{-}Woong Cho and Woosuk Kim and Minsung Eo and Seungtae Khang and Seongwook Lee and Tsuyoshi Sugiura and Artem Nikishov and Koki Tanji and Anton Lukyanov}, title = {79-GHz Four-RFIC Cascading Radar System for Autonomous Driving}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180805}, doi = {10.1109/ISCAS45731.2020.9180805}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKCCKEKLSNTL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iser/ChoiWCSKTSA20, author = {Hyungho Chris Choi and Inhwan Wee and Micah Corah and Sahand Sabet and Taeyeon Kim and Thomas Touma and David Hyunchul Shim and Ali{-}akbar Agha{-}mohammadi}, editor = {Bruno Siciliano and Cecilia Laschi and Oussama Khatib}, title = {{BAXTER:} Bi-Modal Aerial-Terrestrial Hybrid Vehicle for Long-Endurance Versatile Mobility}, booktitle = {Experimental Robotics - The 17th International Symposium, {ISER} 2020, La Valletta, Malta, November 9-12, 2020 (postponed to 2021)}, series = {Springer Proceedings in Advanced Robotics}, volume = {19}, pages = {60--72}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-71151-1\_6}, doi = {10.1007/978-3-030-71151-1\_6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iser/ChoiWCSKTSA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {5.4 {A} Dynamic Pseudo 4-Tap {CMOS} Time-of-Flight Image Sensor with Motion Artifact Suppression and Background Light Cancelling Over 120klux}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {100--102}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063101}, doi = {10.1109/ISSCC19947.2020.9063101}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKKLKKKLCSCK20, author = {Min{-}Woo Ko and Gyeong{-}Gu Kang and Ki{-}Duk Kim and Ji{-}Hun Lee and Seok{-}Tae Koh and Tae{-}Hwang Kong and Sang{-}Ho Kim and Sungyong Lee and Michael Choi and Jongshin Shin and Gyu{-}Hyeong Cho and Hyunsik Kim}, title = {11.8 {A} 96.8{\%}-Efficiency Continuous Input/Output-Current Step-Up/Down Converter Powering Disposable IoTs with Reconfigurable Multi-Cell-Balanced Alkaline Batteries}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {204--206}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062896}, doi = {10.1109/ISSCC19947.2020.9062896}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KoKKLKKKLCSCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ChoiKPYLKSL20, author = {Jun{-}Ho Choi and Kyungmin Kim and Taejin Park and Junho Yun and Jong{-}Hwan Lee and Songkuk Kim and Hyunjung Shim and Jong{-}Seok Lee}, title = {Real-time Integrated Human Activity Recognition System based on Multimodal User Understanding}, booktitle = {{IUI} '20: 25th International Conference on Intelligent User Interfaces, Cagliari, Italy, March 17-20, 2020, Companion}, pages = {89--90}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379336.3381482}, doi = {10.1145/3379336.3381482}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iui/ChoiKPYLKSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlis/ChoiHKHKPH20, author = {Hyun{-}Tae Choi and Yuna Han and Dahye Kim and Seonghoon Ham and Minji Kim and Yesol Park and Byung{-}Woo Hong}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros and Chi{-}Hua Chen}, title = {Anisotropic Diffusion with Deep Learning}, booktitle = {Machine Learning and Artificial Intelligence - Proceedings of {MLIS} 2020, Virtual Event, October 25-28, 2020}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {332}, pages = {40--45}, publisher = {{IOS} Press}, year = {2020}, url = {https://doi.org/10.3233/FAIA200764}, doi = {10.3233/FAIA200764}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mlis/ChoiHKHKPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-00779, author = {Myungsub Choi and Janghoon Choi and Sungyong Baik and Tae Hyun Kim and Kyoung Mu Lee}, title = {Scene-Adaptive Video Frame Interpolation via Meta-Learning}, journal = {CoRR}, volume = {abs/2004.00779}, year = {2020}, url = {https://arxiv.org/abs/2004.00779}, eprinttype = {arXiv}, eprint = {2004.00779}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-00779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02137, author = {Taehyeong Kim and Injune Hwang and Gi{-}Cheon Kang and Won{-}Seok Choi and Hyunseo Kim and Byoung{-}Tak Zhang}, title = {Label Propagation Adaptive Resonance Theory for Semi-supervised Continuous Learning}, journal = {CoRR}, volume = {abs/2005.02137}, year = {2020}, url = {https://arxiv.org/abs/2005.02137}, eprinttype = {arXiv}, eprint = {2005.02137}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-03855, author = {Dong{-}Jin Kim and Tae Hyun Oh and Jinsoo Choi and In So Kweon}, title = {Dense Relational Image Captioning via Multi-task Triple-Stream Networks}, journal = {CoRR}, volume = {abs/2010.03855}, year = {2020}, url = {https://arxiv.org/abs/2010.03855}, eprinttype = {arXiv}, eprint = {2010.03855}, timestamp = {Tue, 13 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-03855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-01227, author = {Taehyeong Kim and Injune Hwang and Hyundo Lee and Hyunseo Kim and Won{-}Seok Choi and Byoung{-}Tak Zhang}, title = {Message Passing Adaptive Resonance Theory for Online Active Semi-supervised Learning}, journal = {CoRR}, volume = {abs/2012.01227}, year = {2020}, url = {https://arxiv.org/abs/2012.01227}, eprinttype = {arXiv}, eprint = {2012.01227}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-01227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiCKP19, author = {Taewon Choi and Seoyun Chang and Tae{-}Hyung Kim and Jinhyoung Park}, title = {Golay-Coded Excitations for Rotational Intravascular Ultrasound Imaging}, journal = {{IEEE} Access}, volume = {7}, pages = {119718--119728}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936462}, doi = {10.1109/ACCESS.2019.2936462}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiCKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiHTPL19, author = {Woosung Choi and Hyunsuk Huh and Bayu Adhi Tama and Gyusang Park and Seungchul Lee}, title = {A Neural Network Model for Material Degradation Detection and Diagnosis Using Microscopic Images}, journal = {{IEEE} Access}, volume = {7}, pages = {92151--92160}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2927162}, doi = {10.1109/ACCESS.2019.2927162}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiHTPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiPLCSHNSDHK19, author = {Ki Hong Choi and Jinhyoung Park and Joo Myung Lee and Taewon Choi and Young Bin Song and Joo{-}Yong Hahn and Chang{-}Wook Nam and Eun{-}Seok Shin and Joon{-}Hyung Doh and Seung{-}Ho Hur and Bon{-}Kwon Koo}, title = {Comparison of Current and Novel ECG-Independent Algorithms for Resting Pressure Derived Physiologic Indices}, journal = {{IEEE} Access}, volume = {7}, pages = {144313--144323}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940085}, doi = {10.1109/ACCESS.2019.2940085}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiPLCSHNSDHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKJCH19, author = {Insik Jung and Hyunsoo Kim and Jinkyo Jeong and Sooyong Choi and Daesik Hong}, title = {An Enhanced Tabu Search Based Receiver for Full-Spreading {NOMA} Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {159899--159917}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950901}, doi = {10.1109/ACCESS.2019.2950901}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungKJCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKC19, author = {Jonghoek Kim and Hyun{-}Tae Kim and Sungyun Choi}, title = {Performance Criterion of Phasor Measurement Units for Distribution System State Estimation}, journal = {{IEEE} Access}, volume = {7}, pages = {106372--106384}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2932940}, doi = {10.1109/ACCESS.2019.2932940}, timestamp = {Thu, 05 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkLC19, author = {Minyoung Park and Hyun{-}Taek Lee and Hee{-}Jin Choi}, title = {Augmented Reality Lightfield Display for a Smart Window Using an Active Pinhole Array}, journal = {{IEEE} Access}, volume = {7}, pages = {171974--171979}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2956605}, doi = {10.1109/ACCESS.2019.2956605}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkMHCC19, author = {Soon{-}Seo Park and Youngjae Min and Jung{-}Su Ha and Doo{-}Hyun Cho and Han{-}Lim Choi}, title = {A Distributed {ADMM} Approach to Non-Myopic Path Planning for Multi-Target Tracking}, journal = {{IEEE} Access}, volume = {7}, pages = {163589--163603}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2952235}, doi = {10.1109/ACCESS.2019.2952235}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkMHCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/ChoiGKSL19, author = {Hyunwoo Choi and Taesik Gong and Jaehun Kim and Jaemin Shin and Sung{-}Ju Lee}, title = {Use {MU-MIMO} at your own risk - Why we don't get Gb/s Wi-Fi}, journal = {Ad Hoc Networks}, volume = {83}, pages = {78--90}, year = {2019}, url = {https://doi.org/10.1016/j.adhoc.2018.08.019}, doi = {10.1016/J.ADHOC.2018.08.019}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adhoc/ChoiGKSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/GhoshDTC19, author = {Soumita Ghosh and Abhik Datta and Kaisen Tan and Hyungwon Choi}, title = {{SLIDE} - a web-based tool for interactive visualization of large-scale - omics data}, journal = {Bioinform.}, volume = {35}, number = {2}, pages = {346--348}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/bty534}, doi = {10.1093/BIOINFORMATICS/BTY534}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/GhoshDTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JooCLPJJW19, author = {Taewoon Joo and Ji{-}Hye Choi and Ji{-}Hye Lee and So Eun Park and Youngsic Jeon and Sae Hoon Jung and Hyun Goo Woo}, title = {SEQprocess: a modularized and customizable pipeline framework for {NGS} processing in {R} package}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {90:1--90:7}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2676-x}, doi = {10.1186/S12859-019-2676-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JooCLPJJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/RokitoCTB19, author = {Shelby Rokito and Yoon Hyung Choi and Samuel Hardman Taylor and Natalya N. Bazarova}, title = {Over-gratified, under-gratified, or just right? Applying the gratification discrepancy approach to investigate recurrent Facebook use}, journal = {Comput. Hum. Behav.}, volume = {93}, pages = {76--83}, year = {2019}, url = {https://doi.org/10.1016/j.chb.2018.11.041}, doi = {10.1016/J.CHB.2018.11.041}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/RokitoCTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ParkYKC19, author = {Hyojin Park and Jinhong Yang and Hyuncheol Kim and Jun Kyun Choi}, title = {Customized video service system design and implementation: from taste to image-based consuming method}, journal = {Clust. Comput.}, volume = {22}, number = {Suppl 1}, pages = {999--1009}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1142-7}, doi = {10.1007/S10586-017-1142-7}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/ParkYKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/ChoiLYA19, author = {Tae Jong Choi and Jong{-}Hyun Lee and Hee Yong Youn and Chang Wook Ahn}, title = {Adaptive Differential Evolution with Elite Opposition-Based Learning and its Application to Training Artificial Neural Networks}, journal = {Fundam. Informaticae}, volume = {164}, number = {2-3}, pages = {227--242}, year = {2019}, url = {https://doi.org/10.3233/FI-2019-1764}, doi = {10.3233/FI-2019-1764}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/ChoiLYA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LeeYCKC19, author = {Jae Seang Lee and Yoon{-}Sik Yoo and Hyung Seok Choi and Taejoon Kim and Jun Kyun Choi}, title = {Energy-Efficient {TDMA} Scheduling for {UVS} Tactical {MANET}}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {11}, pages = {2126--2129}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2019.2936472}, doi = {10.1109/LCOMM.2019.2936472}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LeeYCKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/SaadLC19, author = {Maliazurina Saad and Ikhyun Lee and Tae{-}Sun Choi}, title = {Automated delineation of non-small cell lung cancer: {A} step toward quantitative reasoning in medical decision science}, journal = {Int. J. Imaging Syst. Technol.}, volume = {29}, number = {4}, pages = {561--576}, year = {2019}, url = {https://doi.org/10.1002/ima.22336}, doi = {10.1002/IMA.22336}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/SaadLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/KangLOCLHK19, author = {Jisoo Kang and Hyeonwoong Lee and Hyeju Oh and Keeyoung Choi and Hak{-}Tae Lee and Hyejung Hong and Sang Hyun Kim}, title = {Safety and Workload Assessment of Lost {C2} Link on Seoul-Jeju Route}, journal = {J. Aerosp. Inf. Syst.}, volume = {16}, number = {4}, pages = {120--131}, year = {2019}, url = {https://doi.org/10.2514/1.i010670}, doi = {10.2514/1.I010670}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jacic/KangLOCLHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/HanCCCKK19, author = {Jinyoung Han and Daejin Choi and Taejoong Chung and Chen{-}Nee Chuah and Hyunchul Kim and Ted Taekyoung Kwon}, title = {Predicting content consumption from content-to-content relationships}, journal = {J. Netw. Comput. Appl.}, volume = {132}, pages = {1--9}, year = {2019}, url = {https://doi.org/10.1016/j.jnca.2019.01.030}, doi = {10.1016/J.JNCA.2019.01.030}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/HanCCCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MoonJKCKR19, author = {Kyoung{-}Jun Moon and Dong{-}Shin Jo and Wan Kim and Michael Choi and Hyung{-}Jong Ko and Seung{-}Tak Ryu}, title = {A 9.1-ENOB 6-mW 10-Bit 500-MS/s Pipelined-SAR {ADC} With Current-Mode Residue Processing in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {9}, pages = {2532--2542}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2926648}, doi = {10.1109/JSSC.2019.2926648}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MoonJKCKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/TaylorDCSB19, author = {Samuel Hardman Taylor and Dominic DiFranzo and Yoon Hyung Choi and Shruti Sannon and Natalya N. Bazarova}, title = {Accountability and Empathy by Design: Encouraging Bystander Intervention to Cyberbullying on Social Media}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {3}, number = {{CSCW}}, pages = {118:1--118:26}, year = {2019}, url = {https://doi.org/10.1145/3359220}, doi = {10.1145/3359220}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/TaylorDCSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KimKCCKKLYKLLR19, author = {Kwang Soon Kim and Dong Ku Kim and Chan{-}Byoung Chae and Sunghyun Choi and Young{-}Chai Ko and Jonghyun Kim and Yeon{-}Geun Lim and Minho Yang and Sundo Kim and Byungju Lim and Kwanghoon Lee and Kyung Lin Ryu}, title = {Ultrareliable and Low-Latency Communication Techniques for Tactile Internet Services}, journal = {Proc. {IEEE}}, volume = {107}, number = {2}, pages = {376--393}, year = {2019}, url = {https://doi.org/10.1109/JPROC.2018.2868995}, doi = {10.1109/JPROC.2018.2868995}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KimKCCKKLYKLLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCLPC19, author = {Juhyung Kim and Doo{-}Hyun Cho and Woo{-}Cheol Lee and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Optimal Target Assignment with Seamless Handovers for Networked Radars}, journal = {Sensors}, volume = {19}, number = {20}, pages = {4555}, year = {2019}, url = {https://doi.org/10.3390/s19204555}, doi = {10.3390/S19204555}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimCLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJC19, author = {Whui Kim and Woo{-}Sung Jung and Hyun{-}Kyun Choi}, title = {Lightweight Driver Monitoring System Based on Multi-Task Mobilenets}, journal = {Sensors}, volume = {19}, number = {14}, pages = {3200}, year = {2019}, url = {https://doi.org/10.3390/s19143200}, doi = {10.3390/S19143200}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ShimOLCCK19, author = {Inwook Shim and Tae{-}Hyun Oh and Joon{-}Young Lee and Jinwook Choi and Dong{-}Geol Choi and In So Kweon}, title = {Gradient-Based Camera Exposure Control for Outdoor Mobile Platforms}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {6}, pages = {1569--1583}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2846292}, doi = {10.1109/TCSVT.2018.2846292}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ShimOLCCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/AnCKK19, author = {Jhonghyun An and Baehoon Choi and Hyunju Kim and Euntai Kim}, title = {A New Contour-Based Approach to Moving Object Detection and Tracking Using a Low-End Three-Dimensional Laser Scanner}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {8}, pages = {7392--7405}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2924268}, doi = {10.1109/TVT.2019.2924268}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/AnCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimKOJYCRNKSWQL19, author = {Younsun Kim and Youngbum Kim and Jinyoung Oh and Hyoungju Ji and Jeongho Yeo and Seunghoon Choi and Hyunseok Ryu and Hoondong Noh and Taehyoung Kim and Feifei Sun and Yi Wang and Yinan Qi and Juho Lee}, title = {New Radio {(NR)} and its Evolution toward 5G-Advanced}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {3}, pages = {2--7}, year = {2019}, url = {https://doi.org/10.1109/MWC.2019.8752473}, doi = {10.1109/MWC.2019.8752473}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/KimKOJYCRNKSWQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/KimKCH19, author = {Tae{-}Hwan Kim and Soon{-}Ju Kwon and Hyun{-}Min Choi and Youn{-}Sik Hong}, title = {Determination of Lying Posture through Recognition of Multitier Body Parts}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2019}, pages = {9568584:1--9568584:16}, year = {2019}, url = {https://doi.org/10.1155/2019/9568584}, doi = {10.1155/2019/9568584}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/KimKCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ChoiCRLLKH19, author = {Ga{-}Young Choi and Soo{-}In Choi and Rahmawati Rahmawati and Hyung{-}Tak Lee and Yun{-}Sung Lee and Seong{-}Uk Kim and Han{-}Jeong Hwang}, title = {Biometrics Based on Single-Trial {EEG}}, booktitle = {7th International Winter Conference on Brain-Computer Interface, {BCI} 2019, Gangwon, Korea (South), February 18-20, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWW-BCI.2019.8737254}, doi = {10.1109/IWW-BCI.2019.8737254}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/ChoiCRLLKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/JoCY19, author = {Jeonghee Jo and Hyun{-}Soo Choi and Sungroh Yoon}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Prediction of Drug Classes with a Deep Neural Network using Drug Targets and Chemical Structure Data}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {664--667}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983104}, doi = {10.1109/BIBM47256.2019.8983104}, timestamp = {Fri, 14 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/JoCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/JoKCC19, author = {Ji{-}Hun Jo and Hyung{-}Sik Kim and Soon{-}Cheol Chung and Mi{-}Hyun Choi}, editor = {Arkadiusz Tomczyk and Ana L. N. Fred and Hugo Gamboa}, title = {{BOLD} Signal Change during Driving with Addition Task using fMRI}, booktitle = {Proceedings of the 12th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2019) - Volume 2: BIOIMAGING, Prague, Czech Republic, February 22-24, 2019}, pages = {100--103}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007376501000103}, doi = {10.5220/0007376501000103}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/JoKCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/DiFranzoCPTWB19, author = {Dominic DiFranzo and Yoon Hyung Choi and Amanda Purington and Jessie G. Taft and Janis Whitlock and Natalya N. Bazarova}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Social Media TestDrive: Real-World Social Media Education for the Next Generation}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {303}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300533}, doi = {10.1145/3290605.3300533}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/DiFranzoCPTWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0003COK19, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and In So Kweon}, title = {Dense Relational Captioning: Triple-Stream Networks for Relationship-Based Captioning}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {6271--6280}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Kim\_Dense\_Relational\_Captioning\_Triple-Stream\_Networks\_for\_Relationship-Based\_Captioning\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00643}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0003COK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimCOK19, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and In So Kweon}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {Image Captioning with Very Scarce Supervised Data: Adversarial Semi-Supervised Learning Approach}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {2012--2023}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1208}, doi = {10.18653/V1/D19-1208}, timestamp = {Thu, 07 Apr 2022 09:14:07 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimCOK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/SonHYCKK19, author = {Hyungki Son and Inwook Hwang and Tae{-}Heon Yang and Seungmoon Choi and Sang{-}Youn Kim and Jin Ryong Kim}, title = {RealWalk: Haptic Shoes Using Actuated {MR} Fluid for Walking in {VR}}, booktitle = {2019 {IEEE} World Haptics Conference, {WHC} 2019, Tokyo, Japan, July 9-12, 2019}, pages = {241--246}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WHC.2019.8816165}, doi = {10.1109/WHC.2019.8816165}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haptics/SonHYCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/UhmPBC19, author = {Taeyoung Uhm and Ji{-}Hyun Park and Gi{-}Deok Bae and Young{-}Ho Choi}, editor = {Norbert A. Streitz and Shin'ichi Konomi}, title = {Multi-modal Sensor Based Localization and Control Method for Human-Following Outdoor Security Mobile Robot}, booktitle = {Distributed, Ambient and Pervasive Interactions - 7th International Conference, {DAPI} 2019, Held as Part of the 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11587}, pages = {199--211}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-21935-2\_16}, doi = {10.1007/978-3-030-21935-2\_16}, timestamp = {Fri, 11 Jun 2021 15:56:14 +0200}, biburl = {https://dblp.org/rec/conf/hci/UhmPBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeKCJ19, author = {Kyong Hee Lee and Whui Kim and Hyun Kyun Choi and Byung{-}Tae Jang}, title = {A Study on Feature Extraction Methods Used to Estimate a Driver's Level of Drowsiness}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {710--713}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701928}, doi = {10.23919/ICACT.2019.8701928}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/LeeKCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaip/KimKSSSPC19, author = {Chan Yong Kim and Hyojin Kim and Huiseung Son and Muhammad Tanseef Shahid and Hyun Chul Song and Francesco Piccialli and Kwang Nam Choi}, title = {Marker Based Pedestrian Detection Using Augmented Reality}, booktitle = {{ICAIP} 2019: 3rd International Conference on Advances in Image Processing, Chengdu, China, November, 2019}, pages = {19--22}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3373419.3373456}, doi = {10.1145/3373419.3373456}, timestamp = {Tue, 25 Apr 2023 14:07:19 +0200}, biburl = {https://dblp.org/rec/conf/icaip/KimKSSSPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaip/KimSKLSPC19, author = {Daeun Dana Kim and Muhammad Tanseef Shahid and Yunseong Kim and Won Jun Lee and Hyun Chul Song and Francesco Piccialli and Kwang Nam Choi}, title = {Generating Pedestrian Training Dataset using {DCGAN}}, booktitle = {{ICAIP} 2019: 3rd International Conference on Advances in Image Processing, Chengdu, China, November, 2019}, pages = {1--4}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3373419.3373458}, doi = {10.1145/3373419.3373458}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaip/KimSKLSPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciit/SimKKC19, author = {Jae{-}Kyeong Sim and Hyungtai Kim and Geon Ha Kim and Mun{-}Taek Choi}, title = {Supervised Classification of Geriatric Anxiety}, booktitle = {Proceedings of the 2019 4th International Conference on Intelligent Information Technology, {ICIIT} '19, Da Nang, Vietnam, February 20-23, 2019}, pages = {73--77}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3321454.3321457}, doi = {10.1145/3321454.3321457}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iciit/SimKKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/ChoiDPK19, author = {Tae{-}Yong Choi and Hyunmin Do and Donil Park and Jinho Kyungk}, editor = {Oleg Gusikhin and Kurosh Madani and Janan Zaytoon}, title = {Control of an Industrial Dual-arm Robot in a Narrow Space where Human Workers are Familiar with}, booktitle = {Proceedings of the 16th International Conference on Informatics in Control, Automation and Robotics, {ICINCO} 2019 - Volume 2, Prague, Czech Republic, July 29-31, 2019}, pages = {339--344}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007918003390344}, doi = {10.5220/0007918003390344}, timestamp = {Wed, 18 Sep 2019 16:30:33 +0200}, biburl = {https://dblp.org/rec/conf/icinco/ChoiDPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeCJK19, author = {Kyong Hee Lee and Hyun Kyun Choi and Byung{-}Tae Jang and Do Hyun Kim}, title = {A Study on Speech Emotion Recognition Using a Deep Neural Network}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1162--1165}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939830}, doi = {10.1109/ICTC46691.2019.8939830}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeCJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/ChoPLCK19, author = {Eunsang Cho and Minkyung Park and Hyunwoo Lee and Junhyeok Choi and Ted Taekyoung Kwon}, editor = {Olaf Landsiedel and Klara Nahrstedt}, title = {{D2TLS:} delegation-based {DTLS} for cloud-based IoT services}, booktitle = {Proceedings of the International Conference on Internet of Things Design and Implementation, IoTDI 2019, Montreal, QC, Canada, April 15-18, 2019}, pages = {190--201}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3302505.3310081}, doi = {10.1145/3302505.3310081}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iotdi/ChoPLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiJK19, author = {Baehoon Choi and HyungGi Jo and Euntai Kim}, title = {Normal Distribution Mixture Matching based Model Free Object Tracking Using 2D {LIDAR}}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {455--461}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967876}, doi = {10.1109/IROS40897.2019.8967876}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekKBBCNHCYPLK19, author = {Ji{-}Seon Paek and Dong{-}Su Kim and Jun{-}Suk Bang and Jongbeom Baek and Jeong{-}Hyun Choi and Takahiro Nomiyama and Jae{-}Yeol Han and Young{-}Hwan Choo and Yong{-}Sik Youn and Euiyoung Park and Sung{-}Jun Lee and Ik{-}Hwan Kim and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An 88{\%}-Efficiency Supply Modulator Achieving 1.08{\(\mu\)}s/V Fast Transition and 100MHz Envelope-Tracking Bandwidth for 5G New Radio {RF} Power Amplifier}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {238--240}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662460}, doi = {10.1109/ISSCC.2019.8662460}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekKBBCNHCYPLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSLSCK19, author = {Hyunsu Park and Junyoung Song and Yeonho Lee and Jincheol Sim and Jonghyuck Choi and Chulwoo Kim}, title = {A 3-bit/2UI 27Gb/s {PAM-3} Single-Ended Transceiver Using One-Tap {DFE} for Next-Generation Memory Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {382--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662462}, doi = {10.1109/ISSCC.2019.8662462}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkSLSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/HaKLKCLK19, author = {Ji Su Ha and Su Hyun Kim and Sang Hee Lim and Taehoon Ko and Sae Won Choi and Hae Young Lee and Kyung Hwan Kim}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Introduction of a Program to Improve the Information Sharing System of Food Allergy Patients}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1674--1675}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190591}, doi = {10.3233/SHTI190591}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/HaKLKCLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeeJLLKLCCK19, author = {Hye Sook Lee and Da Na Jeong and Su In Lee and Shin Hae Lee and Kyung Hwan Kim and Hae Young Lee and Hyun Jai Cho and Sae Won Choi and Taehoon Ko}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Result and Effectiveness of Malicious E-mail Response Training in a Hospital}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1957}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190732}, doi = {10.3233/SHTI190732}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LeeJLLKLCCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ChoiGKSL19, author = {Hyunwoo Choi and Taesik Gong and Jaehun Kim and Jaemin Shin and Sung{-}Ju Lee}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Dissecting 802.11ac Performance - Why You Should Turn Off {MU-MIMO}}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {510--511}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328597}, doi = {10.1145/3307334.3328597}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/ChoiGKSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/LeeSLCCCK19, author = {Hyunwoo Lee and Zach Smith and Junghwan Lim and Gyeongjae Choi and Selin Chun and Taejoong Chung and Ted Taekyoung Kwon}, title = {maTLS: How to Make {TLS} middlebox-aware?}, booktitle = {26th Annual Network and Distributed System Security Symposium, {NDSS} 2019, San Diego, California, USA, February 24-27, 2019}, publisher = {The Internet Society}, year = {2019}, url = {https://www.ndss-symposium.org/ndss-paper/matls-how-to-make-tls-middlebox-aware/}, timestamp = {Mon, 01 Feb 2021 08:42:22 +0100}, biburl = {https://dblp.org/rec/conf/ndss/LeeSLCCCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChoiLK19, author = {Wanho Choi and Taehyung Lee and Wonchul Kang}, title = {Beyond the Screen}, booktitle = {{SIGGRAPH} Asia 2019 Technical Briefs, {SA} 2019, Brisbane, QLD, Australia, November 17-20, 2019}, pages = {65--66}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3355088.3365140}, doi = {10.1145/3355088.3365140}, timestamp = {Mon, 18 Nov 2019 19:48:59 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChoiLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KuCJDLS19, author = {Hyunjin Ku and Jason J. Choi and Sunho Jang and Wonkyung Do and Soomin Lee and Sangok Seok}, title = {Online Social Touch Pattern Recognition with Multi-modal-sensing Modular Tactile Interface}, booktitle = {16th International Conference on Ubiquitous Robots, {UR} 2019, Jeju, South Korea, June 24-27, 2019}, pages = {271--277}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/URAI.2019.8768706}, doi = {10.1109/URAI.2019.8768706}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KuCJDLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HwangCDJKPKJ19, author = {Jeongho Hwang and Hong{-}Seok Choi and Hyungrok Do and Gyu{-}Seob Jeong and Daehyun Koh and Kwanseo Park and Sungwoo Kim and Deog{-}Kyoon Jeong}, title = {A 64Gb/s 2.29pJ/b {PAM-4} {VCSEL} Transmitter With 3-Tap Asymmetric {FFE} in 65nm {CMOS}}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {268}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8777952}, doi = {10.23919/VLSIC.2019.8777952}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HwangCDJKPKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KeelJKKKBCSKACJ19, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Chang{-}Rok Moon and Hyunsurk Ryu}, title = {A 640{\texttimes}480 Indirect Time-of-Flight {CMOS} Image Sensor with 4-tap 7-{\(\mu\)}m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation Scheme}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {258}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778090}, doi = {10.23919/VLSIC.2019.8778090}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KeelJKKKBCSKACJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimCS19, author = {Whui Kim and Hyun Kyun Choi and Min Jung Shin}, title = {Detectable Object-Sizes Range Estimation Based Multi-Task Cascaded Convolutional Neural Networks in the Vehicle Environment}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8890976}, doi = {10.1109/VTCFALL.2019.8890976}, timestamp = {Mon, 20 Dec 2021 11:29:04 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KimCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-05271, author = {Junyoung Choi and Minsung Hyun and Nojun Kwak}, title = {Task-oriented Design through Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/1903.05271}, year = {2019}, url = {http://arxiv.org/abs/1903.05271}, eprinttype = {arXiv}, eprint = {1903.05271}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-05271.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-05942, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and In So Kweon}, title = {Dense Relational Captioning: Triple-Stream Networks for Relationship-Based Captioning}, journal = {CoRR}, volume = {abs/1903.05942}, year = {2019}, url = {http://arxiv.org/abs/1903.05942}, eprinttype = {arXiv}, eprint = {1903.05942}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-05942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04474, author = {Kwang Soon Kim and Dong Ku Kim and Chan{-}Byoung Chae and Sunghyun Choi and Young{-}Chai Ko and Jonghyun Kim and Yeon{-}Geun Lim and Minho Yang and Sundo Kim and Byungju Lim and Kwanghoon Lee and Kyung Lin Ryu}, title = {Ultrareliable and Low-Latency Communication Techniques for Tactile Internet Services}, journal = {CoRR}, volume = {abs/1907.04474}, year = {2019}, url = {http://arxiv.org/abs/1907.04474}, eprinttype = {arXiv}, eprint = {1907.04474}, timestamp = {Thu, 04 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-05133, author = {Byungjoo Choi and Gaang Lee and Houtan Jebelli and SangHyun Lee}, title = {Assessing Workers Perceived Risk During Construction Task Using {A} Wristband-Type Biosensor}, journal = {CoRR}, volume = {abs/1908.05133}, year = {2019}, url = {http://arxiv.org/abs/1908.05133}, eprinttype = {arXiv}, eprint = {1908.05133}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-05133.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-02201, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and In So Kweon}, title = {Image Captioning with Very Scarce Supervised Data: Adversarial Semi-Supervised Learning Approach}, journal = {CoRR}, volume = {abs/1909.02201}, year = {2019}, url = {http://arxiv.org/abs/1909.02201}, eprinttype = {arXiv}, eprint = {1909.02201}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-02201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-00374, author = {Junhong Kim and Doo{-}Hyun Cho and Jaemyung Ahn and Han{-}Lim Choi}, title = {Task Scheduling of Multiple Agile Satellites with Transition Time and Stereo Imaging Constraints}, journal = {CoRR}, volume = {abs/1912.00374}, year = {2019}, url = {http://arxiv.org/abs/1912.00374}, eprinttype = {arXiv}, eprint = {1912.00374}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-00374.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungPCC18, author = {Jongdae Jung and Jeonghong Park and Jinwoo Choi and Hyun{-}Taek Choi}, title = {Autonomous Mapping of Underwater Magnetic Fields Using a Surface Vehicle}, journal = {{IEEE} Access}, volume = {6}, pages = {62552--62563}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2872672}, doi = {10.1109/ACCESS.2018.2872672}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungPCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonKPYC18, author = {Hyun Kwon and Yongchul Kim and Ki{-}Woong Park and Hyunsoo Yoon and Daeseon Choi}, title = {Multi-Targeted Adversarial Example in Evasion Attack on Deep Neural Network}, journal = {{IEEE} Access}, volume = {6}, pages = {46084--46096}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2866197}, doi = {10.1109/ACCESS.2018.2866197}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwonKPYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KyeongCK18, author = {Hyun{-}Ho Kyeong and Yoonjoo Choi and Hak{-}Sung Kim}, title = {GradDock: rapid simulation and tailored ranking functions for peptide-MHC Class {I} docking}, journal = {Bioinform.}, volume = {34}, number = {3}, pages = {469--476}, year = {2018}, url = {https://doi.org/10.1093/bioinformatics/btx589}, doi = {10.1093/BIOINFORMATICS/BTX589}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KyeongCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/YuPSKJC18, author = {Ting Yu and Pradeep Paudel and Su Hui Seong and Jeong Ah Kim and Hyun Ah Jung and Jae Sue Choi}, title = {Computational insights into {\(\beta\)}-site amyloid precursor protein enzyme 1 {(BACE1)} inhibition by tanshinones and salvianolic acids from \emph{Salvia miltiorrhiza} via molecular docking simulations}, journal = {Comput. Biol. Chem.}, volume = {74}, pages = {273--285}, year = {2018}, url = {https://doi.org/10.1016/j.compbiolchem.2018.04.008}, doi = {10.1016/J.COMPBIOLCHEM.2018.04.008}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/YuPSKJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCKCC18, author = {Sung Jin Kim and Jong Hoon Choi and Hyung Tae Kim and Hee Nam Chae and Sung Min Cho}, title = {Advanced Top-Down Fabrication Process of {A-IGZO} {TFT} for Roll-to-Roll Backplane}, journal = {{IEICE} Trans. Electron.}, volume = {101-C}, number = {11}, pages = {874--879}, year = {2018}, url = {https://doi.org/10.1587/transele.E101.C.874}, doi = {10.1587/TRANSELE.E101.C.874}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCKCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/MaCJKYLCN18, author = {Songling Ma and Jiwon Choi and Xuemei Jin and Hyun{-}Yi Kim and Jihye Yun and Weontae Lee and Kang{-}Yell Choi and Kyoung Tai No}, title = {Discovery of a small-molecule inhibitor of Dvl-CXXC5 interaction by computational approaches}, journal = {J. Comput. Aided Mol. Des.}, volume = {32}, number = {5}, pages = {643--655}, year = {2018}, url = {https://doi.org/10.1007/s10822-018-0118-x}, doi = {10.1007/S10822-018-0118-X}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/MaCJKYLCN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/YuCLK18, author = {Boseon Yu and Wonik Choi and Taikjin Lee and Hyunduk Kim}, title = {Clustering Algorithm Considering Sensor Node Distribution in Wireless Sensor Networks}, journal = {J. Inf. Process. Syst.}, volume = {14}, number = {4}, pages = {926--940}, year = {2018}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=584}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/YuCLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JangSCLBKCKR18, author = {Il{-}Hoon Jang and Min{-}Jae Seo and Sang{-}Hyun Cho and Jae{-}Keun Lee and Seung{-}Yeob Baek and Sunwoo Kwon and Michael Choi and Hyung{-}Jong Ko and Seung{-}Tak Ryu}, title = {A 4.2-mW 10-MHz {BW} 74.4-dB {SNDR} Continuous-Time Delta-Sigma Modulator With SAR-Assisted Digital-Domain Noise Coupling}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {4}, pages = {1139--1148}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2778284}, doi = {10.1109/JSSC.2017.2778284}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JangSCLBKCKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YiCHBCJKSP18, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {144--154}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2746698}, doi = {10.1109/JSSC.2017.2746698}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YiCHBCJKSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/JooCB18, author = {Soohyung Joo and Namjoo Choi and Tae Hyun Baek}, title = {Library marketing via social media: The relationships between Facebook content and user engagement in public libraries}, journal = {Online Inf. Rev.}, volume = {42}, number = {6}, pages = {940--955}, year = {2018}, url = {https://doi.org/10.1108/OIR-10-2017-0288}, doi = {10.1108/OIR-10-2017-0288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/JooCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HaLPPCCK18, author = {Jonghyun Ha and Minhee Lee and Keunhwan Park and Gee Ho Park and Tae Hyun Choi and Kyu{-}Jin Cho and Ho{-}Young Kim}, title = {Hygrobot: {A} self-locomotive ratcheted actuator powered by environmental humidity}, journal = {Sci. Robotics}, volume = {3}, number = {14}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aar2629}, doi = {10.1126/SCIROBOTICS.AAR2629}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/HaLPPCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiPLJC18, author = {Jinwoo Choi and Jeonghong Park and Yoongeon Lee and Jongdae Jung and Hyun{-}Taek Choi}, title = {Robust Directional Angle Estimation of Underwater Acoustic Sources Using a Marine Vehicle}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3062}, year = {2018}, url = {https://doi.org/10.3390/s18093062}, doi = {10.3390/S18093062}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiPLJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinPCKCC18, author = {Ho Hyun Shin and Young Min Park and Duheon Choi and Byoung Jin Kim and Dae{-}Hyung Cho and Eui{-}Young Chung}, title = {{EXTREME:} Exploiting Page Table for Reducing Refresh Power of 3D-Stacked {DRAM} Memory}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {1}, pages = {32--44}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2723392}, doi = {10.1109/TC.2017.2723392}, timestamp = {Thu, 25 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ShinPCKCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/ParkCLCWY18, author = {Seunghyun Park and Hyun{-}Soo Choi and Byunghan Lee and Jongsik Chun and Joong{-}Ho Won and Sungroh Yoon}, title = {hc-OTU: {A} Fast and Accurate Method for Clustering Operational Taxonomic Units Based on Homopolymer Compaction}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {15}, number = {2}, pages = {441--451}, year = {2018}, url = {https://doi.org/10.1109/TCBB.2016.2535326}, doi = {10.1109/TCBB.2016.2535326}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/ParkCLCWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JeonBJLJKCJHJ18, author = {Hyuntak Jeon and Jun{-}Suk Bang and Yoontae Jung and Taeju Lee and Yeseul Jeon and Seok{-}Tae Koh and Jaesuk Choi and Doojin Jang and Soonyoung Hong and Minkyu Je}, title = {A 3.9{\(\mu\)}W, 81.3dB SNDR, DC-coupled, Time-based Neural Recording {IC} with Degeneration {R-DAC} for Bidirectional Neural Interface in 180nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {91--92}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579284}, doi = {10.1109/ASSCC.2018.8579284}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/JeonBJLJKCJHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LyuCDLWCCSMDCBG18, author = {Siwei Lyu and Ming{-}Ching Chang and Dawei Du and Wenbo Li and Yi Wei and Marco Del Coco and Pierluigi Carcagn{\`{\i}} and Arne Schumann and Bharti Munjal and Dinh{-}Quoc{-}Trung Dang and Doo{-}Hyun Choi and Erik Bochinski and Fabio Galasso and Filiz Bunyak and Guna Seetharaman and Jang{-}Woon Baek and Jong Taek Lee and Kannappan Palaniappan and Kil{-}Taek Lim and Kiyoung Moon and Kwang{-}Ju Kim and Lars Sommer and Meltem Brandlmaier and Minsung Kang and Moongu Jeon and Noor M. Al{-}Shakarji and Oliver Acatay and Pyong{-}Kun Kim and Sikandar Amin and Thomas Sikora and Tien Ba Dinh and Tobias Senst and Vu{-}Gia{-}Hy Che and Young{-}Chul Lim and Young{-}min Song and Yun{-}Su Chung}, title = {{UA-DETRAC} 2018: Report of {AVSS2018} {\&} {IWT4S} Challenge on Advanced Traffic Monitoring}, booktitle = {15th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2018, Auckland, New Zealand, November 27-30, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AVSS.2018.8639089}, doi = {10.1109/AVSS.2018.8639089}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/LyuCDLWCCSMDCBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ChoiCLHS18, author = {Soo{-}In Choi and Ga{-}Young Choi and Hyung{-}Tak Lee and Han{-}Jeong Hwang and Jaeyoung Shin}, title = {Classification of mental arithmetic and resting-state based on Ear-EEG}, booktitle = {6th International Conference on Brain-Computer Interface, {BCI} 2018, Gangwon, Korea (South), January 15-17, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IWW-BCI.2018.8311525}, doi = {10.1109/IWW-BCI.2018.8311525}, timestamp = {Tue, 22 Mar 2022 14:54:58 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ChoiCLHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/JungLGKKHKC18, author = {Kyeong Joo Jung and Bang Hun Lee and Yeon Nam Gung and Jun Seok Kim and Hyung Suk Kim and Ju Seong Han and Tomaspeter Kim and Bong Jun Choi}, editor = {Jong Kim and Gail{-}Joon Ahn and Seungjoo Kim and Yongdae Kim and Javier L{\'{o}}pez and Taesoo Kim}, title = {{POSTER:} Undetectable Task Bypassing {OS} Scheduler via Hardware Task Switching}, booktitle = {Proceedings of the 2018 on Asia Conference on Computer and Communications Security, AsiaCCS 2018, Incheon, Republic of Korea, June 04-08, 2018}, pages = {801--803}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196494.3201582}, doi = {10.1145/3196494.3201582}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/JungLGKKHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/JungYYPC18, author = {Woo{-}Sung Jung and Tae Hyun Yoon and Daeseung Yoo and Jeong{-}Ho Park and Hyun{-}Kyun Choi}, title = {Limitation of LoRaWAN in the Smart {HSE} System for Shipbuilding and Onshore Plant}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610494}, doi = {10.1109/DYSPAN.2018.8610494}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/JungYYPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimOKHCLZ18, author = {Eun{-}Sol Kim and Kyoung{-}Woon On and Jongseok Kim and Yu{-}Jung Heo and Seong{-}Ho Choi and Hyun{-}Dong Lee and Byoung{-}Tak Zhang}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {Temporal Attention Mechanism with Conditional Inference for Large-Scale Multi-label Video Classification}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {11132}, pages = {306--316}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11018-5\_28}, doi = {10.1007/978-3-030-11018-5\_28}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KimOKHCLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiIKKL18, author = {Taejin Choi and Chang{-}Hwan Im and Seung{-}Jong Kim and Hyungmin Kim and Jong Min Lee}, title = {Prediction Method of Walking Speed at Swing Phase using Soleus Electromyogram Signal at Previous Stance Phase}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {2308--2311}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512867}, doi = {10.1109/EMBC.2018.8512867}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiIKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ias/DoCPKP18, author = {Hyunmin Do and Tae{-}Yong Choi and Dong Il Park and Hwi{-}Su Kim and Chanhun Park}, editor = {Marcus Strand and R{\"{u}}diger Dillmann and Emanuele Menegatti and Stefano Ghidoni}, title = {User-Friendly Intuitive Teaching Tool for Easy and Efficient Robot Teaching in Human-Robot Collaboration}, booktitle = {Intelligent Autonomous Systems 15 - Proceedings of the 15th International Conference IAS-15, Baden-Baden, Germany, June 11-15, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {867}, pages = {865--876}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01370-7\_67}, doi = {10.1007/978-3-030-01370-7\_67}, timestamp = {Thu, 03 Jan 2019 18:04:23 +0100}, biburl = {https://dblp.org/rec/conf/ias/DoCPKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimNCKSS18, author = {Hyeon Su Kim and Sang Bum Nam and Sang Geun Choi and Chang Hyung Kim and Tegg Tae Kyong Sung and Chae{-}Bong Sohn}, title = {HLS-based 360 {VR} using spatial segmented adaptive streaming}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326272}, doi = {10.1109/ICCE.2018.8326272}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimNCKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/ChoiAAC18, author = {Dooseop Choi and Taeg{-}Hyun An and Kyounghwan Ahn and Jeongdan Choi}, editor = {M. Arif Wani and Mehmed M. Kantardzic and Moamar Sayed Mouchaweh and Jo{\~{a}}o Gama and Edwin Lughofer}, title = {Future Trajectory Prediction via {RNN} and Maximum Margin Inverse Reinforcement Learning}, booktitle = {17th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2018, Orlando, FL, USA, December 17-20, 2018}, pages = {125--130}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICMLA.2018.00026}, doi = {10.1109/ICMLA.2018.00026}, timestamp = {Mon, 30 Nov 2020 08:47:24 +0100}, biburl = {https://dblp.org/rec/conf/icmla/ChoiAAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiBL18, author = {Hyung{-}Tak Choi and Moon{-}Ki Back and Kyu{-}Chul Lee}, title = {Driver Drowsiness Detection based on Multimodal using Fusion of Visual-feature and Bio-signal}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1249--1251}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539478}, doi = {10.1109/ICTC.2018.8539478}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoiBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimKLCSLC18, author = {Kwang{-}Ju Kim and Pyong{-}Kun Kim and Kil{-}Taek Lim and Yun{-}Su Chung and Yoon{-}Jeong Song and Soo In Lee and Doo{-}Hyun Choi}, title = {Vehicle Color Recognition via Representative Color Region Extraction and Convolutional Neural Network}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {89--94}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436710}, doi = {10.1109/ICUFN.2018.8436710}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimKLCSLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkLJKKLCLMCS18, author = {Daegil Park and Yeongjun Lee and Kwangyik Jung and Hyeong{-}Joo Kang and Hyeonseung Ki and Jeong{-}Woo Lee and Young{-}Ho Choi and Ji{-}Hong Li and Hyun Myung and Hyun{-}Taek Choi and Jin{-}Ho Suh}, title = {Autonomous Underwater Vehicle Navigation in Structured Environment}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5039}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594429}, doi = {10.1109/IROS.2018.8594429}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkLJKKLCLMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiKKLNJSKHCSPCD18, author = {Younggeun Ji and Jeonghoon Kim and Jungin Kim and Miji Lee and Jaeheon Noh and Taeyoung Jeong and Juhyeon Shin and Junho Kim and Young Heo and Ung Cho and Hyun{-}Chul Sagong and Junekyun Park and Yeonsik Choo and Gilhwan Do and Hoyoung Kang and Eunkyeong Choi and Dongyoon Sun and Changki Kang and Sangchul Shin and Sangwoo Pae}, title = {Reliability characterization of advanced {CMOS} image sensor {(CIS)} with 3D stack and in-pixel {DTI}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353570}, doi = {10.1109/IRPS.2018.8353570}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiKKLNJSKHCSPCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LimCAKKBSKK18, author = {Kyunghyun Lim and Minsoo Choi and Myat Thu Linn Aung and Kyunghwan Kim and Ji{-}Seong Kim and Rock{-}Hyun Baek and Ho{-}Jin Song and Tony Tae{-}Hyoung Kim and Byungsub Kim}, title = {Experimental Verification of a Simple, Intuitive, and Accurate Closed-Form Transfer Function Model for Diverse High-Speed Interconnects}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {239--240}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649925}, doi = {10.1109/ISOCC.2018.8649925}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LimCAKKBSKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CheongYWHKLCKKY18, author = {Wooseong Cheong and Chanho Yoon and Seonghoon Woo and Kyuwook Han and Daehyun Kim and Chulseung Lee and Youra Choi and Shine Kim and Dongku Kang and Geunyeong Yu and Jaehong Kim and Jaechun Park and Ki{-}Whan Song and Ki{-}Tae Park and Sangyeun Cho and Hwaseok Oh and Daniel D. G. Lee and Jin{-}Hyeok Choi and Jaeheon Jeong}, title = {A flash memory controller for 15{\(\mu\)}s ultra-low-latency {SSD} using high-speed 3D {NAND} flash with 3{\(\mu\)}s read time}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {338--340}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310322}, doi = {10.1109/ISSCC.2018.8310322}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/CheongYWHKLCKKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeNLCKBLLRCKPP18, author = {Kyung{-}Hoon Lee and Sang{-}Pil Nam and Jung{-}Ho Lee and Michael Choi and Hyung{-}Jong Ko and San{-}Ho Byun and Jin{-}chul Lee and Yong{-}Hoon Lee and Yeong{-}Cheol Rhee and Yoon{-}Kyung Choi and Byunghoon Kang and Changbyung Park and Sungsoo Park and Taesung Kim}, title = {A noise-immune stylus analog front-end using adjustable frequency modulation and linear-interpolating data reconstruction for both electrically coupled resonance and active styluses}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {184--186}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310245}, doi = {10.1109/ISSCC.2018.8310245}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeNLCKBLLRCKPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NomiyamaYCKHJBL18, author = {Takahiro Nomiyama and Yong{-}Sik Youn and Young{-}Hwan Choo and Dong{-}Su Kim and Jae{-}Yeol Han and Jun{-}Hee Jung and Jongbeom Baek and Sung{-}Jun Lee and Euiyoung Park and Jeong{-}Hyun Choi and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 2TX supply modulator for envelope-tracking power amplifier supporting intra- and inter-band uplink carrier aggregation and power class-2 high-power user equipment}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {434--436}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310370}, doi = {10.1109/ISSCC.2018.8310370}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NomiyamaYCKHJBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongJRKKPDPCJKC18, author = {Taejoong Song and Jonghoon Jung and Woojin Rim and Hoonki Kim and Yongho Kim and Changnam Park and Jeongho Do and Sunghyun Park and Sungwee Cho and Hyuntaek Jung and Bongjae Kwon and Hyun{-}Su Choi and Jaeseung Choi and Jong Shik Yoon}, title = {A 7nm FinFET {SRAM} using {EUV} lithography with dual write-driver-assist circuitry for low-voltage applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {198--200}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310252}, doi = {10.1109/ISSCC.2018.8310252}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongJRKKPDPCJKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/KimKRC18a, author = {Beomsu Kim and Ki{-}Il Kim and Bongsoo Roh and Hyungseok Choi}, title = {Hierarchical Routing for Unmanned Aerial Vehicle Relayed Tactical Ad Hoc Networks}, booktitle = {15th {IEEE} International Conference on Mobile Ad Hoc and Sensor Systems, {MASS} 2018, Chengdu, China, October 9-12, 2018}, pages = {153--154}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MASS.2018.00034}, doi = {10.1109/MASS.2018.00034}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mass/KimKRC18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/ChaeLLCY18, author = {Junbo Chae and Youngeon Lee and Yeongjun Lee and Hyun{-}Taek Choi and Tae{-}Kyeong Yeu}, editor = {Jong{-}Hwan Kim and Hyun Myung and Seung{-}Mok Lee}, title = {Motion Planning to Reduce the Thrust of Underwater Robot Using Null-Space Compliance}, booktitle = {Robot Intelligence Technology and Applications - 6th International Conference, RiTA 2018, Kuala Lumpur, Malaysia, December 16-18, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1015}, pages = {229--235}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-7780-8\_19}, doi = {10.1007/978-981-13-7780-8\_19}, timestamp = {Tue, 07 May 2019 10:47:03 +0200}, biburl = {https://dblp.org/rec/conf/rita/ChaeLLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/ChoiL18, author = {Hongseok Choi and Hyunju Lee}, editor = {Marianna Apidianaki and Saif M. Mohammad and Jonathan May and Ekaterina Shutova and Steven Bethard and Marine Carpuat}, title = {{GIST} at SemEval-2018 Task 12: {A} network transferring inference knowledge to Argument Reasoning Comprehension task}, booktitle = {Proceedings of The 12th International Workshop on Semantic Evaluation, SemEval@NAACL-HLT 2018, New Orleans, Louisiana, USA, June 5-6, 2018}, pages = {773--777}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/s18-1122}, doi = {10.18653/V1/S18-1122}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/semeval/ChoiL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/0003COYK18, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and Youngjin Yoon and In So Kweon}, title = {Disjoint Multi-task Learning Between Heterogeneous Human-Centric Tasks}, booktitle = {2018 {IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2018, Lake Tahoe, NV, USA, March 12-15, 2018}, pages = {1699--1708}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/WACV.2018.00189}, doi = {10.1109/WACV.2018.00189}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/0003COYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChoiOK18, author = {Jinsoo Choi and Tae{-}Hyun Oh and In So Kweon}, title = {Contextually Customized Video Summaries Via Natural Language}, booktitle = {2018 {IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2018, Lake Tahoe, NV, USA, March 12-15, 2018}, pages = {1718--1726}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/WACV.2018.00191}, doi = {10.1109/WACV.2018.00191}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ChoiOK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wts/KimKRC18, author = {Beomsu Kim and Ki{-}Il Kim and Bongsoo Roh and Hyungseok Choi}, editor = {Steven R. Powell and Thomas Ketseoglou and J. P. Shim and Zory Marantz and Giti Javidi}, title = {A new routing protocol for {UAV} relayed tactical mobile ad hoc networks}, booktitle = {2018 Wireless Telecommunications Symposium, {WTS} 2018, Phoenix, AZ, USA, April 17-20, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WTS.2018.8363941}, doi = {10.1109/WTS.2018.8363941}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wts/KimKRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-04962, author = {Dong{-}Jin Kim and Jinsoo Choi and Tae{-}Hyun Oh and Youngjin Yoon and In So Kweon}, title = {Disjoint Multi-task Learning between Heterogeneous Human-centric Tasks}, journal = {CoRR}, volume = {abs/1802.04962}, year = {2018}, url = {http://arxiv.org/abs/1802.04962}, eprinttype = {arXiv}, eprint = {1802.04962}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-04962.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-11068, author = {Soon{-}Seo Park and Jung{-}Su Ha and Doo{-}Hyun Cho and Han{-}Lim Choi}, title = {A Distributed {ADMM} Approach to Informative Trajectory Planning for Multi-Target Tracking}, journal = {CoRR}, volume = {abs/1807.11068}, year = {2018}, url = {http://arxiv.org/abs/1807.11068}, eprinttype = {arXiv}, eprint = {1807.11068}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-11068.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-02720, author = {Doo{-}Hyun Cho and Han{-}Lim Choi}, title = {Memetic Algorithm-Based Path Generation for Multiple Dubins Vehicles Performing Remote Tasks}, journal = {CoRR}, volume = {abs/1808.02720}, year = {2018}, url = {http://arxiv.org/abs/1808.02720}, eprinttype = {arXiv}, eprint = {1808.02720}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-02720.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-01822, author = {Dooseop Choi and Taeg{-}Hyun An and Kyounghwan Ahn and Jeongdan Choi}, title = {Driving Experience Transfer Method for End-to-End Control of Self-Driving Cars}, journal = {CoRR}, volume = {abs/1809.01822}, year = {2018}, url = {http://arxiv.org/abs/1809.01822}, eprinttype = {arXiv}, eprint = {1809.01822}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-01822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/JunKYCCD17, author = {ChangHyun Jun and Jaehyeon Kang and Suyong Yeon and Hyunga Choi and Tae{-}Young Chung and Nakju Lett Doh}, title = {Towards a Realistic Indoor World Reconstruction: Preliminary Results for an Object-Oriented 3D {RGB-D} Mapping}, journal = {Intell. Autom. Soft Comput.}, volume = {23}, number = {2}, pages = {207--218}, year = {2017}, url = {https://doi.org/10.1080/10798587.2016.1186890}, doi = {10.1080/10798587.2016.1186890}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/JunKYCCD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeekCWKK17, author = {Won Joo Lee and Hee Tae kwon and Hyun{-}Suk Choi and Deahoon Wee and Sangwan Kim and Yoon Kim}, title = {Reconfigurable U-shaped tunnel field-effect transistor}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {20}, pages = {20170758}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170758}, doi = {10.1587/ELEX.14.20170758}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeekCWKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/ParkLKCP17, author = {Hyunjin Park and Seungyeoun Lee and Ye Jin Kim and Myung{-}Sook Choi and Taesung Park}, title = {Analysis of clustered RNA-seq data}, journal = {Int. J. Data Min. Bioinform.}, volume = {19}, number = {1}, pages = {19--31}, year = {2017}, url = {https://doi.org/10.1504/IJDMB.2017.10009477}, doi = {10.1504/IJDMB.2017.10009477}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/ParkLKCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/JungLCM17, author = {Jongdae Jung and Ji{-}Hong Li and Hyun{-}Taek Choi and Hyun Myung}, title = {Localization of AUVs using visual information of underwater structures and artificial landmarks}, journal = {Intell. Serv. Robotics}, volume = {10}, number = {1}, pages = {67--76}, year = {2017}, url = {https://doi.org/10.1007/s11370-016-0210-9}, doi = {10.1007/S11370-016-0210-9}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isrob/JungLCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimHKCSKC17, author = {Changsun Kim and Jin Hur and Bo Seung Kang and Hyuk Joong Choi and Jeong{-}Hun Shin and Tae{-}Hyung Kim and Jae Ho Chung}, title = {Can an Offsite Expert Remotely Evaluate the Visual Estimation of Ejection Fraction via a Social Network Video Call?}, journal = {J. Digit. Imaging}, volume = {30}, number = {6}, pages = {718--725}, year = {2017}, url = {https://doi.org/10.1007/s10278-017-9974-5}, doi = {10.1007/S10278-017-9974-5}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimHKCSKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/LimLSJJBSOJSJKL17, author = {Jeongsoo Lim and In{-}Ho Lee and Inwook Shim and Hyobin Jung and Hyun{-}Min Joe and Hyoin Bae and Okkee Sim and Jaesung Oh and Taejin Jung and Seunghak Shin and Kyungdon Joo and Mingeuk Kim and Kang Kyu Lee and Yunsu Bok and Dong{-}Geol Choi and Cho Buyoun and Sungwoo Kim and Jungwoo Heo and Inhyeok Kim and Jungho Lee and In So Kweon and Jun{-}Ho Oh}, title = {Robot System of {DRC-HUBO+} and Control Strategy of Team {KAIST} in {DARPA} Robotics Challenge Finals}, journal = {J. Field Robotics}, volume = {34}, number = {4}, pages = {802--829}, year = {2017}, url = {https://doi.org/10.1002/rob.21673}, doi = {10.1002/ROB.21673}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/LimLSJJBSOJSJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRPKYKBJKCJC17, author = {Taejoong Song and Woojin Rim and Sunghyun Park and Yongho Kim and Giyong Yang and Hoonki Kim and Sanghoon Baek and Jonghoon Jung and Bongjae Kwon and Sungwee Cho and Hyuntaek Jung and Yongjae Choo and Jaeseung Choi}, title = {A 10 nm FinFET 128 Mb {SRAM} With Assist Adjustment System for Power, Performance, and Area Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {240--249}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2609386}, doi = {10.1109/JSSC.2016.2609386}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRPKYKBJKCJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/YoonCBMEJ17, author = {Hyungseok Yoon and Kwang{-}Seong Choi and Hyun{-}Cheol Bae and Jong{-}Tae Moon and Yong{-}Sung Eom and Insu Jeon}, title = {Evaluating the material properties of underfill for a reliable 3D {TSV} integration package using numerical analysis}, journal = {Microelectron. Reliab.}, volume = {71}, pages = {41--50}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.02.010}, doi = {10.1016/J.MICROREL.2017.02.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/YoonCBMEJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChungHCKRK17, author = {Taejoong Chung and Jinyoung Han and Daejin Choi and Ted Taekyoung Kwon and Jong{-}Youn Rha and Hyunchul Kim}, title = {Privacy Leakage in Event-based Social Networks: {A} Meetup Case Study}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {1}, number = {{CSCW}}, pages = {35:1--35:22}, year = {2017}, url = {https://doi.org/10.1145/3134670}, doi = {10.1145/3134670}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChungHCKRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeKCGKKLJGKSY17, author = {Sanghee Lee and Mijin Kim and Myungje Choi and Sujung Go and Jhoon Kim and Jung{-}Hyun Kim and Hyun{-}Kwang Lim and Ukkyo Jeong and Tae{-}Young Goo and Akihiko Kuze and Kei Shiomi and Tatsuya Yokota}, title = {Aerosol Property Retrieval Algorithm over Northeast Asia from {TANSO-CAI} Measurements Onboard {GOSAT}}, journal = {Remote. Sens.}, volume = {9}, number = {7}, pages = {687}, year = {2017}, url = {https://doi.org/10.3390/rs9070687}, doi = {10.3390/RS9070687}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeKCGKKLJGKSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChooKSLCK17, author = {Sung{-}Sik Choo and Ee{-}Seul Kang and Inbeom Song and Donghyun Lee and Jeong{-}Woo Choi and Tae{-}Hyung Kim}, title = {Electrochemical Detection of Dopamine Using 3D Porous Graphene Oxide/Gold Nanoparticle Composites}, journal = {Sensors}, volume = {17}, number = {4}, pages = {861}, year = {2017}, url = {https://doi.org/10.3390/s17040861}, doi = {10.3390/S17040861}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChooKSLCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCKC17, author = {Yeongjun Lee and Jinwoo Choi and Nak Yong Ko and Hyun{-}Taek Choi}, title = {Probability-Based Recognition Framework for Underwater Landmarks Using Sonar Images}, journal = {Sensors}, volume = {17}, number = {9}, pages = {1953}, year = {2017}, url = {https://doi.org/10.3390/s17091953}, doi = {10.3390/S17091953}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeCKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/ChoSCK17, author = {Jea{-}Hyung Cho and Wonseok Song and Hyuk Choi and Taejeong Kim}, title = {Hole Filling Method for Depth Image Based Rendering Based on Boundary Decision}, journal = {{IEEE} Signal Process. Lett.}, volume = {24}, number = {3}, pages = {329--333}, year = {2017}, url = {https://doi.org/10.1109/LSP.2017.2661319}, doi = {10.1109/LSP.2017.2661319}, timestamp = {Wed, 26 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/ChoSCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OhHCK17, author = {Hyunggoy Oh and Taewoo Han and Inhyuk Choi and Sungho Kang}, title = {An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {1}, pages = {38--44}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2561920}, doi = {10.1109/TC.2016.2561920}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/OhHCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/MoskovitchCHT17, author = {Robert Moskovitch and Hyunmi Choi and George Hripcsak and Nicholas P. Tatonetti}, title = {Prognosis of Clinical Outcomes with Temporal Patterns and Experiences with One Class Feature Selection}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {14}, number = {3}, pages = {555--563}, year = {2017}, url = {https://doi.org/10.1109/TCBB.2016.2591539}, doi = {10.1109/TCBB.2016.2591539}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/MoskovitchCHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/JooC17, author = {Il{-}Young Joo and Dae{-}Hyun Choi}, title = {Optimal household appliance scheduling considering consumer's electricity bill target}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {63}, number = {1}, pages = {19--27}, year = {2017}, url = {https://doi.org/10.1109/TCE.2017.014666}, doi = {10.1109/TCE.2017.014666}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/JooC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ChoiAKL17, author = {Hyun Duck Choi and Choon Ki Ahn and Hamid Reza Karimi and Myo Taeg Lim}, title = {Filtering of Discrete-Time Switched Neural Networks Ensuring Exponential Dissipative and l\({}_{\mbox{2}}\) - l\({}_{\mbox{{\(\infty\)}}}\) Performances}, journal = {{IEEE} Trans. Cybern.}, volume = {47}, number = {10}, pages = {3195--3207}, year = {2017}, url = {https://doi.org/10.1109/TCYB.2017.2655725}, doi = {10.1109/TCYB.2017.2655725}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ChoiAKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ChoiA0WL17, author = {Hyun Duck Choi and Choon Ki Ahn and Peng Shi and Ligang Wu and Myo Taeg Lim}, title = {Dynamic Output-Feedback Dissipative Control for {T-S} Fuzzy Systems With Time-Varying Input Delay and Output Constraints}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {25}, number = {3}, pages = {511--526}, year = {2017}, url = {https://doi.org/10.1109/TFUZZ.2016.2566800}, doi = {10.1109/TFUZZ.2016.2566800}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/ChoiA0WL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/SongPCCZ17, author = {Sejun Song and Hyungbae Park and Baek{-}Young Choi and Taesang Choi and Henry Zhu}, title = {Control Path Management Framework for Enhancing Software-Defined Network {(SDN)} Reliability}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {14}, number = {2}, pages = {302--316}, year = {2017}, url = {https://doi.org/10.1109/TNSM.2017.2669082}, doi = {10.1109/TNSM.2017.2669082}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/SongPCCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/NohSLC17, author = {Wonjong Noh and Wonjae Shin and Tae{-}Dong Lee and Hyun{-}Ho Choi}, title = {Distributed uplink interference control based on resource splitting in heterogeneous cellular networks}, journal = {Wirel. Networks}, volume = {23}, number = {2}, pages = {625--640}, year = {2017}, url = {https://doi.org/10.1007/s11276-016-1364-2}, doi = {10.1007/S11276-016-1364-2}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/NohSLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChoiNS17, author = {Hyo Hyun Choi and Su Hyun Nam and Taeshik Shon}, title = {Two Tier Search Scheme Using Micro {UAV} Swarm}, journal = {Wirel. Pers. Commun.}, volume = {93}, number = {2}, pages = {349--363}, year = {2017}, url = {https://doi.org/10.1007/s11277-016-3184-1}, doi = {10.1007/S11277-016-3184-1}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ChoiNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/ParkKPCDKP17, author = {Dong Il Park and Hwisu Kim and Chanhun Park and Tae{-}Yong Choi and Hyunmin Do and Byung{-}In Kim and Jongwoo Park}, title = {Automatic assembly method with the passive compliant device}, booktitle = {11th Asian Control Conference, {ASCC} 2017, Gold Coast, Australia, December 17-20, 2017}, pages = {347--348}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASCC.2017.8287193}, doi = {10.1109/ASCC.2017.8287193}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/ParkKPCDKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/TransueNVC17, author = {Shane Transue and Phuc Nguyen and Tam Vu and Min{-}Hyung Choi}, editor = {Paolo Bonato and Honggang Wang}, title = {Thermal-Depth Fusion for Occluded Body Skeletal Posture Estimation}, booktitle = {Proceedings of the Second {IEEE/ACM} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2017, Philadelphia, PA, USA, July 17-19, 2017}, pages = {167--176}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CHASE.2017.75}, doi = {10.1109/CHASE.2017.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/TransueNVC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ChoiMLHLJ17, author = {Woo{-}Sung Choi and Jonghyeon Min and Taemin Lee and Kyeong{-}Seok Hyun and Taehyung Lim and Soonyoung Jung}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {Deep Representation of Raw Traffic Data: An Embed-and-Aggregate Framework for High-Level Traffic Analysis}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {1383--1390}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_220}, doi = {10.1007/978-981-10-7605-3\_220}, timestamp = {Sun, 19 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csa2/ChoiMLHLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ChoiSC17, author = {Jung{-}In Choi and Seung{-}Hyun Seo and Taenam Cho}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {The Congestion Control Model for Unmanned Aircraft System Traffic Management}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {1174--1179}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_187}, doi = {10.1007/978-981-10-7605-3\_187}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ChoiSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/JeonLC17, author = {Yong{-}Tae Jeon and Hyun Lee and Jae Sung Choi}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {Development of 3D Surface Shape Analysis System Using White Light Scanning Interference}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {108--113}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_18}, doi = {10.1007/978-981-10-7605-3\_18}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/JeonLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChongCKKHL17, author = {Eunsuk Chong and Taejin Choi and Hyungmin Kim and Seung{-}Jong Kim and Yoha Hwang and Jong Min Lee}, title = {Informative sensor selection and learning for prediction of lower limb kinematics using generative stochastic neural networks}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {2043--2046}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037254}, doi = {10.1109/EMBC.2017.8037254}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChongCKKHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ChaLPKCC17, author = {Hojun Cha and Hojin Lee and Junsuk Park and Hyung{-}Sik Kim and Soon{-}Cheol Chung and Seungmoon Choi}, title = {Mid-air tactile display using indirect laser radiation for contour-following stimulation and assessment of its spatial acuity}, booktitle = {2017 {IEEE} World Haptics Conference, {WHC} 2017, Munich, Germany, June 6-9, 2017}, pages = {136--141}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WHC.2017.7989890}, doi = {10.1109/WHC.2017.7989890}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ChaLPKCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeKLLC17, author = {Wonjae Lee and Seonghyun Kim and Yong{-}Tae Lee and Hyun{-}Woo Lee and Min Choi}, title = {Deep neural networks for wild fire detection with unmanned aerial vehicle}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, pages = {252--253}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCE.2017.7889305}, doi = {10.1109/ICCE.2017.7889305}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeKLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChungCHKKCL17, author = {Sang Hun Chung and Taejin Choi and Yoha Hwang and Hyungmin Kim and Seung{-}Jong Kim and Min Ho Chun and Jong Min Lee}, title = {Walking speed intention model using soleus electromyogram signal of nondisabled and post-stroke hemiparetic patients}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {308--313}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009265}, doi = {10.1109/ICORR.2017.8009265}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChungCHKKCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCJL17, author = {Whui Kim and Hyun{-}Kyun Choi and Byung{-}Tae Jang and Jinsu Lim}, title = {Driver distraction detection using single convolutional neural network}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1203--1205}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190898}, doi = {10.1109/ICTC.2017.8190898}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimCJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/SannonCTB17, author = {Shruti Sannon and Yoon Hyung Choi and Jessie G. Taft and Natalya N. Bazarova}, title = {What Comments Did {I} Get? How Post and Comment Characteristics Predict Interaction Satisfaction on Facebook}, booktitle = {Proceedings of the Eleventh International Conference on Web and Social Media, {ICWSM} 2017, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, May 15-18, 2017}, pages = {664--667}, publisher = {{AAAI} Press}, year = {2017}, url = {https://aaai.org/ocs/index.php/ICWSM/ICWSM17/paper/view/15619}, timestamp = {Fri, 19 May 2017 12:45:06 +0200}, biburl = {https://dblp.org/rec/conf/icwsm/SannonCTB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YunCSPCLKLSYK17, author = {Seok{-}Oh Yun and Han{-}Won Cho and Ji{-}Hoon Suh and Jeong{-}Ho Park and Bong Gill Choi and Tae{-}Jae Lee and Soon{-}Jae Kweon and Jong{-}Kwon Lee and Chang{-}Ho Seo and Hyung{-}Joun Yoo and Choul{-}Young Kim}, title = {Flexible pH sensor and system fabricated using {PET} film}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8233925}, doi = {10.1109/ICSENS.2017.8233925}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YunCSPCLKLSYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKSKHC17, author = {Woojun Choi and Taewoong Kim and Jongjoo Shim and Hyungsoo Kim and Gunhee Han and Youngcheol Chae}, title = {23.8 {A} 1V 7.8mW 15.6Gb/s {C-PHY} transceiver using tri-level signaling for post-LPDDR4}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {402--403}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870431}, doi = {10.1109/ISSCC.2017.7870431}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKSKHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YiCHBCJKSP17, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {23.7 {A} time-based receiver with 2-tap {DFE} for a 12Gb/s/pin single-ended transceiver of mobile {DRAM} interface in 0.8V 65nm {CMOS}}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {400--401}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870430}, doi = {10.1109/ISSCC.2017.7870430}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YiCHBCJKSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/ChoiAK17, author = {Dooseop Choi and Taeg{-}Hyun An and Taejeong Kim}, title = {Hierarchical motion estimation algorithm based on maximum a posteriori probability}, booktitle = {19th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2017, Luton, United Kingdom, October 16-18, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MMSP.2017.8122242}, doi = {10.1109/MMSP.2017.8122242}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/ChoiAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/SongGBCPCZ17, author = {Sejun Song and Haymanot Gebre{-}Amlak and Goutham Banala and Baek{-}Young Choi and Hyungbae Park and Taesang Choi and Henry Zhu}, title = {Netaware: Network architecture-aware reliability management schemes for softwareized network systems}, booktitle = {2017 {IEEE} Conference on Network Softwarization, NetSoft 2017, Bologna, Italy, July 3-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NETSOFT.2017.8004222}, doi = {10.1109/NETSOFT.2017.8004222}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netsoft/SongGBCPCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/JungCOM17, author = {Jongdae Jung and Jinwoo Choi and Taekjun Oh and Hyun Myung}, editor = {Jong{-}Hwan Kim and Hyun Myung and Junmo Kim and Weiliang Xu and Eric T. Matson and Jin{-}Woo Jung and Han{-}Lim Choi}, title = {Indoor Magnetic Pose Graph {SLAM} with Robust Back-End}, booktitle = {Robot Intelligence Technology and Applications 5 - Results from the 5th International Conference on Robot Intelligence Technology and Applications, RiTA 2017, Daejeon, Korea, December 13-15, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {751}, pages = {153--163}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-78452-6\_14}, doi = {10.1007/978-3-319-78452-6\_14}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/JungCOM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChoiDPPKKK17, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Chanhun Park and Jin Ho Kyung and Doo{-}Hyung Kim and Hwisu Kim}, title = {Elbow position control of the redundant industrial dual-arm robot}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {1997--2002}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324712}, doi = {10.1109/ROBIO.2017.8324712}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChoiDPPKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/DoCPKS17, author = {Hyunmin Do and Tae{-}Yong Choi and Dong Il Park and Doo{-}Hyung Kim and Youngsu Son}, title = {Automatically generated kinematics and control engine for modular and reconfigurable manipulators}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {2136--2141}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324735}, doi = {10.1109/ROBIO.2017.8324735}, timestamp = {Tue, 27 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/DoCPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiC17, author = {Jinwoo Choi and Hyun{-}Taek Choi}, title = {Preliminary results on three dimensional localization of underwater acoustic sources}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {347--348}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992747}, doi = {10.1109/URAI.2017.7992747}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ChoiC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPKKS17, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Jin Ho Kyung and Doo{-}Hyung Kim and Youngsoo Son}, title = {Development of a kinematics library creation software for the module based manipulator}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {190--191}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992708}, doi = {10.1109/URAI.2017.7992708}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/DoKPCP17, author = {Hyunmin Do and Hwi{-}Su Kim and Dong Il Park and Tae{-}Yong Choi and Chanhun Park}, title = {User-friendly teaching tool for a robot manipulator in human robot collaboration}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {751--752}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992817}, doi = {10.1109/URAI.2017.7992817}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/DoKPCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimPCDPK17, author = {Guk Hwa Kim and Joohan Park and Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Jin Ho Kyung}, title = {Case studies of a industrial dual-arm robot application}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {301--302}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992735}, doi = {10.1109/URAI.2017.7992735}, timestamp = {Sat, 20 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/KimPCDPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimPPDCKK17, author = {Hwisu Kim and Chanhun Park and Dong Il Park and Hyunmin Do and Tae{-}Yong Choi and Doo{-}Hyung Kim and Jin Ho Kyung}, title = {Design of high payload dual arm robot with modifiable forearm module depending on mission}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {83--84}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992892}, doi = {10.1109/URAI.2017.7992892}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimPPDCKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/MoonCJKSGK17, author = {Byeong{-}Hyeon Moon and Jae{-}Won Choi and Kun{-}Tak Jung and Dong{-}Hyun Kim and Hyun{-}Jeong Song and Ki{-}Jong Gil and Jong{-}Wook Kim}, title = {Connecting motion control mobile robot and {VR} content}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {355--359}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992750}, doi = {10.1109/URAI.2017.7992750}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/MoonCJKSGK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkCC17, author = {Jeonghong Park and Jinwoo Choi and Hyun{-}Taek Choi}, title = {Probabilistic approach for conflict detection between two ROVs operating on trajectories at different depth levels}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {671--674}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992791}, doi = {10.1109/URAI.2017.7992791}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ParkCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChoiOK17, author = {Jinsoo Choi and Tae{-}Hyun Oh and In{-}So Kweon}, title = {Textually Customized Video Summaries}, journal = {CoRR}, volume = {abs/1702.01528}, year = {2017}, url = {http://arxiv.org/abs/1702.01528}, eprinttype = {arXiv}, eprint = {1702.01528}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChoiOK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeeLCKZ17, author = {Sungtae Lee and Sang{-}Woo Lee and Jinyoung Choi and Dong{-}Hyun Kwak and Byoung{-}Tak Zhang}, title = {Micro-Objective Learning : Accelerating Deep Reinforcement Learning through the Discovery of Continuous Subgoals}, journal = {CoRR}, volume = {abs/1703.03933}, year = {2017}, url = {http://arxiv.org/abs/1703.03933}, eprinttype = {arXiv}, eprint = {1703.03933}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeeLCKZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-07338, author = {Inwook Shim and Tae{-}Hyun Oh and Joon{-}Young Lee and Dong{-}Geol Choi and Jinwook Choi and In So Kweon}, title = {Gradient-based Camera Exposure Control for Outdoor Mobile Platforms}, journal = {CoRR}, volume = {abs/1708.07338}, year = {2017}, url = {http://arxiv.org/abs/1708.07338}, eprinttype = {arXiv}, eprint = {1708.07338}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-07338.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ShimKJCJH16, author = {Seongbo Shim and Taehun Kang and Daekeun Ji and Hyunseok Choi and Sanghyun Joung and Jaesung Hong}, title = {An all-joint-control master device for single-port laparoscopic surgery robots}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {11}, number = {8}, pages = {1547--1557}, year = {2016}, url = {https://doi.org/10.1007/s11548-016-1352-0}, doi = {10.1007/S11548-016-1352-0}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ShimKJCJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/ChoiCTL16, author = {Seung Hyun Choi and Junguk Cho and Yong{-}Min Tai and Seong{-}Won Lee}, title = {A parallel camera image signal processor for {SIMD} architecture}, journal = {{EURASIP} J. Image Video Process.}, volume = {2016}, pages = {29}, year = {2016}, url = {https://doi.org/10.1186/s13640-016-0137-2}, doi = {10.1186/S13640-016-0137-2}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/ChoiCTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/KimKJCLHYJ16, author = {Junseok Kim and Taekkeun Kim and Jae{-}Hyun Jo and Yongho Choi and Seunggyu Lee and Hyeongseok Hwang and Minhyun Yoo and Darae Jeong}, title = {A practical finite difference method for the three-dimensional Black-Scholes equation}, journal = {Eur. J. Oper. Res.}, volume = {252}, number = {1}, pages = {183--190}, year = {2016}, url = {https://doi.org/10.1016/j.ejor.2015.12.012}, doi = {10.1016/J.EJOR.2015.12.012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/KimKJCLHYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/KimKCYNHKKJKKLP16, author = {Yongkang Kim and Min{-}Seok Kwon and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Wooil Kwon and Sun Whe Kim and Jin{-}Young Jang and Hyunsoo Kim and Youngsoo Kim and Seungyeoun Lee and Taesung Park}, title = {Comparative studies for developing protein based cancer prediction model to maximise the {ROC-AUC} with various variable selection methods}, journal = {Int. J. Data Min. Bioinform.}, volume = {16}, number = {1}, pages = {64--76}, year = {2016}, url = {https://doi.org/10.1504/IJDMB.2016.10000565}, doi = {10.1504/IJDMB.2016.10000565}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/KimKCYNHKKJKKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/LeeSHCC16, author = {Ho{-}Hyun Lee and Gang{-}Wook Shin and Sung{-}Taek Hong and Jongwoo Choi and Myung{-}Geun Chun}, title = {Post-Chlorination Process Control based on Flow Prediction by Time Series Neural Network in Water Treatment Plant}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {16}, number = {3}, pages = {197--207}, year = {2016}, url = {https://doi.org/10.5391/IJFIS.2016.16.3.197}, doi = {10.5391/IJFIS.2016.16.3.197}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/LeeSHCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/KoKC16, author = {Nak Yong Ko and Tae Gyun Kim and Hyun{-}Taek Choi}, title = {Synchronous and Asynchronous Application of a Filtering Method for Underwater Robot Localization}, journal = {Int. J. Humanoid Robotics}, volume = {13}, number = {2}, pages = {1550038:1--1550038:21}, year = {2016}, url = {https://doi.org/10.1142/S0219843615500383}, doi = {10.1142/S0219843615500383}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/KoKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ChoiCCC16, author = {Jinwoo Choi and Minyong Choi and Wan Kyun Chung and Hyun{-}Taek Choi}, title = {Data association using relative compatibility of multiple observations for {EKF-SLAM}}, journal = {Intell. Serv. Robotics}, volume = {9}, number = {3}, pages = {177--185}, year = {2016}, url = {https://doi.org/10.1007/s11370-016-0200-y}, doi = {10.1007/S11370-016-0200-Y}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isrob/ChoiCCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimKC16, author = {Taeyun Kim and Jinwhan Kim and Hyun{-}Taek Choi}, title = {Mobile robot navigation using grid line patterns via probabilistic measurement modeling}, journal = {Intell. Serv. Robotics}, volume = {9}, number = {2}, pages = {141--151}, year = {2016}, url = {https://doi.org/10.1007/s11370-015-0191-0}, doi = {10.1007/S11370-015-0191-0}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/JangSKC16, author = {Yong{-}Hyun Jang and Jung{-}Keun Suh and Ku{-}Jin Kim and Yoo{-}Joo Choi}, title = {Robust Target Model Update for Mean-shift Tracking with Background Weighted Histogram}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {10}, number = {3}, pages = {1377--1389}, year = {2016}, url = {https://doi.org/10.3837/tiis.2016.03.025}, doi = {10.3837/TIIS.2016.03.025}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/JangSKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimCKCLO16, author = {Changsun Kim and Hyunmin Cha and Bo Seung Kang and Hyuk Joong Choi and Tae Ho Lim and Jaehoon Oh}, title = {A Feasibility Study of Smartphone-Based Telesonography for Evaluating Cardiac Dynamic Function and Diagnosing Acute Appendicitis with Control of the Image Quality of the Transmitted Videos}, journal = {J. Digit. Imaging}, volume = {29}, number = {3}, pages = {347--356}, year = {2016}, url = {https://doi.org/10.1007/s10278-015-9849-6}, doi = {10.1007/S10278-015-9849-6}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimCKCLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/KimLCY16, author = {Taesung Kim and Seungkwang Lee and Dooho Choi and Hyunsoo Yoon}, title = {Protecting secret keys in networked devices with table encoding against power analysis attacks}, journal = {J. High Speed Networks}, volume = {22}, number = {4}, pages = {293--307}, year = {2016}, url = {https://doi.org/10.3233/JHS-160550}, doi = {10.3233/JHS-160550}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/KimLCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeongIKNSCYKKPK16, author = {Woopyo Jeong and Jae{-}Woo Im and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Jeong{-}Don Ihm and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Moosung Kim and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {A 128 Gb 3b/cell {V-NAND} Flash Memory With 1 Gb/s {I/O} Rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {204--212}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2474117}, doi = {10.1109/JSSC.2015.2474117}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeongIKNSCYKKPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiYP16, author = {Jin Hyung Choi and Chong{-}Gun Yu and Jong Tae Park}, title = {Nanowire width dependence of data retention and endurance characteristics in nanowire {SONOS} flash memory}, journal = {Microelectron. Reliab.}, volume = {64}, pages = {215--219}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.07.039}, doi = {10.1016/J.MICROREL.2016.07.039}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ChoiYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKK16, author = {Insub Choi and JunHee Kim and Donghyun Kim}, title = {A Target-Less Vision-Based Displacement Sensor Based on Image Convex Hull Optimization for Measuring the Dynamic Response of Building Structures}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2085}, year = {2016}, url = {https://doi.org/10.3390/s16122085}, doi = {10.3390/S16122085}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKYCLC16, author = {Taek Lee and Tae{-}Hyung Kim and Jinho Yoon and Yongho Chung and Ji Young Lee and Jeong Woo Choi}, title = {Investigation of Hemoglobin/Gold Nanoparticle Heterolayer on Micro-Gap for Electrochemical Biosensor Application}, journal = {Sensors}, volume = {16}, number = {5}, pages = {660}, year = {2016}, url = {https://doi.org/10.3390/s16050660}, doi = {10.3390/S16050660}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKYCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HanCOK16, author = {Taewoo Han and Inhyuk Choi and Hyunggoy Oh and Sungho Kang}, title = {Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {7}, pages = {1219--1223}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2015.2481872}, doi = {10.1109/TCAD.2015.2481872}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/HanCOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiCSC16, author = {Myungwhan Choi and Paul Vincent Contreras and Taeshik Shon and Hyo Hyun Choi}, title = {Exploring an area by groups of UAVs in the presence of a refueling base}, journal = {J. Supercomput.}, volume = {72}, number = {9}, pages = {3409--3427}, year = {2016}, url = {https://doi.org/10.1007/s11227-015-1465-1}, doi = {10.1007/S11227-015-1465-1}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiCSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/LeeKKCJPKOBYKC16, author = {Hojin Lee and Ji{-}Sun Kim and Jae{-}Young Kim and Seungmoon Choi and Jae{-}Hoon Jun and Jong{-}Rak Park and A.{-}Hee Kim and Han{-}Byeol Oh and Jun{-}Hyeok Baek and Seung{-}Jin Yang and Hyung{-}Sik Kim and Soon{-}Cheol Chung}, title = {Mid-Air Tactile Stimulation Using Indirect Laser Radiation}, journal = {{IEEE} Trans. Haptics}, volume = {9}, number = {4}, pages = {574--585}, year = {2016}, url = {https://doi.org/10.1109/TOH.2016.2569081}, doi = {10.1109/TOH.2016.2569081}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toh/LeeKKCJPKOBYKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/PackMSKCP16, author = {Sangheon Pack and Seongman Min and Taewon Song and Wonjung Kim and Nakjung Choi and Hyunhee Park}, title = {{RA-PSM:} a rate-aware power saving mechanism in multi-rate wireless LANs}, journal = {Wirel. Networks}, volume = {22}, number = {6}, pages = {1767--1777}, year = {2016}, url = {https://doi.org/10.1007/s11276-015-1064-3}, doi = {10.1007/S11276-015-1064-3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/PackMSKCP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKC16, author = {Sung{-}Geun Kim and Tongsung Kim and Dae Hyun Kwon and Woo{-}Young Choi}, title = {A 5-8 Gb/s low-power transmitter with 2-tap pre-emphasis based on toggling serialization}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {249--252}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844182}, doi = {10.1109/ASSCC.2016.7844182}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/KimKKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ParkLKCP16, author = {Hyunjin Park and Seungyeoun Lee and Ye Jin Kim and Myung{-}Sook Choi and Taesung Park}, editor = {Tianhai Tian and Qinghua Jiang and Yunlong Liu and Kevin Burrage and Jiangning Song and Yadong Wang and Xiaohua Hu and Shinichi Morishita and Qian Zhu and Guohua Wang}, title = {Multivariate approach to the analysis of correlated RNA-seq data}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2016, Shenzhen, China, December 15-18, 2016}, pages = {1783--1786}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBM.2016.7822789}, doi = {10.1109/BIBM.2016.7822789}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ParkLKCP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/TransueNVC16, author = {Shane Transue and Phuc Nguyen and Tam Vu and Min{-}Hyung Choi}, title = {Real-Time Tidal Volume Estimation Using Iso-surface Reconstruction}, booktitle = {Proceedings of the First {IEEE} International Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2016, Washington, DC, USA, June 27-29, 2016}, pages = {209--218}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CHASE.2016.72}, doi = {10.1109/CHASE.2016.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chase/TransueNVC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiSKBS16, author = {Koeun Choi and Hyunjoo Song and Kyle Koh and Jinwook Bok and Jinwook Seo}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Peek-a-View: Smartphone Cover Interaction for Multi-Tasking}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {4658--4662}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858426}, doi = {10.1145/2858036.2858426}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiSKBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ChoiL16, author = {Jae Sung Choi and Hyun Lee}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Deep Analysis of Tag Interference by Tag to Tag Relative Angles with Passive Far Field {UHF} {RFID} System}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {660--664}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_101}, doi = {10.1007/978-981-10-3023-9\_101}, timestamp = {Tue, 08 Oct 2019 14:37:27 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ChoiL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiOK16, author = {Jinsoo Choi and Tae Hyun Oh and In{-}So Kweon}, title = {Video-Story Composition via Plot Analysis}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {3122--3130}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.340}, doi = {10.1109/CVPR.2016.340}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoiKH16, author = {Junchul Choi and Donghyun Kang and Soonhoi Ha}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Conservative modeling of shared resource contention for dependent tasks in partitioned multi-core systems}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {181--186}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459301/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChoiKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPCVHL16, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin and Tom{\'{a}}s Voj{\'{\i}}r and Gustav H{\"{a}}ger and Alan Lukezic and Gustavo Fern{\'{a}}ndez and Abhinav Gupta and Alfredo Petrosino and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andr{\'{e}}s Sol{\'{\i}}s Montero and Andrea Vedaldi and Andreas Robinson and Andy Jinhua Ma and Anton Varfolomieiev and A. Aydin Alatan and Aykut Erdem and Bernard Ghanem and Bin Liu and Bohyung Han and Brais Mart{\'{\i}}nez and Chang{-}Ming Chang and Changsheng Xu and Chong Sun and Daijin Kim and Dapeng Chen and Dawei Du and Deepak Mishra and Dit{-}Yan Yeung and Erhan Gundogdu and Erkut Erdem and Fahad Shahbaz Khan and Fatih Porikli and Fei Zhao and Filiz Bunyak and Francesco Battistone and Gao Zhu and Giorgio Roffo and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Guna Seetharaman and Henry Medeiros and Hongdong Li and Honggang Qi and Horst Bischof and Horst Possegger and Huchuan Lu and Hyemin Lee and Hyeonseob Nam and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jae{-}chan Jeong and Jaeil Cho and Jae{-}Yeong Lee and Jianke Zhu and Jiayi Feng and Jin Gao and Jin Young Choi and Jingjing Xiao and Ji{-}Wan Kim and Jiyeoup Jeong and Jo{\~{a}}o F. Henriques and Jochen Lang and Jongwon Choi and Jos{\'{e}} M. Mart{\'{\i}}nez and Junliang Xing and Junyu Gao and Kannappan Palaniappan and Karel Lebeda and Ke Gao and Krystian Mikolajczyk and Lei Qin and Lijun Wang and Longyin Wen and Luca Bertinetto and Madan Kumar Rapuru and Mahdieh Poostchi and Mario Edoardo Maresca and Martin Danelljan and Matthias Mueller and Mengdan Zhang and Michael Arens and Michel F. Valstar and Ming Tang and Mooyeol Baek and Muhammad Haris Khan and Naiyan Wang and Nana Fan and Noor Al{-}Shakarji and Ondrej Miksik and Osman Akin and Payman Moallem and Pedro Senna and Philip H. S. Torr and Pong C. Yuen and Qingming Huang and Rafael Martin Nieto and Rengarajan Pelapur and Richard Bowden and Robert Lagani{\`{e}}re and Rustam Stolkin and Ryan Walsh and Sebastian Bernd Krah and Shengkun Li and Shengping Zhang and Shizeng Yao and Simon Hadfield and Simone Melzi and Siwei Lyu and Siyi Li and Stefan Becker and Stuart Golodetz and Sumithra Kakanuru and Sunglok Choi and Tao Hu and Thomas Mauthner and Tianzhu Zhang and Tony P. Pridmore and Vincenzo Santopietro and Weiming Hu and Wenbo Li and Wolfgang H{\"{u}}bner and Xiangyuan Lan and Xiaomeng Wang and Xin Li and Yang Li and Yiannis Demiris and Yifan Wang and Yuankai Qi and Zejian Yuan and Zexiong Cai and Zhan Xu and Zhenyu He and Zhizhen Chi}, editor = {Gang Hua and Herv{\'{e}} J{\'{e}}gou}, title = {The Visual Object Tracking {VOT2016} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2016 Workshops - Amsterdam, The Netherlands, October 8-10 and 15-16, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9914}, pages = {777--823}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48881-3\_54}, doi = {10.1007/978-3-319-48881-3\_54}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPCVHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiK16, author = {Seo Young Choi and Jonghyun Kim}, title = {Improving modified tardieu scale assessment using inertial measurement unit with visual biofeedback}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {4703--4706}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591777}, doi = {10.1109/EMBC.2016.7591777}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/MueckSKCDDKCCDP16, author = {Markus Mueck and Emilio Calvanese Strinati and Il{-}Gyu Kim and Antonio Clemente and Jean{-}Baptiste Dore and Antonio De Domenico and Taeyeon Kim and Taesang Choi and Hyun Kyu Chung and Giuseppe Destino and Aarno P{\"{a}}rssinen and Ari Pouttu and Matti Latva{-}aho and Nicolas Chuberre and Mathieu Gineste and Benoit Vautherin and Michel Monnerat and Valerio Frascolla and Maria Fresia and Wilhelm Keusgen and Thomas Haustein and Aki Korvala and Marko Pettissalo and Olli Liinamaa}, title = {5G {CHAMPION} - Rolling out 5G in 2018}, booktitle = {2016 {IEEE} Globecom Workshops, Washington, DC, USA, December 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GLOCOMW.2016.7848798}, doi = {10.1109/GLOCOMW.2016.7848798}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/MueckSKCDDKCCDP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChaeYCKBJH16, author = {Seungho Chae and Yoonsik Yang and Heeseung Choi and Ig{-}Jae Kim and Junghyun Byun and Jiyoon Jo and Tack{-}Don Han}, title = {Smart advisor: Real-time information provider with mobile augmented reality}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, pages = {97--98}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE.2016.7430535}, doi = {10.1109/ICCE.2016.7430535}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChaeYCKBJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChankhihortCLIA16, author = {Doung Chankhihort and Sung Soo Choi and Gyu Jung Lee and Byung Mook Im and Da{-}Mi Ahn and Eun{-}Suk Choi and Aziz Nasridinov and Sun{-}Ok Kwon and Sang{-}Hyun Lee and Jeong{-}Tae Kang and Kyu{-}Tae Park and Kwan{-}Hee Yoo}, title = {Integrative manufacturing data visualization using calendar view map}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {114--116}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7536996}, doi = {10.1109/ICUFN.2016.7536996}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChankhihortCLIA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKKKKJYKCOLKL16, author = {Jong{-}Chern Lee and Jihwan Kim and Kyung Whan Kim and Young Jun Ku and Dae Suk Kim and Chunseok Jeong and Tae Sik Yun and Hongjung Kim and Ho Sung Cho and Sangmuk Oh and Hyun Sung Lee and Ki Hun Kwon and Dong Beom Lee and Young Jae Choi and Jaejin Lee and Hyeon Gon Kim and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {High bandwidth memory(HBM) with {TSV} technique}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {181--182}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799847}, doi = {10.1109/ISOCC.2016.7799847}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeKKKKJYKCOLKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongJCCC16, author = {Hohyon Song and Bosun Jeong and Hyunkyu Choi and Taeho Cho and Hweihn Chung}, title = {Hardware implementation of aggregated channel features for {ADAS}}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {167--168}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799844}, doi = {10.1109/ISOCC.2016.7799844}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SongJCCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKJYKCKKKO16, author = {Jong{-}Chern Lee and Jihwan Kim and Kyung Whan Kim and Young Jun Ku and Dae Suk Kim and Chunseok Jeong and Tae Sik Yun and Hongjung Kim and Ho Sung Cho and Yeon Ok Kim and Jae{-}Hwan Kim and Jin Ho Kim and Sangmuk Oh and Hyun Sung Lee and Ki Hun Kwon and Dong Beom Lee and Young Jae Choi and Jeajin Lee and Hyeon Gon Kim and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {18.3 {A} 1.2V 64Gb 8-channel 256GB/s {HBM} {DRAM} with peripheral-base-die architecture and small-swing technique on heavy load interface}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {318--319}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418035}, doi = {10.1109/ISSCC.2016.7418035}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKJYKCKKKO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanakaHVGKPYPEG16, author = {Tomoharu Tanaka and Mark Helm and Tommaso Vali and Ramin Ghodsi and Koichi Kawai and Jae{-}Kwan Park and Shigekazu Yamada and Feng Pan and Yuichi Einaga and Ali Ghalam and Toru Tanzawa and Jason Guo and Takaaki Ichikawa and Erwin Yu and Satoru Tamada and Tetsuji Manabe and Jiro Kishimoto and Yoko Oikawa and Yasuhiro Takashima and Hidehiko Kuge and Midori Morooka and Ali Mohammadzadeh and Jong Kang and Jeff Tsai and Emanuele Sirizotti and Eric Lee and Luyen Vu and Yuxing Liu and Hoon Choi and Kwonsu Cheon and Daesik Song and Daniel Shin and Jung Hee Yun and Michele Piccardi and Kim{-}Fung Chan and Yogesh Luthra and Dheeraj Srinivasan and Srinivasarao Deshmukh and Kalyan Kavalipurapu and Dan Nguyen and Girolamo Gallo and Sumant Ramprasad and Michelle Luo and Qiang Tang and Michele Incarnati and Agostino Macerola and Luigi Pilolli and Luca De Santis and Massimo Rossini and Violante Moschiano and Giovanni Santin and Bernardino Tronca and Hyunseok Lee and Vipul Patel and Ted Pekny and Aaron Yip and Naveen Prabhu and Purval Sule and Trupti Bemalkhedkar and Kiranmayee Upadhyayula and Camila Jaramillo}, title = {7.7 {A} 768Gb 3b/cell 3D-floating-gate {NAND} flash memory}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {142--144}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417947}, doi = {10.1109/ISSCC.2016.7417947}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TanakaHVGKPYPEG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/JeongJDLCC16, author = {Young{-}Seob Jeong and Won{-}Tae Joo and Hyun{-}Woo Do and Chae{-}Gyun Lim and Key{-}Sun Choi and Ho{-}Jin Choi}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Sara Goggi and Marko Grobelnik and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Korean TimeML and Korean TimeBank}, booktitle = {Proceedings of the Tenth International Conference on Language Resources and Evaluation {LREC} 2016, Portoro{\v{z}}, Slovenia, May 23-28, 2016}, publisher = {European Language Resources Association {(ELRA)}}, year = {2016}, url = {http://www.lrec-conf.org/proceedings/lrec2016/summaries/175.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/JeongJDLCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/KaKHLSCKC16, author = {Soonwon Ka and Tae{-}Hyun Kim and Jae Yeol Ha and Sun Hong Lim and Su Cheol Shin and Jun Won Choi and Chulyoung Kwak and Sunghyun Choi}, editor = {Yingying Chen and Marco Gruteser and Y. Charlie Hu and Karthik Sundaresan}, title = {Near-ultrasound communication for TV's 2nd screen services}, booktitle = {Proceedings of the 22nd Annual International Conference on Mobile Computing and Networking, MobiCom 2016, New York City, NY, USA, October 3-7, 2016}, pages = {42--54}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2973750.2973774}, doi = {10.1145/2973750.2973774}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/KaKHLSCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/NguyenTCHV16, author = {Phuc Nguyen and Shane Transue and Min{-}Hyung Choi and Ann C. Halbower and Tam Vu}, editor = {Shubham Jain and Yasaman Ghasem Pour}, title = {WiKiSpiro: non-contact respiration volume monitoring during sleep}, booktitle = {Proceedings of the Eighth Wireless of the Students, by the Students, and for the Students Workshop, S3@MobiCom 2016, New York City, NY, USA, October 7, 2016}, pages = {27--29}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2987356}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/NguyenTCHV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChoiP16, author = {Hyuna Choi and Jae Wan Park}, title = {Analysis of Tactile Elements Based on Classification of a Tactile User Interface}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, pages = {173--174}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2987386.2987435}, doi = {10.1145/2987386.2987435}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/ChoiP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scsc/ChoiLLYKK16, author = {Seon Han Choi and Jun Hee Lee and Sang Hyun Lee and Ho Dong Yoo and Jung Koo and Tag Gon Kim}, editor = {Floriano De Rango and Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n}, title = {6 dof aircraft simulation model capable of handling maneuver events {(WIP)}}, booktitle = {Proceedings of the Summer Computer Simulation Conference, SummerSim 2016, Montreal, QC, Canada, July 24-27, 2016}, pages = {54}, publisher = {Society for Computer Simulation International / {ACM} {DL}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3015628}, timestamp = {Thu, 10 Nov 2016 08:11:42 +0100}, biburl = {https://dblp.org/rec/conf/scsc/ChoiLLYKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TariqCWP16, author = {Shahroz Tariq and Hyunsoo Choi and C. M. Wasiq and Heemin Park}, title = {Controlled parking for self-driving cars}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {1861--1865}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844509}, doi = {10.1109/SMC.2016.7844509}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/TariqCWP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/KimGKCCC16, author = {Hyung{-}Sik Kim and Seong{-}Young Gim and Woo{-}Ram Kim and Mi{-}Hyun Choi and Seungmoon Choi and Soon{-}Cheol Chung}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {MagTacS: Delivering Tactile Sensation over an Object}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016 Adjunct Volume, Tokyo, Japan, October 16 - 19, 2016}, pages = {41--42}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984751.2985698}, doi = {10.1145/2984751.2985698}, timestamp = {Tue, 06 Nov 2018 16:58:07 +0100}, biburl = {https://dblp.org/rec/conf/uist/KimGKCCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LeeCPCKC16, author = {Hojin Lee and Hojun Cha and Junsuk Park and Seungmoon Choi and Hyung{-}Sik Kim and Soon{-}Cheol Chung}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {LaserStroke: Mid-air Tactile Experiences on Contours Using Indirect Laser Radiation}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016 Adjunct Volume, Tokyo, Japan, October 16 - 19, 2016}, pages = {73--74}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984751.2985708}, doi = {10.1145/2984751.2985708}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/LeeCPCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDKKM16, author = {Tae{-}Yong Choi and Hyunmin Do and Guk Hwa Kim and Jin Ho Kyung and Jun Yong Moon}, title = {An example of performing art with robot}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {905--906}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734007}, doi = {10.1109/URAI.2016.7734007}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDKKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLKC16, author = {Jinwoo Choi and Yeongjun Lee and Tae{-}Jin Kim and Hyun{-}Taek Choi}, title = {Hierarchical topological modeling of marine environment}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {877--880}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734000}, doi = {10.1109/URAI.2016.7734000}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ChoiLKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/DoKCKS16, author = {Hyunmin Do and Guk Hwa Kim and Tae{-}Yong Choi and Doo Hyeong Kim and Young{-}Su Son}, title = {Development of simulation model for modular and reconfigurable robots}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734040}, doi = {10.1109/URAI.2016.7734040}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/DoKCKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JungCCM16, author = {Jongdae Jung and Suyoung Choi and Hyun{-}Taek Choi and Hyun Myung}, title = {Localization of AUVs using depth information of underwater structures from a monocular camera}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {444--446}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734078}, doi = {10.1109/URAI.2016.7734078}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/JungCCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimCLC16, author = {Tae{-}Jin Kim and Jinwoo Choi and Yeongjun Lee and Hyun{-}Taek Choi}, title = {Development of a multi-purpose unmanned surface vehicle and simulation comparison of path tracking methods}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {447--451}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734079}, doi = {10.1109/URAI.2016.7734079}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKCC16, author = {Yeongjun Lee and Tae{-}Jin Kim and Jinwoo Choi and Hyun{-}Taek Choi}, title = {Preliminary result on object shape reconstruction using an underwater forward-looking sonar}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {7--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734009}, doi = {10.1109/URAI.2016.7734009}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeeKCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LiKHCS16, author = {Ji{-}Hong Li and Hyung{-}Ju Kang and Sung{-}Mun Hong and Young{-}Ho Choi and Jin{-}Ho Suh}, title = {Demonstration of {P-SURO} {II} AUV's autonomous navigation in the water tank environment}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {295}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7625759}, doi = {10.1109/URAI.2016.7625759}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LiKHCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/robo/ChoiY16, author = {Hyun{-}Taek Choi and Junku Yuh}, editor = {Bruno Siciliano and Oussama Khatib}, title = {Underwater Robots}, booktitle = {Springer Handbook of Robotics}, series = {Springer Handbooks}, pages = {595--622}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-32552-1\_25}, doi = {10.1007/978-3-319-32552-1\_25}, timestamp = {Sun, 25 Jul 2021 11:43:37 +0200}, biburl = {https://dblp.org/rec/reference/robo/ChoiY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChoiOK16, author = {Jinsoo Choi and Tae{-}Hyun Oh and In{-}So Kweon}, title = {Human Attention Estimation for Natural Images: An Automatic Gaze Refinement Approach}, journal = {CoRR}, volume = {abs/1601.02852}, year = {2016}, url = {http://arxiv.org/abs/1601.02852}, eprinttype = {arXiv}, eprint = {1601.02852}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChoiOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/KangC15, author = {Tae{-}Wook Kang and Hyun{-}Sang Choi}, title = {{BIM} perspective definition metadata for interworking facility management data}, journal = {Adv. Eng. Informatics}, volume = {29}, number = {4}, pages = {958--970}, year = {2015}, url = {https://doi.org/10.1016/j.aei.2015.09.004}, doi = {10.1016/J.AEI.2015.09.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/KangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChenCTOTC15, author = {Gengbo Chen and Liang Cui and Guo Shou Teo and Choon Nam Ong and Chuen Seng Tan and Hyungwon Choi}, title = {MetTailor: dynamic block summary and intensity normalization for robust analysis of mass spectrometry data in metabolomics}, journal = {Bioinform.}, volume = {31}, number = {22}, pages = {3645--3652}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btv434}, doi = {10.1093/BIOINFORMATICS/BTV434}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChenCTOTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/FerminACN15, author = {Damian Fermin and Dmitry Avtonomov and Hyungwon Choi and Alexey I. Nesvizhskii}, title = {LuciPHOr2: site localization of generic post-translational modifications from tandem mass spectrometry data}, journal = {Bioinform.}, volume = {31}, number = {7}, pages = {1141--1143}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu788}, doi = {10.1093/BIOINFORMATICS/BTU788}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/FerminACN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YounSCSSLHLM15, author = {Su Hyun Youn and Taeyong Sim and Ahnryul Choi and Jinsung Song and Ki Young Shin and Il Kwon Lee and Hyun Mu Heo and Daeweon Lee and Joung Hwan Mun}, title = {Multi-class biological tissue classification based on a multi-classifier: Preliminary study of an automatic output power control for ultrasonic surgical units}, journal = {Comput. Biol. Medicine}, volume = {61}, pages = {92--100}, year = {2015}, url = {https://doi.org/10.1016/j.compbiomed.2015.03.021}, doi = {10.1016/J.COMPBIOMED.2015.03.021}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YounSCSSLHLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/LeeMKCHB15, author = {Young{-}Pil Lee and Yongseon Moon and Nak Yong Ko and Hyun{-}Taek Choi and Linyun Huang and Youngchul Bae}, title = {Measurement of {DS-CDMA} Propagation Distance in Underwater Acoustic Communication Considering Attenuation and Noise}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {15}, number = {1}, pages = {20--26}, year = {2015}, url = {https://doi.org/10.5391/IJFIS.2015.15.1.20}, doi = {10.5391/IJFIS.2015.15.1.20}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/LeeMKCHB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/LeeMKCHB15a, author = {Young{-}Pil Lee and Yongseon Moon and Nak Yong Ko and Hyun{-}Taek Choi and Linyun Huang and Youngchul Bae}, title = {DSSS-Based Channel Access Technique {DS-CDMA} for Underwater Acoustic Transmission}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {15}, number = {1}, pages = {53--59}, year = {2015}, url = {https://doi.org/10.5391/IJFIS.2015.15.1.53}, doi = {10.5391/IJFIS.2015.15.1.53}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/LeeMKCHB15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChoiASLS15, author = {Hyun Duck Choi and Choon Ki Ahn and Peng Shi and Myo{-}Taeg Lim and Moon Kyou Song}, title = {L\({}_{\mbox{2}}\)-L\({}_{\mbox{{\(\infty\)}}}\) Filtering for Takagi-Sugeno fuzzy neural networks based on Wirtinger-type inequalities}, journal = {Neurocomputing}, volume = {153}, pages = {117--125}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2014.11.046}, doi = {10.1016/J.NEUCOM.2014.11.046}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ChoiASLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/KimPP15, author = {Young{-}Soo Kim and Do{-}Hyung Park and Se{-}Bum Park}, title = {Tariff choice of online contents based on usage goal and self-control: can {I} control myself?}, journal = {Internet Res.}, volume = {25}, number = {5}, pages = {852--867}, year = {2015}, url = {https://doi.org/10.1108/IntR-01-2014-0029}, doi = {10.1108/INTR-01-2014-0029}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/intr/KimPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/ChoiLKSPYY15, author = {Taesang Choi and Byungjoon Lee and Saehoon Kang and Sejun Song and Hyungbae Park and Sangsik Yoon and Sunhee Yang}, title = {IRIS-CoMan: Scalable and Reliable Control and Management Architecture for SDN-Enabled Large-Scale Networks}, journal = {J. Netw. Syst. Manag.}, volume = {23}, number = {2}, pages = {252--279}, year = {2015}, url = {https://doi.org/10.1007/s10922-015-9341-0}, doi = {10.1007/S10922-015-9341-0}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/ChoiLKSPYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongKKPCPR15, author = {Hyeok{-}Ki Hong and Wan Kim and Hyun{-}Wook Kang and Sun{-}Jae Park and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {A Decision-Error-Tolerant 45 nm {CMOS} 7b 1 GS/s Nonbinary 2b/Cycle {SAR} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {2}, pages = {543--555}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2364833}, doi = {10.1109/JSSC.2014.2364833}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongKKPCPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15, author = {Ki{-}Tae Park and Sangwan Nam and Dae{-}Han Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Doo{-}Hyun Kim and Minsu Kim and Hyun Wook Park and Sang{-}Won Shim and Kyung{-}Min Kang and Sang{-}Won Park and Kangbin Lee and Hyun{-}Jun Yoon and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dae{-}Seok Byeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Jeong{-}Hyuk Choi and Kinam Kim}, title = {Three-Dimensional 128 Gb {MLC} Vertical nand Flash Memory With 24-WL Stacked Layers and 50 MB/s High-Speed Programming}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {204--213}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2352293}, doi = {10.1109/JSSC.2014.2352293}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiKLJAKJPYCKK15, author = {Mi{-}Ri Choi and Hyung{-}Giun Kim and Taeg{-}Woo Lee and Young{-}Jun Jeon and Yong{-}Keun Ahn and Kyo{-}Wang Koo and You{-}Cheol Jang and So{-}Yeon Park and Jae{-}Hak Yee and Nam{-}Kwon Cho and Il{-}Tae Kang and Sangshik Kim and Seung{-}Zeon Han and Sung{-}Hwan Lim}, title = {Microstructural evaluation and failure analysis of Ag wire bonded to Al pads}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2306--2315}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.038}, doi = {10.1016/J.MICROREL.2015.07.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiKLJAKJPYCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiP15, author = {Jin Hyung Choi and Jong Tae Park}, title = {Wire width dependence of hot carrier degradation in silicon nanowire gate-all-around MOSFETs}, journal = {Microelectron. Reliab.}, volume = {55}, number = {9-10}, pages = {1438--1441}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.06.127}, doi = {10.1016/J.MICROREL.2015.06.127}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ChoiP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiPEC15, author = {Young{-}Hyun Choi and Min{-}Woo Park and Jung{-}Ho Eom and Tai{-}Myoung Chung}, title = {Dynamic binary analyzer for scanning vulnerabilities with taint analysis}, journal = {Multim. Tools Appl.}, volume = {74}, number = {7}, pages = {2301--2320}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-1922-5}, doi = {10.1007/S11042-014-1922-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChoiPEC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/ZhangMSC15, author = {Fumin Zhang and Giacomo Marani and Ryan N. Smith and Hyun{-}Taek Choi}, title = {Future Trends in Marine Robotics {[TC} Spotlight]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {22}, number = {1}, pages = {14--122}, year = {2015}, url = {https://doi.org/10.1109/MRA.2014.2385561}, doi = {10.1109/MRA.2014.2385561}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/ZhangMSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCKL15, author = {Kwangtaek Kim and Joongrock Kim and Jaesung Choi and Junghyun Kim and Sangyoun Lee}, title = {Depth Camera-Based 3D Hand Gesture Controls with Immersive Tactile Feedback for Natural Mid-Air Gesture Interactions}, journal = {Sensors}, volume = {15}, number = {1}, pages = {1022--1046}, year = {2015}, url = {https://doi.org/10.3390/s150101022}, doi = {10.3390/S150101022}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKCKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLOCM15, author = {Hyungjin Kim and Donghwa Lee and Taekjun Oh and Hyun{-}Taek Choi and Hyun Myung}, title = {A Probabilistic Feature Map-Based Localization System Using a Monocular Camera}, journal = {Sensors}, volume = {15}, number = {9}, pages = {21636--21659}, year = {2015}, url = {https://doi.org/10.3390/s150921636}, doi = {10.3390/S150921636}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLOCM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ShimCSOLACSK15, author = {Inwook Shim and Jongwon Choi and Seunghak Shin and Tae Hyun Oh and Unghui Lee and Byungtae Ahn and Dong{-}Geol Choi and David Hyunchul Shim and In{-}So Kweon}, title = {An Autonomous Driving System for Unknown Environments Using a Unified Map}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {16}, number = {4}, pages = {1999--2013}, year = {2015}, url = {https://doi.org/10.1109/TITS.2015.2389237}, doi = {10.1109/TITS.2015.2389237}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ShimCSOLACSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/WangCKKCC15, author = {Xiaofei Wang and Min Chen and Hyunchul Kim and Ted Taekyoung Kwon and Yanghee Choi and Sunghyun Choi}, title = {Measurement and analysis of online gaming services on mobile WiMAX networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {15}, number = {7}, pages = {1198--1211}, year = {2015}, url = {https://doi.org/10.1002/wcm.2412}, doi = {10.1002/WCM.2412}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wicomm/WangCKKCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YoonSPC15, author = {Hyungjoo Yoon and Hyun Ho Seo and Young{-}Woong Park and Hong{-}Taek Choi}, title = {A new minimum infinity-norm solution: With application to capacity analysis of spacecraft reaction wheels}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {1241--1245}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7170903}, doi = {10.1109/ACC.2015.7170903}, timestamp = {Fri, 03 Dec 2021 13:03:59 +0100}, biburl = {https://dblp.org/rec/conf/amcc/YoonSPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/LeeCDPPS15, author = {Joon{-}Woo Lee and Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Chanhun Park and Young{-}Su Son}, title = {Experimental results of heterogeneous cooperative Bare Bones Particle Swarm Optimization with Gaussian jump for Large Scale Global Optimization}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2015, Sendai, Japan, May 25-28, 2015}, pages = {1979--1985}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CEC.2015.7257128}, doi = {10.1109/CEC.2015.7257128}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/LeeCDPPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcomp/ChoiHCY15, author = {Jisoo Choi and Yun{-}Gi Ha and Gyu{-}Beom Choi and Chan{-}Hyun Youn}, editor = {Yin Zhang and Limei Peng and Chan{-}Hyun Youn}, title = {An Adaptive {VM} Reservation Scheme with Prediction and Task Allocation in Cloud}, booktitle = {Cloud Computing - 6th International Conference, CloudComp 2015, Daejeon, South Korea, October 28-29, 2015, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {167}, pages = {50--59}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-38904-2\_6}, doi = {10.1007/978-3-319-38904-2\_6}, timestamp = {Sat, 05 Sep 2020 17:58:59 +0200}, biburl = {https://dblp.org/rec/conf/cloudcomp/ChoiHCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/JangCHLJC15, author = {Hong{-}Jun Jang and Woo{-}Sung Choi and Kyeong{-}Seok Hyun and Taehyung Lim and Soon{-}Young Jung and Jaehwa Chung}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {In-Memory Processing for Nearest User-Specified Group Search}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {797--803}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_112}, doi = {10.1007/978-981-10-0281-6\_112}, timestamp = {Sun, 19 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csa2/JangCHLJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drcn/ParkSCC15, author = {Hyungbae Park and Sejun Song and Baek{-}Young Choi and Taesang Choi}, title = {Toward control path high availability for software-defined networks}, booktitle = {11th International Conference on the Design of Reliable Communication Networks, {DRCN} 2015, Kansas City, MO, USA, March 24-27, 2015}, pages = {165--172}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/DRCN.2015.7149008}, doi = {10.1109/DRCN.2015.7149008}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/drcn/ParkSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/AhnHC15, author = {Chung{-}Hyun Ahn and Tae{-}Hyun Hwang and Kyoung{-}Ho Choi}, editor = {Soon{-}Young Park and Hironobu Fujiyoshi and Kunihito Kato and Hongbin Zha and Chil{-}Woo Lee and Kang{-}Hyun Jo}, title = {A framework of augmented reality for geotagged videos}, booktitle = {21st Korea-Japan Joint Workshop on Frontiers of Computer Vision, {FCV} 2015, Mokpo, South Korea, January 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FCV.2015.7103710}, doi = {10.1109/FCV.2015.7103710}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/fcv/AhnHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/LeeKCJPKOKC15, author = {Hojin Lee and Ji{-}Sun Kim and Seungmoon Choi and Jae{-}Hoon Jun and Jong{-}Rak Park and A.{-}Hee Kim and Han{-}Byeol Oh and Hyung{-}Sik Kim and Soon{-}Cheol Chung}, title = {Mid-air tactile stimulation using laser-induced thermoelastic effects: The first study for indirect radiation}, booktitle = {2015 {IEEE} World Haptics Conference, {WHC} 2015, Evanston, IL, USA, June 22-26, 2015}, pages = {374--380}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WHC.2015.7177741}, doi = {10.1109/WHC.2015.7177741}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/LeeKCJPKOKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/ChoiLJL15, author = {Hyunchul Choi and Sukwon Lee and Taeil Jin and Sung{-}Hee Lee}, title = {Trajectory-free reactive stepping of humanoid robots using momentum control}, booktitle = {15th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2015, Seoul, South Korea, November 3-5, 2015}, pages = {1173--1178}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HUMANOIDS.2015.7363511}, doi = {10.1109/HUMANOIDS.2015.7363511}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/ChoiLJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ChoiARK15, author = {Su{-}Hyoung Choi and Jong{-}Pil Ahn and Jee{-}Hyung Rheu and Young{-}Mo Kim}, editor = {Sabri Arik and Tingwen Huang and Weng Kin Lai and Qingshan Liu}, title = {Tailgating Enforcement based on Back-Tracking in Intersection}, booktitle = {Neural Information Processing - 22nd International Conference, {ICONIP} 2015, Istanbul, Turkey, November 9-12, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9490}, pages = {630--637}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-26535-3\_72}, doi = {10.1007/978-3-319-26535-3\_72}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ChoiARK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimCPLH15, author = {Dong{-}Hyung Kim and Youn{-}Sung Choi and Taejoon Park and Ji Yeong Lee and Chang{-}Soo Han}, title = {Efficient path planning for high-DOF articulated robots with adaptive dimensionality}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, pages = {2355--2360}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICRA.2015.7139512}, doi = {10.1109/ICRA.2015.7139512}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimCPLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKCJL15, author = {Jong Won Kim and Tae{-}Hyung Kim and Jong{-}Woo Choi and Youn Kwae Jeong and Il{-}Woo Lee}, title = {Implementation of ICT-based building ongoing commissioning system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1167--1170}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354765}, doi = {10.1109/ICTC.2015.7354765}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimKCJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiKC15, author = {Hae{-}il Choi and Hyung{-}jik Kim and Sunwoong Choi}, title = {New couple-resolution blocking tag identification protocol in {RFID} systems with capture effect}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {29--34}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182490}, doi = {10.1109/ICUFN.2015.7182490}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeLC14, author = {Dong Hun Lee and Kyung{-}Hoon Lee and Hyung{-}Jin Choi}, editor = {Dongsoo S. Kim and Sang{-}Wook Kim and Suk{-}Han Lee and Lajos Hanzo and Roslan Ismail}, title = {An iterative sort based resource scheduling for long distance airborne tactical communication in hub network}, booktitle = {Proceedings of the 9th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2015, Bali, Indonesia, January 08 - 10, 2015}, pages = {11:1--11:4}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2701126.2701201}, doi = {10.1145/2701126.2701201}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/LeeLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/Lee0CC15, author = {Hyewon Lee and Tae Hyun Kim and Jun Won Choi and Sunghyun Choi}, title = {Chirp signal-based aerial acoustic communication for smart devices}, booktitle = {2015 {IEEE} Conference on Computer Communications, {INFOCOM} 2015, Kowloon, Hong Kong, April 26 - May 1, 2015}, pages = {2407--2415}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INFOCOM.2015.7218629}, doi = {10.1109/INFOCOM.2015.7218629}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/Lee0CC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLNSKYSLRKKP15, author = {Hyun{-}Jin Kim and Jeong{-}Don Lim and Jang{-}Woo Lee and Dae{-}Hoon Na and Joon{-}Ho Shin and Chae{-}Hoon Kim and Seungwoo Yu and Ji{-}Yeon Shin and Seon{-}Kyoo Lee and Devraj Rajagopal and Sang{-}Tae Kim and Kyeong{-}Tae Kang and Jeong{-}Joon Park and Yongjin Kwon and Min{-}Jae Lee and Sunghoon Kim and Seunghoon Shin and Hyunggon Kim and Jin{-}Tae Kim and Ki{-}Sung Kim and Han{-}Sung Joo and Chanjin Park and Jae{-}Hwan Kim and Man{-}Joong Lee and Do{-}Kook Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.6 1GB/s 2Tb {NAND} flash multi-chip package with frequency-boosting interface chip}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062964}, doi = {10.1109/ISSCC.2015.7062964}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLNSKYSLRKKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeePJYLCHCJNLSC15, author = {Seung{-}Chul Lee and Ji{-}Seon Paek and Jun{-}Hee Jung and Yong{-}Sik Youn and Sung{-}Jun Lee and Min{-}Soo Cho and Jae{-}Jol Han and Jung{-}Hyun Choi and Yong{-}Whan Joo and Takahiro Nomiyama and Su{-}Ho Lee and Il{-}Young Sohn and Thomas Byunghak Cho and Byeong{-}Ha Park and Inyup Kang}, title = {2.7 {A} hybrid supply modulator with 10dB {ET} operation dynamic range achieving a {PAE} of 42.6{\%} at 27.0dBm {PA} output power}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062916}, doi = {10.1109/ISSCC.2015.7062916}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeePJYLCHCJNLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/SaileshKHC15, author = {G. C. Sailesh and Ravi Kasaudhan and Tae K. Heo and Hyung Do Choi}, editor = {Esmaeil S. Nadimi and Tom{\'{a}}s Cern{\'{y}} and Sung{-}Ryul Kim and Wei Wang}, title = {Variability measurement for breast cancer classification of mammographic masses}, booktitle = {Proceedings of the 2015 Conference on research in adaptive and convergent systems, {RACS} 2015, Prague, Czech Republic, October 9-12, 2015}, pages = {177--182}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811411.2811505}, doi = {10.1145/2811411.2811505}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/SaileshKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sca/LeeCJL15, author = {Sukwon Lee and Hyunchul Choi and Taeil Jin and Sung{-}Hee Lee}, editor = {Jernej Barbic and Zhigang Deng}, title = {Trajectory-free reactive stepping of physics-based character using momentum control}, booktitle = {Proceedings of the 14th {ACM} {SIGGRAPH} / Eurographics Symposium on Computer Animation, {SCA} 2015, Los Angeles, CA, USA, August 7-9, 2015}, pages = {202}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786784.2795144}, doi = {10.1145/2786784.2795144}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sca/LeeCJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/SongKPCC15, author = {Sejun Song and Daehee Kim and Hyungbae Park and Baek{-}Young Choi and Taesang Choi}, editor = {Theophilus Benson and Costin Raiciu}, title = {{CO-REDUCE:} Collaborative Redundancy Reduction Service in Software-Defined Networks}, booktitle = {Proceedings of the 2015 {ACM} {SIGCOMM} Workshop on Hot Topics in Middleboxes and Network Function Virtualization, HotMiddlebox@SIGCOMM 2015, London, United Kingdom, August 21, 2015}, pages = {61--66}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2785989.2786001}, doi = {10.1145/2785989.2786001}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/SongKPCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiC15, author = {Jinwoo Choi and Hyun{-}Taek Choi}, title = {Underwater vehicle localization using angular measurements of underwater acoustic sources}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {235--238}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358944}, doi = {10.1109/URAI.2015.7358944}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ChoiC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimBKJKHCLH15, author = {Hyunsoo Kim and Jonghyun Bang and Seokjung Kim and Hyoungju Ji and Younsun Kim and Taewon Hwang and Sooyong Choi and Chungyong Lee and Daesik Hong}, title = {Adaptive Unlicensed Band Access for Downlink Cellular Networks}, booktitle = {{IEEE} 82nd Vehicular Technology Conference, {VTC} Fall 2015, Boston, MA, USA, September 6-9, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCFall.2015.7390832}, doi = {10.1109/VTCFALL.2015.7390832}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimBKJKHCLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ParkCKKL15, author = {Hyun{-}Seo Park and Yong{-}Seouk Choi and Tae{-}Joong Kim and Byung{-}Chul Kim and Jae Yong Lee}, title = {Is It Possible to Simultaneously Achieve Zero Handover Failure Rate and Ping-Pong Rate?}, journal = {CoRR}, volume = {abs/1511.00797}, year = {2015}, url = {http://arxiv.org/abs/1511.00797}, eprinttype = {arXiv}, eprint = {1511.00797}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ParkCKKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HanCKKKKC14, author = {Jinyoung Han and Taejoong Chung and Seungbae Kim and Hyunchul Kim and Jussi Kangasharju and Ted Taekyoung Kwon and Yanghee Choi}, title = {Strategic bundling for content availability and fast distribution in BitTorrent}, journal = {Comput. Commun.}, volume = {43}, pages = {64--73}, year = {2014}, url = {https://doi.org/10.1016/j.comcom.2014.01.013}, doi = {10.1016/J.COMCOM.2014.01.013}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/HanCKKKKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/JooOSKCKM14, author = {Su{-}Bin Joo and Seung Eel Oh and Taeyong Sim and Hyunggun Kim and Chang Hyun Choi and Hyeran Koo and Joung Hwan Mun}, title = {Prediction of gait speed from plantar pressure using artificial neural networks}, journal = {Expert Syst. Appl.}, volume = {41}, number = {16}, pages = {7398--7405}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2014.06.002}, doi = {10.1016/J.ESWA.2014.06.002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/JooOSKCKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiRSL14, author = {Seung Hyun Choi and Tae Moon Roh and Yong Ho Song and Seong{-}Won Lee}, title = {Design of an application specific instruction set processor for a universal bitstream codec}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {24}, pages = {20141047}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20141047}, doi = {10.1587/ELEX.11.20141047}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiRSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JoCL14, author = {Hyun{-}Wook Jo and Ho{-}Lim Choi and Jong{-}Tae Lim}, title = {Adaptive Control of a Chain of Integrators under Unknown Time-Varying Input Delay Using Noisy Output Feedback}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {8}, pages = {1795--1799}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.1795}, doi = {10.1587/TRANSFUN.E97.A.1795}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JoCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChoiCCSP14, author = {Hyo Hyun Choi and Hyunsoo Choi and Myungwhan Choi and Taeshik Shon and ByoungSeob Park}, title = {An Obstacle Avoidance Scheme Maintaining Connectivity for Micro-Unmanned Aerial Vehicles}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/920534}, doi = {10.1155/2014/920534}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChoiCCSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimLMC14, author = {Donghoon Kim and Donghwa Lee and Hyun Myung and Hyun{-}Taek Choi}, title = {Artificial landmark-based underwater localization for AUVs using weighted template matching}, journal = {Intell. Serv. Robotics}, volume = {7}, number = {3}, pages = {175--184}, year = {2014}, url = {https://doi.org/10.1007/s11370-014-0153-y}, doi = {10.1007/S11370-014-0153-Y}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimLMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimPLC14, author = {Seong{-}Jun Kim and Kyung Won Park and Kyung{-}Taek Lee and Hyung{-}Jin Choi}, title = {An Improved Joint Detection of Frame, Integer Frequency Offset, and Spectral Inversion for Digital Radio Mondiale Plus}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {8}, number = {2}, pages = {601--617}, year = {2014}, url = {https://doi.org/10.3837/tiis.2014.02.016}, doi = {10.3837/TIIS.2014.02.016}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimPLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ShonCHC14, author = {Taeshik Shon and Jaeik Cho and Kyusuk Han and Hyohyun Choi}, title = {Toward Advanced Mobile Cloud Computing for the Internet of Things: Current Issues and Future Direction}, journal = {Mob. Networks Appl.}, volume = {19}, number = {3}, pages = {404--413}, year = {2014}, url = {https://doi.org/10.1007/s11036-014-0509-8}, doi = {10.1007/S11036-014-0509-8}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ShonCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiHYP14, author = {Jin Hyung Choi and Jin{-}Woo Han and Chong{-}Gun Yu and Jong Tae Park}, title = {Hot carrier and {PBTI} induced degradation in silicon nanowire gate-all-around {SONOS} MOSFETs}, journal = {Microelectron. Reliab.}, volume = {54}, number = {9-10}, pages = {2325--2328}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2014.07.044}, doi = {10.1016/J.MICROREL.2014.07.044}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ChoiHYP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeMSC14, author = {Ikhyun Lee and Muhammad Tariq Mahmood and Seong{-}O Shim and Tae{-}Sun Choi}, title = {Optimizing image focus for 3D shape recovery through genetic algorithm}, journal = {Multim. Tools Appl.}, volume = {71}, number = {1}, pages = {247--262}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1433-9}, doi = {10.1007/S11042-013-1433-9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeMSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeePLSKC14, author = {Jae{-}Young Lee and Hyung{-}Min Park and Seok{-}Han Lee and Soon{-}Ho Shin and Tae{-}eun Kim and Jong{-}Soo Choi}, title = {Design and implementation of an augmented reality system using gaze interaction}, journal = {Multim. Tools Appl.}, volume = {68}, number = {2}, pages = {265--280}, year = {2014}, url = {https://doi.org/10.1007/s11042-011-0944-5}, doi = {10.1007/S11042-011-0944-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeePLSKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/ParkCEC14, author = {Min{-}Woo Park and Young{-}Hyun Choi and Jung{-}Ho Eom and Tai{-}Myoung Chung}, title = {Dangerous Wi-Fi access point: attacks to benign smartphone applications}, journal = {Pers. Ubiquitous Comput.}, volume = {18}, number = {6}, pages = {1373--1386}, year = {2014}, url = {https://doi.org/10.1007/s00779-013-0739-y}, doi = {10.1007/S00779-013-0739-Y}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/ParkCEC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/ChoiSL14, author = {Jung Hyun Choi and Taewon Seo and Jeh Won Lee}, title = {Torque distribution optimization of redundantly actuated planar parallel mechanisms based on a null-space solution}, journal = {Robotica}, volume = {32}, number = {7}, pages = {1125--1134}, year = {2014}, url = {https://doi.org/10.1017/S0263574713001288}, doi = {10.1017/S0263574713001288}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/ChoiSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scl/JoCL14, author = {Hyun{-}Wook Jo and Ho{-}Lim Choi and Jong{-}Tae Lim}, title = {Observer based output feedback regulation of a class of feedforward nonlinear systems with uncertain input and state delays using adaptive gain}, journal = {Syst. Control. Lett.}, volume = {71}, pages = {44--53}, year = {2014}, url = {https://doi.org/10.1016/j.sysconle.2014.06.005}, doi = {10.1016/J.SYSCONLE.2014.06.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scl/JoCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCYKK14, author = {Beomseong Kim and Baehoon Choi and Minkyun Yoo and Hyunju Kim and Euntai Kim}, title = {Robust Object Segmentation Using a Multi-Layer Laser Scanner}, journal = {Sensors}, volume = {14}, number = {11}, pages = {20400--20418}, year = {2014}, url = {https://doi.org/10.3390/s141120400}, doi = {10.3390/S141120400}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimCYKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiKJSYYSCAKKC14, author = {Sukhwan Choi and Hyunsik Kim and Seungchul Jung and Si{-}Duk Sung and Young{-}sub Yuk and Hyuck{-}Sang Yim and Yoonjae Shin and Junho Cheon and Changyong Ahn and Taekseung Kim and Yongki Brave Kim and Gyu{-}Hyeong Cho}, title = {Auto-Scaling Overdrive Method Using Adaptive Charge Amplification for {PRAM} Write Performance Enhancement}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {11}, pages = {3165--3174}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2334813}, doi = {10.1109/TCSI.2014.2334813}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiKJSYYSCAKKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiRPP14, author = {Ka Hyung Choi and Won{-}Sang Ra and So{-}Young Park and Jin Bae Park}, title = {Robust Least Squares Approach to Passive Target Localization Using Ultrasonic Receiver Array}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {4}, pages = {1993--2002}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2266076}, doi = {10.1109/TIE.2013.2266076}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiRPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiPH14, author = {Siyoung Choi and Hyunsung Park and Taewon Hwang}, title = {Optimal Beamforming and Power Allocation for Sensing-Based Spectrum Sharing in Cognitive Radio Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {1}, pages = {412--417}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2270091}, doi = {10.1109/TVT.2013.2270091}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChoiPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HanCOK14, author = {Taewoo Han and Inhyuk Choi and Hyunggoy Oh and Sungho Kang}, title = {A Scalable and Parallel Test Access Strategy for NoC-Based Multicore System}, booktitle = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November 16-19, 2014}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ATS.2014.26}, doi = {10.1109/ATS.2014.26}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HanCOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ChoiJLGKKPP14, author = {Hyunchul Choi and Semi Jeong and Cheong Lee and Gwangjun Go and Kiduk Kwon and Seong Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Biomimetic swimming tadpole microrobot using 3-pairs Helmholtz coils}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {841--844}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913884}, doi = {10.1109/BIOROB.2014.6913884}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ChoiJLGKKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/ChoiKYYSP14, author = {Taesang Choi and Saehoon Kang and Sangsik Yoon and Sunhee Yang and Sejun Song and Hyungbae Park}, editor = {Hiroshi Esaki}, title = {SuVMF: software-defined unified virtual monitoring function for SDN-based large-scale networks}, booktitle = {International Conference of Future Internet 2014, {CFI} '14, Tokyo, Japan, June 18-20, 2014}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2619287.2619299}, doi = {10.1145/2619287.2619299}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/ChoiKYYSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcomp/KimJHCY14, author = {Seong{-}Hwan Kim and Kyung{-}No Joo and Yun{-}Gi Ha and Gyu{-}Beom Choi and Chan{-}Hyun Youn}, editor = {Victor C. M. Leung and Roy Xiaorong Lai and Min Chen and Jiafu Wan}, title = {A Phased Workflow Scheduling Scheme with Task Division Policy in Cloud Broker}, booktitle = {Cloud Computing - 5th International Conference, CloudComp 2014, Guilin, China, October 19-21, 2014, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {142}, pages = {76--86}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-16050-4\_7}, doi = {10.1007/978-3-319-16050-4\_7}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcomp/KimJHCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YoonCCH14, author = {Tae{-}Seob Yoon and Joong{-}Hyun Choi and Eun{-}Sun Cho and Sumi Helal}, title = {A Formal Modeling for Exceptions in Context-Aware Systems}, booktitle = {{IEEE} 38th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2014, Vasteras, Sweden, July 21-25, 2014}, pages = {734--739}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/COMPSACW.2014.123}, doi = {10.1109/COMPSACW.2014.123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YoonCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangEKCHKH14, author = {Jinseong Jang and Taejoon Eo and Min{-}Oh Kim and Narae Choi and Dongyup Han and Dong{-}Hyun Kim and Dosik Hwang}, title = {Medical image matching using variable randomized undersampling probability pattern in data acquisition}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914453}, doi = {10.1109/ELINFOCOM.2014.6914453}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JangEKCHKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/ChoCKYKC14, author = {Eunsang Cho and Jaeyoung Choi and Donghyun Kim and Jongsoon Yoon and Ted Taekyoung Kwon and Yanghee Choi}, title = {{LOCON:} {A} lookup-based content-oriented networking framework}, booktitle = {23rd International Conference on Computer Communication and Networks, {ICCCN} 2014, Shanghai, China, August 4-7, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCCN.2014.6911755}, doi = {10.1109/ICCCN.2014.6911755}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccn/ChoCKYKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiCTL14, author = {Seung Hyun Choi and Junguk Cho and Yong{-}Min Tai and Seong{-}Won Lee}, title = {Implementation of an image signal processor for reconfigurable processors}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {141--142}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6775944}, doi = {10.1109/ICCE.2014.6775944}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiCTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JinAYSCKL14, author = {Taisong Jin and Minwook Ahn and Donghoon Yoo and Dongkwan Suh and Yoonseo Choi and Do Hyung Kim and Shihwa Lee}, title = {Nop compression scheme for high speed DSPs based on {VLIW} architecture}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {304--305}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6776016}, doi = {10.1109/ICCE.2014.6776016}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JinAYSCKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JinLACKL14, author = {Taisong Jin and Jinseok Lee and Minwook Ahn and Yoonseo Choi and Do Hyung Kim and Shihwa Lee}, title = {JTS-based static branch prediction}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {494--495}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6776101}, doi = {10.1109/ICCE.2014.6776101}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JinLACKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiKOK14, author = {Jongwon Choi and Hyeongwoo Kim and Tae Hyun Oh and In{-}So Kweon}, title = {Balanced optical flow refinement by bidirectional constraint}, booktitle = {2014 {IEEE} International Conference on Image Processing, {ICIP} 2014, Paris, France, October 27-30, 2014}, pages = {5477--5481}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICIP.2014.7026108}, doi = {10.1109/ICIP.2014.7026108}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChoiKOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLLRC14, author = {Wonjae Lee and Hyun{-}Woo Lee and Yong{-}Tae Lee and Won Ryu and Min Choi}, title = {Content management system for environment adaptive digital signage}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {528--529}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983201}, doi = {10.1109/ICTC.2014.6983201}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeLLRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimMOPCS14, author = {Samyeon Kim and Seung Ki Moon and Hyung Sool Oh and Taezoon Park and Hae{-}Jin Choi and Hungsun Son}, title = {A framework to identify sustainability indicators for product design}, booktitle = {2014 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2014, Selangor Darul Ehsan, Malaysia, December 9-12, 2014}, pages = {44--48}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IEEM.2014.7058597}, doi = {10.1109/IEEM.2014.7058597}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/KimMOPCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKCKJCKLSJCA14, author = {Sungdae Choi and Duckju Kim and Sungwook Choi and Byungryul Kim and Sunghyun Jung and Kichang Chun and Namkyeong Kim and Wanseob Lee and Taisik Shin and Hyunjong Jin and Hyunchul Cho and Sunghoon Ahn and Yonghwan Hong and Ingon Yang and Byoungyoung Kim and Pil{-}Seon Yoo and Youngdon Jung and Jinwoo Lee and Jae{-}Hyeon Shin and Taeyun Kim and Kunwoo Park and Jinwoong Kim}, title = {19.2 {A} 93.4mm\({}^{\mbox{2}}\) 64Gb {MLC} NAND-flash memory with 16nm {CMOS} technology}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {328--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757455}, doi = {10.1109/ISSCC.2014.6757455}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKCKJCKLSJCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ChoiBKSPL14, author = {Jin Hyeok Choi and Seung{-}Yeob Baek and Youngjun Kim and Tae{-}Geun Son and Se Hyung Park and Kunwoo Lee}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Cali M. Fidopiastis and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Automatic Detection of Inferior Alveolar Nerve Canal from Cone-Beam Computed Tomography Images for Dental Surgery Planning}, booktitle = {Medicine Meets Virtual Reality 21 - NextMed, {MMVR} 2014, Manhattan Beach, California, USA, February 19-22, 2014}, series = {Studies in Health Technology and Informatics}, volume = {196}, pages = {61--65}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-375-9-61}, doi = {10.3233/978-1-61499-375-9-61}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ChoiBKSPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChoiSPYY14, author = {Taesang Choi and Sejun Song and Hyungbae Park and Sangsik Yoon and Sunhee Yang}, title = {{SUMA:} Software-defined Unified Monitoring Agent for {SDN}}, booktitle = {2014 {IEEE} Network Operations and Management Symposium, {NOMS} 2014, Krakow, Poland, May 5-9, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NOMS.2014.6838355}, doi = {10.1109/NOMS.2014.6838355}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChoiSPYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/ParkHC14, author = {Sunho Park and TaeHyun Hwang and Seungjin Choi}, editor = {Mohammed Javeed Zaki and Zoran Obradovic and Pang{-}Ning Tan and Arindam Banerjee and Chandrika Kamath and Srinivasan Parthasarathy}, title = {Convex Optimization for Binary Classifier Aggregation in Multiclass Problems}, booktitle = {Proceedings of the 2014 {SIAM} International Conference on Data Mining, Philadelphia, Pennsylvania, USA, April 24-26, 2014}, pages = {280--288}, publisher = {{SIAM}}, year = {2014}, url = {https://doi.org/10.1137/1.9781611973440.32}, doi = {10.1137/1.9781611973440.32}, timestamp = {Sun, 06 Oct 2024 21:13:56 +0200}, biburl = {https://dblp.org/rec/conf/sdm/ParkHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HanCCKKC14, author = {Jinyoung Han and Daejin Choi and Byung{-}Gon Chun and Ted Taekyoung Kwon and Hyunchul Kim and Yanghee Choi}, editor = {Sujay Sanghavi and Sanjay Shakkottai and Marc Lelarge and Bianca Schroeder}, title = {Collecting, organizing, and sharing pins in pinterest: interest-driven or social-driven?}, booktitle = {{ACM} {SIGMETRICS} / International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2014, Austin, TX, USA, June 16-20, 2014}, pages = {15--27}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591971.2591996}, doi = {10.1145/2591971.2591996}, timestamp = {Mon, 14 Jun 2021 15:39:36 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HanCCKKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/EomCPC14, author = {Ki{-}Jin Eom and Choong{-}Hyun Choi and Joon{-}Young Paik and Eun{-}Sun Cho}, title = {An Efficient Static Taint-Analysis Detecting Exploitable-Points on {ARM} Binaries}, booktitle = {33rd {IEEE} International Symposium on Reliable Distributed Systems, {SRDS} 2014, Nara, Japan, October 6-9, 2014}, pages = {345--346}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SRDS.2014.66}, doi = {10.1109/SRDS.2014.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/EomCPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPPK14, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Chanhun Park and Jin Ho Kyung}, title = {Bolting with the industrial dual-arm robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {484--485}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057464}, doi = {10.1109/URAI.2014.7057464}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiKLKC14, author = {Jinwoo Choi and Seokyong Kim and Yeongjun Lee and Tae{-}Jin Kim and Hyun{-}Taek Choi}, title = {Relative pose estimation of underwater robot by fusing inertial sensors and optical image}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {204--208}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057532}, doi = {10.1109/URAI.2014.7057532}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ChoiKLKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLAKHLCKP14, author = {Jung{-}Hyun Choi and Sang{-}Mun Lee and Jinung An and Jeong{-}Hwan Kwak and Dae{-}Han Hong and Yoo{-}Jung Lee and Jang{-}Yoon Choi and Youn Sik Kang and Yong Woon Park}, title = {On-task adaptive design of spatula-shaped end effector for human rescue robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {230--231}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057446}, doi = {10.1109/URAI.2014.7057446}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiLAKHLCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/DoCPPK14, author = {Hyunmin Do and Tae{-}Yong Choi and Chanhun Park and Dong Il Park and Jin Ho Kyung}, title = {Design of robotic cell with multi dual-arm robots}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {477--479}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057461}, doi = {10.1109/URAI.2014.7057461}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/DoCPPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimLMC14, author = {Donghoon Kim and Donghwa Lee and Hyun Myung and Hyun{-}Taek Choi}, title = {Experimental tests of vision-based artificial landmark detection using random forests and particle filter}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {631--634}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057483}, doi = {10.1109/URAI.2014.7057483}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimLMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkDKJC14, author = {Chanhun Park and Hyunmin Do and Byung{-}In Kim and GwangJo Jung and Tae{-}Yong Choi}, title = {Study on the vibrational motion of the high speed parallel robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {486--488}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057465}, doi = {10.1109/URAI.2014.7057465}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkDKJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkPPKPC14, author = {Dong Il Park and Chanhun Park and Joohan Park and Doo{-}Hyung Kim and Kyoungtaik Park and Tae{-}Yong Choi}, title = {Joint module with passive compliance for dual arm rescue robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {238--239}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057448}, doi = {10.1109/URAI.2014.7057448}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkPPKPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkHKWCYH14, author = {Yosub Park and Jihaeng Heo and Hyunsoo Kim and Hano Wang and Sooyong Choi and Takki Yu and Daesik Hong}, title = {Effective Small Cell Deployment with Interference and Traffic Consideration}, booktitle = {{IEEE} 80th Vehicular Technology Conference, {VTC} Fall 2014, Vancouver, BC, Canada, September 14-17, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCFall.2014.6965951}, doi = {10.1109/VTCFALL.2014.6965951}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkHKWCYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/SeoCKPK14, author = {Hwajeong Seo and Jongseok Choi and Hyunjin Kim and Taehwan Park and Howon Kim}, title = {Pseudo random number generator and Hash function for embedded microprocessors}, booktitle = {{IEEE} World Forum on Internet of Things, WF-IoT 2014, Seoul, South Korea, March 6-8, 2014}, pages = {37--40}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WF-IoT.2014.6803113}, doi = {10.1109/WF-IOT.2014.6803113}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/SeoCKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/SeoCKPK14a, author = {Hwajeong Seo and Jongseok Choi and Hyunjin Kim and Taehwan Park and Howon Kim}, title = {Short paper: Surveillance system with light sensor}, booktitle = {{IEEE} World Forum on Internet of Things, WF-IoT 2014, Seoul, South Korea, March 6-8, 2014}, pages = {165--166}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WF-IoT.2014.6803141}, doi = {10.1109/WF-IOT.2014.6803141}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/SeoCKPK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ParkHC14, author = {Sunho Park and TaeHyun Hwang and Seungjin Choi}, title = {Convex Optimization for Binary Classifier Aggregation in Multiclass Problems}, journal = {CoRR}, volume = {abs/1401.4143}, year = {2014}, url = {http://arxiv.org/abs/1401.4143}, eprinttype = {arXiv}, eprint = {1401.4143}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ParkHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/KhanKKC13, author = {Akmal Khan and Hyunchul Kim and Taekyoung Kwon and Yanghee Choi}, title = {A comparative study on {IP} prefixes and their origin ases in {BGP} and the {IRR}}, journal = {Comput. Commun. Rev.}, volume = {43}, number = {3}, pages = {16--24}, year = {2013}, url = {https://doi.org/10.1145/2500098.2500101}, doi = {10.1145/2500098.2500101}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/KhanKKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ChonQCHTLCJCLCK13, author = {Tae{-}Soo Chon and Xiaodong Qu and Woon{-}Seok Cho and Hyun Ju Hwang and Hongqu Tang and Yuedan Liu and Jung{-}Hye Choi and Myounghwa Jung and Bok Sil Chung and Hak Young Lee and Young Ryun Chung and Sung{-}Cheol Koh}, title = {Evaluation of stream ecosystem health and species association based on multi-taxa (benthic macroinvertebrates, algae, and microorganisms) patterning with different levels of pollution}, journal = {Ecol. Informatics}, volume = {17}, pages = {58--72}, year = {2013}, url = {https://doi.org/10.1016/j.ecoinf.2013.06.004}, doi = {10.1016/J.ECOINF.2013.06.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ChonQCHTLCJCLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ShonCK13, author = {Taeshik Shon and Hyohyun Choi and Eui{-}Jik Kim}, title = {Joint routing and scheduling in multi-channel capillary machine-to-machine networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2013}, pages = {126}, year = {2013}, url = {https://doi.org/10.1186/1687-1499-2013-126}, doi = {10.1186/1687-1499-2013-126}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ShonCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCYP13, author = {Yong Hwi Kim and Ka Hyung Choi and Tae Sung Yoon and Jin Bae Park}, title = {Target Localization Using Instrumental Variable Method in Sensor Network}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {5}, pages = {1202--1210}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.1202}, doi = {10.1587/TRANSCOM.E96.B.1202}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/ChoiRPY13, author = {Ka Hyung Choi and Won{-}Sang Ra and Jin Bae Park and Tae Sung Yoon}, title = {Compensated robust least-squares estimator for target localisation in sensor network using time difference of arrival measurements}, journal = {{IET} Signal Process.}, volume = {7}, number = {8}, pages = {664--673}, year = {2013}, url = {https://doi.org/10.1049/iet-spr.2012.0374}, doi = {10.1049/IET-SPR.2012.0374}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/ChoiRPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/WeonKCPC13, author = {Sunhee Weon and Gye{-}Young Kim and Jeong{-}Hee Cha and KeeHong Park and Hyung{-}Il Choi}, title = {A mapping method for 3D satellite and sensor images using a road extraction algorithm for occlusion processing of virtual targets}, journal = {Int. J. Comput. Appl. Technol.}, volume = {46}, number = {1}, pages = {45--53}, year = {2013}, url = {https://doi.org/10.1504/IJCAT.2013.051387}, doi = {10.1504/IJCAT.2013.051387}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/WeonKCPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/ChoiKCP13, author = {Hyung{-}Seok Choi and Youngchul Kim and Kwang{-}Hyun Cho and Taesung Park}, title = {Integrative analysis of time course microarray data and {DNA} sequence data via log-linear models for identifying dynamic transcriptional regulatory networks}, journal = {Int. J. Data Min. Bioinform.}, volume = {7}, number = {1}, pages = {38--57}, year = {2013}, url = {https://doi.org/10.1504/IJDMB.2013.050975}, doi = {10.1504/IJDMB.2013.050975}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/ChoiKCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimLKPCK13, author = {Young Jae Kim and Seung Hyun Lee and Tae Yun Kim and Jeongyun Park and Seung Hong Choi and Kwang Gi Kim}, title = {Body Fat Assessment Method Using {CT} Images with Separation Mask Algorithm}, journal = {J. Digit. Imaging}, volume = {26}, number = {2}, pages = {155--162}, year = {2013}, url = {https://doi.org/10.1007/s10278-012-9488-0}, doi = {10.1007/S10278-012-9488-0}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/KimLKPCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMC13, author = {Ikhyun Lee and Muhammad Tariq Mahmood and Tae{-}Sun Choi}, title = {Robust Depth Estimation and Image Fusion Based on Optimal Area Selection}, journal = {Sensors}, volume = {13}, number = {9}, pages = {11636--11652}, year = {2013}, url = {https://doi.org/10.3390/s130911636}, doi = {10.3390/S130911636}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimPLC13, author = {Seong{-}Jun Kim and Kyung Won Park and Kyung{-}Taek Lee and Hyung{-}Jin Choi}, title = {Detection method for digital radio mondiale plus in hybrid broadcasting mode}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {59}, number = {1}, pages = {9--15}, year = {2013}, url = {https://doi.org/10.1109/TCE.2013.6490235}, doi = {10.1109/TCE.2013.6490235}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimPLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LeeC13, author = {Ikhyun Lee and Tae{-}Sun Choi}, title = {Accurate Registration Using Adaptive Block Processing for Multispectral Images}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {23}, number = {9}, pages = {1491--1501}, year = {2013}, url = {https://doi.org/10.1109/TCSVT.2013.2248594}, doi = {10.1109/TCSVT.2013.2248594}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LeeC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiNSC13, author = {Hyo Hyun Choi and Su Hyun Nam and Taeshik Shon and Myungwhan Choi}, title = {Information delivery scheme of micro UAVs having limited communication range during tracking the moving target}, journal = {J. Supercomput.}, volume = {66}, number = {2}, pages = {950--972}, year = {2013}, url = {https://doi.org/10.1007/s11227-013-0931-x}, doi = {10.1007/S11227-013-0931-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiNSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimSKDCKSBM13, author = {Young Moon Kim and Jun Seomun and Hyung{-}Ock Kim and Kyung Tae Do and Jung Yun Choi and Kee Sup Kim and Matthias Sauer and Bernd Becker and Subhasish Mitra}, title = {Detection of early-life failures in high-K metal-gate transistors and ultra low-K inter-metal dielectrics}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658544}, doi = {10.1109/CICC.2013.6658544}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KimSKDCKSBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChoYCPH13, author = {Eun{-}Sun Cho and Tae{-}Seob Yoon and Joong{-}Hyun Choi and Joon{-}Young Paik and Sumi Helal}, title = {An Integrated Formal Model for Context-Aware Systems}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/COMPSACW.2013.40}, doi = {10.1109/COMPSACW.2013.40}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/ChoYCPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChoiKOPCJHCPJOA13, author = {Sung Ho Choi and Yi Tae Kim and Min Seok Oh and Younghwan Park and Jeongjin Cho and Youngheup Jang and Hyungjun Han and Jongwon Choi and Howoo Park and Sangil Jung and Hoon Sang Oh and Jung Chak Ahn and Hiroshige Goto and Chi{-}Young Choi and Yonghan Roh}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {A novel pixel design with hybrid type isolation scheme for low dark current in {CMOS} image sensor}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8659}, pages = {86590F}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2003697}, doi = {10.1117/12.2003697}, timestamp = {Wed, 02 Aug 2023 16:01:06 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChoiKOPCJHCPJOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeMSLC13, author = {Ikhyun Lee and Muhammad Tariq Mahmood and Seong{-}O Shim and Sung{-}An Lee and Tae{-}Sun Choi}, title = {Depth estimation based on blur measurement for three dimensional camera}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {262--263}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486887}, doi = {10.1109/ICCE.2013.6486887}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeMSLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MinCEC13, author = {Jae{-}Won Min and Young{-}Hyun Choi and Jung{-}Ho Eom and Tai{-}Myoung Chung}, editor = {Beniamino Murgante and Sanjay Misra and Maurizio Carlini and Carmelo Maria Torre and Hong{-}Quang Nguyen and David Taniar and Bernady O. Apduhan and Osvaldo Gervasi}, title = {Explicit Untainting to Reduce Shadow Memory Usage and Access Frequency in Taint Analysis}, booktitle = {Computational Science and Its Applications - {ICCSA} 2013 - 13th International Conference, Ho Chi Minh City, Vietnam, June 24-27, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7973}, pages = {175--186}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39646-5\_13}, doi = {10.1007/978-3-642-39646-5\_13}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/MinCEC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkCEC13, author = {Min{-}Woo Park and Young{-}Hyun Choi and Jung{-}Ho Eom and Tai{-}Myoung Chung}, editor = {Beniamino Murgante and Sanjay Misra and Maurizio Carlini and Carmelo Maria Torre and Hong{-}Quang Nguyen and David Taniar and Bernady O. Apduhan and Osvaldo Gervasi}, title = {The Permission-Based Malicious Behaviors Monitoring Model for the Android {OS}}, booktitle = {Computational Science and Its Applications - {ICCSA} 2013 - 13th International Conference, Ho Chi Minh City, Vietnam, June 24-27, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7971}, pages = {382--395}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39637-3\_31}, doi = {10.1007/978-3-642-39637-3\_31}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkCEC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ChoiSYRLKC13, author = {Hyunsik Choi and Jihoon Son and Haemi Yang and Hyoseok Ryu and Byungnam Lim and Soohyung Kim and Yon Dohn Chung}, editor = {Christian S. Jensen and Christopher M. Jermaine and Xiaofang Zhou}, title = {Tajo: {A} distributed data warehouse system on large clusters}, booktitle = {29th {IEEE} International Conference on Data Engineering, {ICDE} 2013, Brisbane, Australia, April 8-12, 2013}, pages = {1320--1323}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICDE.2013.6544934}, doi = {10.1109/ICDE.2013.6544934}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/ChoiSYRLKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/ChoiDKPC13, author = {Tae{-}Yong Choi and Hyunmin Do and Doo{-}Hyung Kim and Kyung Taik Park and Kwangcho Chung}, editor = {Jangmyung Lee and Min Cheol Lee and Honghai Liu and Jee{-}Hwan Ryu}, title = {Mechanical Home Position Setting Method of the Manipulator with Two Encoders}, booktitle = {Intelligent Robotics and Applications - 6th International Conference, {ICIRA} 2013, Busan, South Korea, September 25-28, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8103}, pages = {155--165}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40849-6\_14}, doi = {10.1007/978-3-642-40849-6\_14}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/ChoiDKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/DoPKCK13, author = {Hyunmin Do and Chanhun Park and Byung{-}In Kim and Tae{-}Yong Choi and Jin Ho Kyung}, editor = {Jangmyung Lee and Min Cheol Lee and Honghai Liu and Jee{-}Hwan Ryu}, title = {High-Speed Tracking Control of Parallel Kinematic Machine for Pick-and-Place}, booktitle = {Intelligent Robotics and Applications - 6th International Conference, {ICIRA} 2013, Busan, South Korea, September 25-28, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8103}, pages = {74--83}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40849-6\_7}, doi = {10.1007/978-3-642-40849-6\_7}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icira/DoPKCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ChoiC13, author = {Young{-}Hyun Choi and Tai{-}Myoung Chung}, title = {A Framework for Dynamic Taint Analysis of Binary Executable File}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579429}, doi = {10.1109/ICISA.2013.6579429}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/ChoiC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/YangCKJKL13, author = {Jung Gi Yang and Hyun Bok Choi and Jung Tae Kim and Mi Hee Jang and Un{-}Gu Kang and Young{-}Ho Lee}, title = {A Study of Cardiovascular Disease Prediction Models Using Discriminant Analysis}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579455}, doi = {10.1109/ICISA.2013.6579455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/YangCKJKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/Seo0PKLCK13, author = {Hwajeong Seo and Zhe Liu and Taehwan Park and Hyunjin Kim and Yeoncheol Lee and Jongseok Choi and Howon Kim}, editor = {Hyang{-}Sook Lee and Dong{-}Guk Han}, title = {Parallel Implementations of {LEA}}, booktitle = {Information Security and Cryptology - {ICISC} 2013 - 16th International Conference, Seoul, Korea, November 27-29, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8565}, pages = {256--274}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-12160-4\_16}, doi = {10.1007/978-3-319-12160-4\_16}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisc/Seo0PKLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimSCKK13, author = {Sung{-}Hyun Kim and Joon{-}Ho So and Junho Choi and Tae{-}Hong Kim and Yong{-}Hoon Kim}, title = {Characterization of material emissivity using 4-Stokes W-band radiometer}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {3014--3017}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6723460}, doi = {10.1109/IGARSS.2013.6723460}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KimSCKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imc/KhanKKC13, author = {Akmal Khan and Taekyoung Kwon and Hyunchul Kim and Yanghee Choi}, editor = {Konstantina Papagiannaki and P. Krishna Gummadi and Craig Partridge}, title = {AS-level topology collection through looking glass servers}, booktitle = {Proceedings of the 2013 Internet Measurement Conference, {IMC} 2013, Barcelona, Spain, October 23-25, 2013}, pages = {235--242}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2504730.2504758}, doi = {10.1145/2504730.2504758}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imc/KhanKKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/KongSLSCP13, author = {NamWoong Kong and JaeWook Shin and Seok Young Lee and Ju{-}man Song and Hyun{-}Tack Choi and PooGyeon Park}, title = {Non-periodic-partial-update affine projection algorithm with data-selective updating}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2013, Naha-shi, Japan, November 12-15, 2013}, pages = {152--156}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISPACS.2013.6704538}, doi = {10.1109/ISPACS.2013.6704538}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/KongSLSCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/SongLCP13, author = {Ju{-}man Song and Seok Young Lee and Hyun{-}Taek Choi and PooGyeon Park}, title = {An evolving update interval algorithm for the optimal step-size affine projection algorithm}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2013, Naha-shi, Japan, November 12-15, 2013}, pages = {131--135}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISPACS.2013.6704534}, doi = {10.1109/ISPACS.2013.6704534}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/SongLCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/ChoiDPKK10, author = {Tae{-}Yong Choi and Hyunmin Do and Kyung Taik Park and Doo Hyung Kim and Jin Ho Kyung}, title = {Small sized industrial dual-arm robot with convenient program interface}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695691}, doi = {10.1109/ISR.2013.6695691}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/ChoiDPKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/DoCPK10, author = {Hyunmin Do and Tae{-}Yong Choi and Kyoungtaik Park and Doo Hyeong Kim}, title = {Design of tendon-driven mechanism with 2N configuration}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695663}, doi = {10.1109/ISR.2013.6695663}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/DoCPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongKSLCPR13, author = {Hyeok{-}Ki Hong and Hyun{-}Wook Kang and Barosaim Sung and Choong{-}Hoon Lee and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {An 8.6 {ENOB} 900MS/s time-interleaved 2b/cycle {SAR} {ADC} with a 1b/cycle reconfiguration for resolution enhancement}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {470--471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487819}, doi = {10.1109/ISSCC.2013.6487819}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongKSLCPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongLLHKCCK13, author = {Junyoung Song and Hyun{-}Woo Lee and Soo{-}Bin Lim and Sewook Hwang and Yunsaing Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Chulwoo Kim}, title = {An adaptive-bandwidth {PLL} for avoiding noise interference and DFE-less fast precharge sampling for over 10Gb/s/pin graphics {DRAM} interface}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {312--313}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487749}, doi = {10.1109/ISSCC.2013.6487749}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongLLHKCCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangPCKPRC13, author = {Junhyeok Yang and Sang{-}Hui Park and Jung{-}Min Choi and Hyunsik Kim and Changbyung Park and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {A highly noise-immune touch controller using Filtered-Delta-Integration and a charge-interpolation technique for 10.1-inch capacitive touch-screen panels}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {390--391}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487783}, doi = {10.1109/ISSCC.2013.6487783}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YangPCKPRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SauerKSKDCKMB13, author = {Matthias Sauer and Young Moon Kim and Jun Seomun and Hyung{-}Ock Kim and Kyung Tae Do and Jung Yun Choi and Kee Sup Kim and Subhasish Mitra and Bernd Becker}, title = {Early-life-failure detection using SAT-based {ATPG}}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651925}, doi = {10.1109/TEST.2013.6651925}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SauerKSKDCKMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/ChoiMPEC13, author = {Young{-}Hyun Choi and Jae{-}Won Min and Min{-}Woo Park and Jung{-}Ho Eom and Tai{-}Myoung Chung}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {A Framework of Static Analyzer for Taint Analysis of Binary Executable File}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {185--192}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_20}, doi = {10.1007/978-94-007-6996-0\_20}, timestamp = {Tue, 07 Nov 2023 11:31:29 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/ChoiMPEC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/ParkLKBLC13, author = {Munyoung Park and Jong{-}Kwan Lee and Duhwan Kim and Hoki Baek and Jaesung Lim and Hyungsuk Choi}, editor = {Joe Senftle and Mike Beltrani and Kari Karwedsky}, title = {A Distributed Dynamic Address Assignment Scheme for Tactical Mobile Ad Hoc Networks}, booktitle = {32th {IEEE} Military Communications Conference, {MILCOM} 2013, San Diego, CA, USA, November 18-20, 2013}, pages = {574--579}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/MILCOM.2013.104}, doi = {10.1109/MILCOM.2013.104}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/milcom/ParkLKBLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ChoiKYJKP13, author = {Jin Hyeok Choi and Youngjun Kim and Tae{-}kyoung Yi and Jekyo Jung and Yong Kim and Se Hyung Park}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Optimized Marker for Template-Guided Intraoral Surgery}, booktitle = {Medicine Meets Virtual Reality 20 - NextMed, {MMVR} 2013, San Diego, California, USA, February 20-23, 2013}, series = {Studies in Health Technology and Informatics}, volume = {184}, pages = {85--91}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-209-7-85}, doi = {10.3233/978-1-61499-209-7-85}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ChoiKYJKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/ChoiS13, author = {Hyun{-}Taek Choi and Joono Sur}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Weiliang Xu and Fakhri Karray}, title = {Issues in Software Architectures for Intelligent Underwater Robots}, booktitle = {Robot Intelligence Technology and Applications 2 - Results from the 2nd International Conference on Robot Intelligence Technology and Applications, RiTA 2013, Denver, Colorado, USA, December 18-20, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {274}, pages = {831--839}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05582-4\_73}, doi = {10.1007/978-3-319-05582-4\_73}, timestamp = {Thu, 26 Apr 2018 00:01:26 +0200}, biburl = {https://dblp.org/rec/conf/rita/ChoiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/KimCK13, author = {Tae Gyun Kim and Hyun{-}Taek Choi and Nak Yong Ko}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Weiliang Xu and Fakhri Karray}, title = {Estimation of Vehicle Pose Using Artificial Landmarks for Navigation of an Underwater Vehicle}, booktitle = {Robot Intelligence Technology and Applications 2 - Results from the 2nd International Conference on Robot Intelligence Technology and Applications, RiTA 2013, Denver, Colorado, USA, December 18-20, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {274}, pages = {841--850}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05582-4\_74}, doi = {10.1007/978-3-319-05582-4\_74}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/KimCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/KimCLL13, author = {Seokyong Kim and Hyun{-}Taek Choi and Jung{-}Won Lee and Yeongjun Lee}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Weiliang Xu and Fakhri Karray}, title = {Design, Implementation, and Experiment of an Underwater Robot for Effective Inspection of Underwater Structures}, booktitle = {Robot Intelligence Technology and Applications 2 - Results from the 2nd International Conference on Robot Intelligence Technology and Applications, RiTA 2013, Denver, Colorado, USA, December 18-20, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {274}, pages = {821--829}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05582-4\_72}, doi = {10.1007/978-3-319-05582-4\_72}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/KimCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeKC13, author = {Yeongjun Lee and Tae Gyun Kim and Hyun{-}Taek Choi}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Weiliang Xu and Fakhri Karray}, title = {A New Approach of Detection and Recognition for Artificial Landmarks from Noisy Acoustic Images}, booktitle = {Robot Intelligence Technology and Applications 2 - Results from the 2nd International Conference on Robot Intelligence Technology and Applications, RiTA 2013, Denver, Colorado, USA, December 18-20, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {274}, pages = {851--858}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05582-4\_75}, doi = {10.1007/978-3-319-05582-4\_75}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/LeeKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/ChoiDKPP13, author = {Tae{-}Yong Choi and Hyunmin Do and Jin Ho Kyung and Dongil Park and Chanhun Park}, title = {Control of 6DOF articulated robot with the direct-teaching function using EtherCAT}, booktitle = {{IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2013, Gyeongju, South Korea, August 26-29, 2013}, pages = {338--339}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROMAN.2013.6628485}, doi = {10.1109/ROMAN.2013.6628485}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/ChoiDKPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeeLTKNMKNC13, author = {Choonghan Lee and Dong{-}Hyuk Lee and Nguyen Canh Toan and Ui Kyeom Kim and Dat Tien Nguyen and Hyungpil Moon and Jachoon Koo and Jaedo Nam and Hyouk Ryeol Choi}, title = {Preliminary design and fabrication of smart handheld surgical tool with tactile feedback}, booktitle = {{IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2013, Gyeongju, South Korea, August 26-29, 2013}, pages = {76--80}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROMAN.2013.6628432}, doi = {10.1109/ROMAN.2013.6628432}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/LeeLTKNMKNC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDCK13, author = {Tae{-}Yong Choi and Hyunmin Do and Kwangcho Chung and Doo{-}Hyung Kim}, title = {Development of shoulder complex structure}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {669--670}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677437}, doi = {10.1109/URAI.2013.6677437}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimCK13, author = {Tae Gyun Kim and Hyun{-}Taek Choi and Nak Yong Ko}, title = {Localization of a robot using particle filter with range and bearing information}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {368--370}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677389}, doi = {10.1109/URAI.2013.6677389}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKC13, author = {Yeongjun Lee and Tae Gyun Kim and Hyun{-}Taek Choi}, title = {Preliminary study on a framework for imaging sonar based underwater object recognition}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {517--520}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677326}, doi = {10.1109/URAI.2013.6677326}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKLMC13, author = {Donghwa Lee and Donghoon Kim and Sangwon Lee and Hyun Myung and Hyun{-}Taek Choi}, title = {Experiments on localization of an {AUV} using graph-based {SLAM}}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {526--527}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677329}, doi = {10.1109/URAI.2013.6677329}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeeKLMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkMKJKARMC13, author = {Jaejun Park and Jeong Whan Moon and Hyoungkwon Kim and Seongcheol Jang and Dae Gyeong Kim and Kitak Ahn and SungMoo Ryew and Hyungpil Moon and Hyouk Ryeol Choi}, title = {Development of the untethered in-pipe inspection robot for natural gas pipelines}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {55--58}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677470}, doi = {10.1109/URAI.2013.6677470}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkMKJKARMC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkPDCK13, author = {Dong Il Park and Chanhun Park and Hyunmin Do and Tae{-}Yong Choi and Jin Ho Kyung}, title = {Design and analysis of dual arm robot using dynamic simulation}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {681--682}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677452}, doi = {10.1109/URAI.2013.6677452}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkPDCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ahswn/HanKCCSS12, author = {Kyusuk Han and Kwangjo Kim and Wook Choi and Hyo Hyun Choi and Jung{-}Taek Seo and Taeshik Shon}, title = {Efficient Authenticated Key Agreement Protocols for Dynamic Wireless Sensor Networks}, journal = {Ad Hoc Sens. Wirel. Networks}, volume = {14}, number = {3-4}, pages = {251--269}, year = {2012}, url = {http://www.oldcitypublishing.com/journals/ahswn-home/ahswn-issue-contents/ahswn-volume-14-number-3-4-2012/ahswn-14-3-4-p-251-269/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ahswn/HanKCCSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/annals/ChoiO12, author = {Hyungsub Choi and Takushi Otani}, title = {Failure to Launch: Tarui Yasuo, the Quadrupole Transistor, and the Meanings of the {IC} in Postwar Japan}, journal = {{IEEE} Ann. Hist. Comput.}, volume = {34}, number = {1}, pages = {48--59}, year = {2012}, url = {https://doi.org/10.1109/MAHC.2011.86}, doi = {10.1109/MAHC.2011.86}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/annals/ChoiO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LeeSC12, author = {Ikhyun Lee and Doo{-}Chun Seo and Tae{-}Sun Choi}, title = {Entropy-Based Block Processing for Satellite Image Registration}, journal = {Entropy}, volume = {14}, number = {12}, pages = {2397--2407}, year = {2012}, url = {https://doi.org/10.3390/e14122397}, doi = {10.3390/E14122397}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LeeSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/ChoiPDCPK12, author = {Tae{-}Yong Choi and Chanhun Park and Hyunmin Do and Kwangcho Chung and Dong Il Park and Jin Ho Kyung}, title = {Post-processing of Direct Teaching Trajectory in Industrial Robots}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {12}, number = {3}, pages = {256--262}, year = {2012}, url = {https://doi.org/10.5391/IJFIS.2012.12.3.256}, doi = {10.5391/IJFIS.2012.12.3.256}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/ChoiPDCPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/LeeCCKHL12, author = {Minuk Lee and Su{-}gil Cho and Jong{-}Su Choi and Hyung{-}Woo Kim and Sup Hong and Tae Hee Lee}, title = {Metamodel-Based Multidisciplinary Design Optimization of a Deep-Sea Manganese Nodules Test Miner}, journal = {J. Appl. Math.}, volume = {2012}, pages = {326954:1--326954:18}, year = {2012}, url = {https://doi.org/10.1155/2012/326954}, doi = {10.1155/2012/326954}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/LeeCCKHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/JungLKCKPCCJ12, author = {Eunkyoung Jung and Nam{-}Kyung Lee and Sang{-}Kee Kang and Seung{-}Hoon Choi and Daejin Kim and Kisoo Park and Kihang Choi and Yun{-}Jaie Choi and Dong Hyun Jung}, title = {Identification of tissue-specific targeting peptide}, journal = {J. Comput. Aided Mol. Des.}, volume = {26}, number = {11}, pages = {1267--1275}, year = {2012}, url = {https://doi.org/10.1007/s10822-012-9614-6}, doi = {10.1007/S10822-012-9614-6}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/JungLKCKPCCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12, author = {Hyun{-}Woo Lee and Hoon Choi and Beom{-}Ju Shin and Kyung{-}Hoon Kim and Kyung Whan Kim and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Jae{-}Hwan Kim and Eun Young Park and Jong{-}Sam Kim and Jong{-}Hwan Kim and Jin{-}Hee Cho and Nam Gyu Rye and Jun Hyun Chun and Yunsaing Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS} Latency Controller for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1436--1447}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2191027}, doi = {10.1109/JSSC.2012.2191027}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKCSPKKCC12, author = {Hyun{-}Woo Lee and Ki{-}Han Kim and Young{-}Kyoung Choi and Ju{-}Hwan Sohn and Nak{-}Kyu Park and Kwan{-}Weon Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.6 {V} 1.4 Gbp/s/pin Consumer {DRAM} With Self-Dynamic Voltage Scaling Technique in 44 nm {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {131--140}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164710}, doi = {10.1109/JSSC.2011.2164710}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKCSPKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NatarajanCBSCSTB12, author = {Vishwanath Natarajan and Hyun Woo Choi and Aritra Banerjee and Shreyas Sen and Abhijit Chatterjee and Ganesh Srinivasan and Friedrich Taenzler and Soumendu Bhattacharya}, title = {Low Cost {EVM} Testing of Wireless {RF} SoC Front-Ends Using Multitones}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {7}, pages = {1088--1101}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2012.2187652}, doi = {10.1109/TCAD.2012.2187652}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NatarajanCBSCSTB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimPLC12, author = {Seong{-}Jun Kim and Kyung Won Park and Kyung{-}Taek Lee and Hyung{-}Jin Choi}, title = {Digital tuner implementation using {FM} tuner for {DRM} plus receivers}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {2}, pages = {311--317}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6227428}, doi = {10.1109/TCE.2012.6227428}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimPLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ChoiLNC12, author = {Sung{-}Tai Choi and Tae{-}Hyung Lim and Jong{-}Seon No and Habong Chung}, title = {On the Cross-Correlation of a p-Ary m-Sequence of Period p\({}^{\mbox{2m}}\)-1 and Its Decimated Sequences by (p\({}^{\mbox{m}}\)+1)\({}^{\mbox{2}}\)/2(p+1)}, journal = {{IEEE} Trans. Inf. Theory}, volume = {58}, number = {3}, pages = {1873--1879}, year = {2012}, url = {https://doi.org/10.1109/TIT.2011.2177573}, doi = {10.1109/TIT.2011.2177573}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/ChoiLNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ChoiLEE12, author = {Jae Sung Choi and Hyun Lee and Daniel W. Engels and Ramez Elmasri}, title = {Passive {UHF} RFID-Based Localization Using Detection of Tag Interference on Smart Shelf}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {42}, number = {2}, pages = {268--275}, year = {2012}, url = {https://doi.org/10.1109/TSMCC.2011.2119312}, doi = {10.1109/TSMCC.2011.2119312}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ChoiLEE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChoiKYP12, author = {Ka Hyung Choi and Yong Hwi Kim and Tae Sung Yoon and Jin Bae Park}, title = {Robust least squares algorithm based position and heading estimator by using range difference measurement and heading sensor}, booktitle = {Proceedings of the 51th {IEEE} Conference on Decision and Control, {CDC} 2012, December 10-13, 2012, Maui, HI, {USA}}, pages = {1996--2001}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CDC.2012.6425914}, doi = {10.1109/CDC.2012.6425914}, timestamp = {Fri, 04 Mar 2022 13:28:47 +0100}, biburl = {https://dblp.org/rec/conf/cdc/ChoiKYP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiKLYK12, author = {Joon Yul Choi and Sung Kean Kim and Wan Hyung Lee and Tae Keun Yoo and Deok Won Kim}, title = {A survival prediction model of rats in hemorrhagic shock using the random forest classifier}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5570--5573}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347256}, doi = {10.1109/EMBC.2012.6347256}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChoiKLYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/KimKHKC12, author = {Sung Il Kim and Jong{-}Kook Kim and Hyoung Uk Ha and Tae Ho Kim and Kyu Hyun Choi}, editor = {Yang Xiang and Ivan Stojmenovic and Bernady O. Apduhan and Guojun Wang and Koji Nakano and Albert Y. Zomaya}, title = {Efficient Task Scheduling for Hard Real-Time Tasks in Asymmetric Multicore Processors}, booktitle = {Algorithms and Architectures for Parallel Processing - 12th International Conference, {ICA3PP} 2012, Fukuoka, Japan, September 4-7, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7440}, pages = {187--196}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33065-0\_20}, doi = {10.1007/978-3-642-33065-0\_20}, timestamp = {Fri, 31 Jul 2020 08:38:55 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/KimKHKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimPLC12, author = {Seong{-}Jun Kim and Kyung Won Park and Kyung{-}Taek Lee and Hyung{-}Jin Choi}, title = {Digital tuner implementation using {FM} tuner for {DRM} plus receivers}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {178--179}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6161817}, doi = {10.1109/ICCE.2012.6161817}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimPLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeC12, author = {Ikhyun Lee and Tae{-}Sun Choi}, title = {Selective area based depth estimation for three dimensional camera}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {156--157}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6161786}, doi = {10.1109/ICCE.2012.6161786}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/KimOC12, author = {HyunGon Kim and Tae Hwan Oh and Young B. Choi}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak and YouSik Hong}, title = {Nomadic Device Based {CRL} Acquisition Method for Vehicular Networks}, booktitle = {Convergence and Hybrid Information Technology - 6th International Conference, {ICHIT} 2012, Daejeon, Korea, August 23-25, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {310}, pages = {86--93}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32692-9\_12}, doi = {10.1007/978-3-642-32692-9\_12}, timestamp = {Thu, 28 Dec 2017 16:02:42 +0100}, biburl = {https://dblp.org/rec/conf/ichit/KimOC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LeeCSL12, author = {Dong{-}Bok Lee and Ick{-}hyun Choi and Byung Cheol Song and Tae Hwan Lee}, editor = {Jian Zhang and Dan Schonfeld and David Dagan Feng}, title = {ROI-Based Video Stabilization Algorithm for Hand-Held Cameras}, booktitle = {2012 {IEEE} International Conference on Multimedia and Expo Workshops, Melbourne, Australia, July 9-13, 2012}, pages = {314--318}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICMEW.2012.60}, doi = {10.1109/ICMEW.2012.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LeeCSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKKKLTMKNC12, author = {Hyung Seok Lee and Hyeok Yong Kwon and Dae Gyeong Kim and Ui Kyum Kim and Nguyen Ngoc Linh and Nguyen Canh Toan and Hyungpil Moon and Jachoon Koo and Jea{-}do Nam and Hyouk Ryeol Choi}, title = {{SMD} pluggable tactile display driven by soft actuator}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2012, 14-18 May, 2012, St. Paul, Minnesota, {USA}}, pages = {2731--2736}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICRA.2012.6224786}, doi = {10.1109/ICRA.2012.6224786}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKKKLTMKNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiSBOK12, author = {Dong{-}Geol Choi and Inwook Shim and Yunsu Bok and Tae Hyun Oh and In{-}So Kweon}, title = {Autonomous homing based on laser-camera fusion system}, booktitle = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, pages = {2512--2518}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IROS.2012.6386080}, doi = {10.1109/IROS.2012.6386080}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChoiSBOK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/KimCLNC12, author = {Ji{-}Youp Kim and Sung{-}Tai Choi and Tae{-}Hyung Lim and Jong{-}Seon No and Habong Chung}, title = {On the cross-correlation of ternary m-sequences of period 3\({}^{\mbox{4k+2}}\) - 1 with decimation 3\({}^{\mbox{4k+2}}\) - 3\({}^{\mbox{2k+1}}\) +2/4 + 3\({}^{\mbox{2k+1}}\)}, booktitle = {Proceedings of the 2012 {IEEE} International Symposium on Information Theory, {ISIT} 2012, Cambridge, MA, USA, July 1-6, 2012}, pages = {1014--1018}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIT.2012.6282562}, doi = {10.1109/ISIT.2012.6282562}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/KimCLNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KooOKKSLKKLOLLLLJJCKHKCK12, author = {Kibong Koo and Sunghwa Ok and Yonggu Kang and Seungbong Kim and Choungki Song and Hyeyoung Lee and Hyungsoo Kim and Yongmi Kim and Jeonghun Lee and Seunghan Oak and Yosep Lee and Jungyu Lee and Joongho Lee and Hyungyu Lee and Jaemin Jang and Jongho Jung and Byeongchan Choi and Yong{-}Ju Kim and Youngdo Hur and Yunsaing Kim and Byong{-}Tae Chung and Yongtak Kim}, title = {A 1.2V 38nm 2.4Gb/s/pin 2Gb {DDR4} {SDRAM} with bank group and {\texttimes}4 half-page architecture}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {40--41}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176869}, doi = {10.1109/ISSCC.2012.6176869}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KooOKKSLKKLOLLLLJJCKHKCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSKKKKCPCK12, author = {Hyun{-}Woo Lee and Soo{-}Bin Lim and Junyoung Song and Jabeom Koo and Dae{-}Han Kwon and Jong{-}Ho Kang and Yunsaing Kim and Young{-}Jung Choi and Kunwoo Park and Byong{-}Tae Chung and Chulwoo Kim}, title = {A 283.2{\(\mu\)}W 800Mb/s/pin DLL-based data self-aligner for Through-Silicon Via {(TSV)} interface}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {48--50}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176873}, doi = {10.1109/ISSCC.2012.6176873}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSKKKKCPCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/KimHCKKC12, author = {Seungbae Kim and Jinyoung Han and Taejoong Chung and Hyunchul Kim and Ted Taekyoung Kwon and Yanghee Choi}, editor = {Robert Bestak and Lukas Kencl and Li Erran Li and Joerg Widmer and Hao Yin}, title = {Content Publishing and Downloading Practice in BitTorrent}, booktitle = {{NETWORKING} 2012 - 11th International {IFIP} {TC} 6 Networking Conference, Prague, Czech Republic, May 21-25, 2012, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7290}, pages = {97--110}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-30054-7\_8}, doi = {10.1007/978-3-642-30054-7\_8}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/networking/KimHCKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/KimCHCHLH12, author = {Taehyun Kim and Yeongrak Choi and Seunghee Han and Jae Yoon Chung and Jonghwan Hyun and Jian Li and James Won{-}Ki Hong}, editor = {Filip De Turck and Luciano Paschoal Gaspary and Deep Medhi}, title = {Monitoring and detecting abnormal behavior in mobile cloud infrastructure}, booktitle = {2012 {IEEE} Network Operations and Management Symposium, {NOMS} 2012, Maui, HI, USA, April 16-20, 2012}, pages = {1303--1310}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NOMS.2012.6212067}, doi = {10.1109/NOMS.2012.6212067}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/KimCHCHLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/ChoiDPPLK12, author = {Tae{-}Yong Choi and Hyunmin Do and Chanhun Park and Dongil Park and Seunghwi Lee and Jin Ho Kyung}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Xu}, title = {Software Platform for the Industrial Dual-Arm Robot}, booktitle = {Robot Intelligence Technology and Applications 2012 - An Edition of the Presented Papers from the 1st International Conference on Robot Intelligence Technology and Applications, RiTA 2012, Gwangju, Korea, December 16-18, 2012}, series = {Advances in Intelligent Systems and Computing}, volume = {208}, pages = {911--920}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37374-9\_88}, doi = {10.1007/978-3-642-37374-9\_88}, timestamp = {Tue, 13 Apr 2021 15:13:02 +0200}, biburl = {https://dblp.org/rec/conf/rita/ChoiDPPLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/KangKYHJCJKLK12, author = {Jeonghoon Kang and Jaechul Kim and Du{-}Hwan Yeo and Jongmin Hyun and Kooklae Jo and Taejoon Choi and Pil{-}Mhan Jung and Hangyeol Kim and Su Chang Lee and Sukun Kim}, editor = {M. Rasit Eskicioglu and Andrew Campbell and Koen Langendoen}, title = {Modular approach in sensor board design}, booktitle = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys '12, Toronto, ON, Canada, November 6-9, 2012}, pages = {365--366}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2426656.2426711}, doi = {10.1145/2426656.2426711}, timestamp = {Fri, 10 Dec 2021 17:15:01 +0100}, biburl = {https://dblp.org/rec/conf/sensys/KangKYHJCJKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/KangKYHJCJKLK12a, author = {Jeonghoon Kang and Jaechul Kim and Du{-}Hwan Yeo and Jongmin Hyun and Pil{-}Mhan Jung and Taejoon Choi and Kooklae Jo and Hangyeol Kim and Su Chang Lee and Sukun Kim}, editor = {M. Rasit Eskicioglu and Andrew Campbell and Koen Langendoen}, title = {{PEAKSAVE:} energy monitoring service}, booktitle = {The 10th {ACM} Conference on Embedded Network Sensor Systems, SenSys '12, Toronto, ON, Canada, November 6-9, 2012}, pages = {367--368}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2426656.2426712}, doi = {10.1145/2426656.2426712}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/KangKYHJCJKLK12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HanKCKKC12, author = {Jinyoung Han and Seungbae Kim and Taejoong Chung and Ted Taekyoung Kwon and Hyunchul Kim and Yanghee Choi}, editor = {Peter G. Harrison and Martin F. Arlitt and Giuliano Casale}, title = {Bundling practice in BitTorrent: what, how, and why}, booktitle = {{ACM} {SIGMETRICS/PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} '12, London, United Kingdom, June 11-15, 2012}, pages = {77--88}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2254756.2254768}, doi = {10.1145/2254756.2254768}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HanKCKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/KimCKKK12, author = {Ji{-}Yeon Kim and Dal{-}Nim Choi and Hyung{-}Jong Kim and Jin Myoung Kim and Won{-}Tae Kim}, editor = {Gabriel A. Wainer and Pieter J. Mosterman}, title = {Abstracted {CPS} model: a model for interworking between physical system and simulator for {CPS} simulation {(WIP)}}, booktitle = {2012 Spring Simulation Multiconference, SpringSim '12, Orlando, FL, USA, March 26-29, 2012, Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - {DEVS} Integrative M{\&}S Symposium}, pages = {4}, publisher = {{SCS/ACM}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2346620}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/springsim/KimCKKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinSJKYCKPYSCS12, author = {Seung{-}Hwan Shin and Dong{-}Kyo Shim and Jaeyong Jeong and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and Tae{-}Young Kim and Hyun Wook Park and Hyun{-}Jun Yoon and Youngsun Song and Yoon{-}Hee Choi and Sang{-}Won Shim and Yang{-}Lo Ahn and Ki{-}Tae Park and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance {TLC} {NAND} flash memory}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {132--133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243825}, doi = {10.1109/VLSIC.2012.6243825}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinSJKYCKPYSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ahswn/ShonC11, author = {Taeshik Shon and Hyohyun Choi}, title = {An Approach for Efficient Hybrid Reliable Transport in {IEEE} 802.15.4-based Wireless Sensor Network}, journal = {Ad Hoc Sens. Wirel. Networks}, volume = {11}, number = {3-4}, pages = {199--217}, year = {2011}, url = {http://www.oldcitypublishing.com/journals/ahswn-home/ahswn-issue-contents/ahswn-volume-11-number-3-4-2011/ahswn-11-3-4-p-199-217/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ahswn/ShonC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/LeeKBLKKC11, author = {Suchul Lee and Hyunchul Kim and Dhiman Barman and Sungryoul Lee and Chong{-}kwon Kim and Ted Taekyoung Kwon and Yanghee Choi}, title = {NeTraMark: a network traffic classification benchmark}, journal = {Comput. Commun. Rev.}, volume = {41}, number = {1}, pages = {22--30}, year = {2011}, url = {https://doi.org/10.1145/1925861.1925865}, doi = {10.1145/1925861.1925865}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/LeeKBLKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ParkLCH11, author = {Heewan Park and Hyun{-}il Lim and Seokwoo Choi and Taisook Han}, title = {Detecting Common Modules in Java Packages Based on Static Object Trace Birthmark}, journal = {Comput. J.}, volume = {54}, number = {1}, pages = {108--124}, year = {2011}, url = {https://doi.org/10.1093/comjnl/bxp095}, doi = {10.1093/COMJNL/BXP095}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ParkLCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoCSPACL11, author = {Hyung{-}Weon Cho and Jong{-}Moon Chung and Myunghwan Seo and Jongho Park and Jihyoung Ahn and Bumkwi Choi and Tae{-}Jin Lee}, title = {Dynamic Multipoint Relay Candidate Selection for Broadcast Data Aggregation in Mobile Ad-Hoc Networks}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {9}, pages = {2629--2633}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.2629}, doi = {10.1587/TRANSCOM.E94.B.2629}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoCSPACL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/MaraniAC11, author = {Giacomo Marani and Gianluca Antonelli and Hyun{-}Taek Choi}, title = {Editorial: special issue on marine robotics}, journal = {Intell. Serv. Robotics}, volume = {4}, number = {4}, pages = {219--220}, year = {2011}, url = {https://doi.org/10.1007/s11370-011-0099-2}, doi = {10.1007/S11370-011-0099-2}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/MaraniAC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/OguchiCLOY11, author = {Masato Oguchi and Hyung{-}Jin Choi and Tae{-}Jin Lee and Seong Keun Oh and Kwan{-}Ho You}, title = {Guest Editorial}, journal = {J. Networks}, volume = {6}, number = {3}, pages = {341--342}, year = {2011}, url = {https://doi.org/10.4304/jnw.6.3.341-342}, doi = {10.4304/JNW.6.3.341-342}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/OguchiCLOY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11, author = {Changhyuk Lee and Sok{-}Kyu Lee and Sunghoon Ahn and Jinhaeng Lee and Wonsun Park and Yongdeok Cho and Chaekyu Jang and Chulwoo Yang and Sanghwa Chung and In{-}Suk Yun and Byoungin Joo and Byoungkwan Jeong and Jeeyul Kim and Jeakwan Kwon and Hyunjong Jin and Yujong Noh and Jooyun Ha and Moonsoo Sung and Daeil Choi and Sanghwan Kim and Jeawon Choi and Taeho Jeon and Heejoung Park and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 32-Gb {MLC} {NAND} Flash Memory With Vth Endurance Enhancing Schemes in 32 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {97--106}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2084450}, doi = {10.1109/JSSC.2010.2084450}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7 Gb/s/pin 1 Gbit {GDDR5} {SDRAM} With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {107--118}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2085991}, doi = {10.1109/JSSC.2010.2085991}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonLCCKKS11, author = {Hyun Ho Moon and Jong{-}Joo Lee and Sang{-}Yule Choi and Jae Sang Cha and Jang Mook Kang and Jong Tae Kim and Myong{-}Chul Shin}, title = {A Study Using a Monte Carlo Method of the Optimal Configuration of a Distribution Network in Terms of Power Loss Sensing}, journal = {Sensors}, volume = {11}, number = {8}, pages = {7823--7834}, year = {2011}, url = {https://doi.org/10.3390/s110807823}, doi = {10.3390/S110807823}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MoonLCCKKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/HyunS0YH11, author = {Myounghae Hyun and Won Taek Song and Jinho Choi and Seungjae Yoo and Jeongseok Ha}, title = {Code design for type-I wiretap channel thanks}, booktitle = {49th Annual Allerton Conference on Communication, Control, and Computing, Allerton 2011, Allerton Park {\&} Retreat Center, Monticello, IL, USA, 28-30 September, 2011}, pages = {1424--1429}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/Allerton.2011.6120335}, doi = {10.1109/ALLERTON.2011.6120335}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/allerton/HyunS0YH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiYHLYSAPGLPJ11, author = {Pilsoon Choi and Yongseok Yi and Kilsik Ha and Yun{-}Gu Lee and Chil{-}Youl Hacky Yang and Seyoung Shin and Byung{-}Ho Ahn and Sung{-}Chul Park and Hyun{-}Tae Gil and Scott Seongwook Lee and Joongsuk Park and Jaemoon Jo}, title = {An 18ms-latency wireless high quality codec SoC for full {HD} streaming}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {9--12}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123651}, doi = {10.1109/ASSCC.2011.6123651}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChoiYHLYSAPGLPJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LeeYCNCTHMNK11, author = {Hyungro Lee and Youngik Yang and Heejoon Chae and Seungyoon Nam and Donghoon Choi and Patanachai Tangchaisin and Chathura Herath and Suresh Marru and Kenneth P. Nephew and Sun Kim}, editor = {Fang{-}Xiang Wu and Mohammed Javeed Zaki and Shinichi Morishita and Yi Pan and Stephen Wong and Anastasia Christianson and Xiaohua Hu}, title = {BioVLAB-MMIA: {A} Reconfigurable Cloud Computing Environment for microRNA and mRNA Integrated Analysis}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2011, Atlanta, GA, USA, November 12-15, , 2011}, pages = {494--499}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBM.2011.93}, doi = {10.1109/BIBM.2011.93}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/LeeYCNCTHMNK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LeeCJKCC11, author = {Seon Yeol Lee and Hyun Jae Choi and Yeon Ji Jeong and Tae Ho Kim and Heung Seok Chae and Carl K. Chang}, title = {An Improved Technique of Fitness Evaluation for Evolutionary Testing}, booktitle = {Workshop Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} Workshops 2011, Munich, Germany, 18-22 July 2011}, pages = {190--193}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/COMPSACW.2011.41}, doi = {10.1109/COMPSACW.2011.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LeeCJKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/LeeLLKC11, author = {Ahr{-}Hyun Lee and Seok{-}Han Lee and Jae{-}Young Lee and Tae{-}eun Kim and Jong{-}Soo Choi}, editor = {Kuinam J. Kim and Seong{-}Jin Ahn}, title = {Real-Time Camera Tracking Using Planar Object Detection}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2011, Suwon, Korea, December 14-16, 2011}, series = {Lecture Notes in Electrical Engineering}, volume = {120}, pages = {373--378}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-94-007-2911-7\_34}, doi = {10.1007/978-94-007-2911-7\_34}, timestamp = {Tue, 01 Feb 2022 08:29:46 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/LeeLLKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiPKK11, author = {Daein Choi and Jong{-}tak Park and Su{-}Yeon Kim and Hyun{-}Kook Kahng}, editor = {Cheeha Kim and Yongtae Shin}, title = {IPv6 global connectivity for 6LoWPAN using short {ID}}, booktitle = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, pages = {384--387}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICOIN.2011.5723133}, doi = {10.1109/ICOIN.2011.5723133}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ChoiPKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HanC11, author = {Kyung Min Han and Hyun{-}Taek Choi}, title = {Shape context based object recognition and tracking in structured underwater environment}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {617--620}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049204}, doi = {10.1109/IGARSS.2011.6049204}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/HanC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ChoiLNC11, author = {Sung{-}Tai Choi and Tae{-}Hyung Lim and Jong{-}Seon No and Habong Chung}, editor = {Alexander Kuleshov and Vladimir M. Blinovsky and Anthony Ephremides}, title = {Evaluation of cross-correlation values of p-ary m-sequence and its decimated sequence by p\({}^{\mbox{n}}\)+1 over p+1 + p\({}^{\mbox{n}}\)-1 over 2}, booktitle = {2011 {IEEE} International Symposium on Information Theory Proceedings, {ISIT} 2011, St. Petersburg, Russia, July 31 - August 5, 2011}, pages = {683--687}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISIT.2011.6034218}, doi = {10.1109/ISIT.2011.6034218}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/ChoiLNC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {498--500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746414}, doi = {10.1109/ISSCC.2011.5746414}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {500--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746415}, doi = {10.1109/ISSCC.2011.5746415}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKCSPKKCC11, author = {Hyun{-}Woo Lee and Ki{-}Han Kim and Young{-}Kyoung Choi and Ju{-}Hwan Shon and Nak{-}Kyu Park and Kwan{-}Weon Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.6V 1.4Gb/s/pin consumer {DRAM} with self-dynamic voltage-scaling technique in 44nm {CMOS} technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {502--504}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746416}, doi = {10.1109/ISSCC.2011.5746416}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKCSPKKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pam/WangKKKC11, author = {Xiaofei Wang and Seungbae Kim and Ted Taekyoung Kwon and Hyunchul Kim and Yanghee Choi}, editor = {Neil Spring and George F. Riley}, title = {Unveiling the BitTorrent Performance in Mobile WiMAX Networks}, booktitle = {Passive and Active Measurement - 12th International Conference, {PAM} 2011, Atlanta, GA, USA, March 20-22, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6579}, pages = {184--193}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19260-9\_19}, doi = {10.1007/978-3-642-19260-9\_19}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pam/WangKKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/KangHKJJCK11, author = {Jeonghoon Kang and Jongmin Hyun and Dongik Kim and Kooklae Jo and Pil Mhan Jeong and Taejoon Choi and Sukun Kim}, editor = {Jie Liu and Philip Alexander Levis and Kay R{\"{o}}mer}, title = {Tracking vehicles in a container terminal}, booktitle = {Proceedings of the 9th International Conference on Embedded Networked Sensor Systems, SenSys 2011, Seattle, WA, USA, November 1-4, 2011}, pages = {425--426}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2070942.2071021}, doi = {10.1145/2070942.2071021}, timestamp = {Mon, 30 Aug 2021 16:43:16 +0200}, biburl = {https://dblp.org/rec/conf/sensys/KangHKJJCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/HanCKKKC11, author = {Jinyoung Han and Taejoong Chung and Seungbae Kim and Ted Taekyoung Kwon and Hyunchul Kim and Yanghee Choi}, editor = {Arif Merchant and Kimberly Keeton and Dan Rubenstein}, title = {How prevalent is content bundling in BitTorrent}, booktitle = {{SIGMETRICS} 2011, Proceedings of the 2011 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, San Jose, CA, USA, 07-11 June 2011 (Co-located with {FCRC} 2011)}, pages = {127--128}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1993744.1993789}, doi = {10.1145/1993744.1993789}, timestamp = {Sun, 01 Aug 2021 14:20:40 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/HanCKKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPC11, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Kwangcho Chung}, title = {Teaching data extraction for the direct teaching in industrial robot}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {830--831}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6146032}, doi = {10.1109/URAI.2011.6146032}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLLL11, author = {Jae Sung Choi and Hyun Lee and Sang{-}Cheol Lee and Dong Ha Lee}, title = {Reducing localization ambiguity of immobile passive {UHF} {RFID} tagged physical objects}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {35--40}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145928}, doi = {10.1109/URAI.2011.6145928}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiLLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkKDC11, author = {Chanhun Park and Jin Ho Kyung and Hyunmin Do and Tae{-}Yong Choi}, title = {Development of direct teaching robot system}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {730--732}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145921}, doi = {10.1109/URAI.2011.6145921}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkKDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimCPPPPCYY10, author = {Changsik Kim and Jiwon Choi and Hyunjin Park and Yunsun Park and Jungsun Park and Taesung Park and Kwanghui Cho and Young Yang and Sukjoon Yoon}, title = {Global analysis of microarray data reveals intrinsic properties in gene expression and tissue selectivity}, journal = {Bioinform.}, volume = {26}, number = {14}, pages = {1723--1730}, year = {2010}, url = {https://doi.org/10.1093/bioinformatics/btq279}, doi = {10.1093/BIOINFORMATICS/BTQ279}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimCPPPPCYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPPLYCK10, author = {Soo{-}Han Choi and Young Hee Park and Chul{-}Hong Park and Sang Hoon Lee and Moon{-}Hyun Yoo and Jun Dong Cho and Gyu Tae Kim}, title = {Suppression of Edge Effects Based on Analytic Model for Leakage Current Reduction of Sub-40 nm {DRAM} Device}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {658--661}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.658}, doi = {10.1587/TRANSELE.E93.C.658}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPPLYCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhHGC10, author = {Taeyoul Oh and Seungheon Hyeon and Hyunsung Go and Seungwon Choi}, title = {A User Selection Algorithm Providing Maximum Sum-Rate for Multiuser {MIMO} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {5}, pages = {1302--1305}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.1302}, doi = {10.1587/TRANSCOM.E93.B.1302}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/OhHGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/KimLCJKC10, author = {Hyunchul Kim and Dongman Lee and Kilnam Chon and Beakcheol Jang and Taekyoung Kwon and Yanghee Choi}, title = {Performance impact of large file transfer on web proxy caching: {A} case study in a high bandwidth campus network environment}, journal = {J. Commun. Networks}, volume = {12}, number = {1}, pages = {52--66}, year = {2010}, url = {https://doi.org/10.1109/JCN.2010.6388434}, doi = {10.1109/JCN.2010.6388434}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/KimLCJKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/KimJYORCP10, author = {Yong{-}Kyun Kim and Yun{-}Hyun Jo and Jin{-}Won Yun and Taeck{-}Keun Oh and Hee{-}Chang Roh and Sang{-}Bang Choi and Hyo{-}Dal Park}, title = {En-Route Trajectory calculation using Flight Plan Information for Effective Air Traffic Management}, journal = {J. Inf. Process. Syst.}, volume = {6}, number = {3}, pages = {375--384}, year = {2010}, url = {https://doi.org/10.3745/JIPS.2010.6.3.375}, doi = {10.3745/JIPS.2010.6.3.375}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/KimJYORCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/ChoiC10, author = {Young{-}Hyun Choi and Tai{-}Myoung Chung}, title = {Using Correspondent Information for Route Optimization Scheme on Proxy Mobile IPv6}, journal = {J. Networks}, volume = {5}, number = {8}, pages = {984--989}, year = {2010}, url = {https://doi.org/10.4304/jnw.5.8.984-989}, doi = {10.4304/JNW.5.8.984-989}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/ChoiC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangCHPLKACAKLJKJKCCYCKJ10, author = {Uksong Kang and Hoeju Chung and Seongmoo Heo and Dukha Park and Hoon Lee and Jin Ho Kim and Soon{-}Hong Ahn and Sooho Cha and Jaesung Ahn and Dukmin Kwon and Jaewook Lee and Han{-}Sung Joo and Woo{-}Seop Kim and Dong Hyeon Jang and Nam{-}Seog Kim and Jung{-}Hwan Choi and Tae{-}Gyeong Chung and Jei{-}Hwan Yoo and Joo{-}Sun Choi and Changhyun Kim and Young{-}Hyun Jun}, title = {8 Gb 3-D {DDR3} {DRAM} Using Through-Silicon-Via Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {1}, pages = {111--119}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2034408}, doi = {10.1109/JSSC.2009.2034408}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangCHPLKACAKLJKJKCCYCKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LeeBBHCKJR10, author = {Sang{-}Jin Lee and Yong{-}Hyun Baek and Tae{-}Jong Baek and Min Han and Seok Gyu Choi and Dong{-}Sik Ko and Byoung{-}Chul Jeon and Jin Koo Rhee}, title = {Design and fabrication of 94 GHz {MMIC} single balanced resistive mixer without {IF} balun}, journal = {Microelectron. J.}, volume = {41}, number = {10}, pages = {627--631}, year = {2010}, url = {https://doi.org/10.1016/j.mejo.2010.06.012}, doi = {10.1016/J.MEJO.2010.06.012}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LeeBBHCKJR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/KimCCK10, author = {Hyun Wook Kim and Dooseop Choi and Hyuk Choi and Taejeong Kim}, title = {Selective correlation detector for additive spread spectrum watermarking in transform domain}, journal = {Signal Process.}, volume = {90}, number = {8}, pages = {2605--2610}, year = {2010}, url = {https://doi.org/10.1016/j.sigpro.2010.02.007}, doi = {10.1016/J.SIGPRO.2010.02.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/KimCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimCK10, author = {Tae{-}Hyun Kim and Kang{-}Sun Choi and Sung{-}Jea Ko}, title = {Backlight power reduction using efficient image compensation for mobile devices}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {3}, pages = {1972--1978}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5606354}, doi = {10.1109/TCE.2010.5606354}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/WangCJMK10, author = {Tae{-}Shick Wang and Kang{-}Sun Choi and Hyung{-}Seok Jang and Aldo W. Morales and Sung{-}Jea Ko}, title = {Enhanced frame rate up-conversion method for {UHD} video}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {1108--1114}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5506046}, doi = {10.1109/TCE.2010.5506046}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/WangCJMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimLKCCS10, author = {Hyung{-}Ock Kim and Bong Hyun Lee and Jong{-}Tae Kim and Jung Yun Choi and Kyu{-}Myung Choi and Youngsoo Shin}, title = {Supply Switching With Ground Collapse for Low-Leakage Register Files in 65-nm {CMOS}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {3}, pages = {505--509}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2012429}, doi = {10.1109/TVLSI.2009.2012429}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimLKCCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/SohnKAKAKHLKHPE10, author = {Eun Jeong Sohn and Mi Jin Kim and Eun Hee Ahn and Soon Won Kwon and Jae Jin An and Dae Won Kim and Hyun Sook Hwang and Soon Sung Lim and Duk{-}Soo Kim and Kyu Hyung Han and Jinseu Park and Won Sik Eum and Soo Young Choi}, title = {Enhances the transdcution efficiency of Tat-catalase protein attenuate neuronal cell damage}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2010, Hong Kong, December 18, 2010}, pages = {808}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BIBMW.2010.5703917}, doi = {10.1109/BIBMW.2010.5703917}, timestamp = {Wed, 24 Nov 2021 15:06:06 +0100}, biburl = {https://dblp.org/rec/conf/bibm/SohnKAKAKHLKHPE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/KhanKKC10, author = {Akmal Khan and Hyunchul Kim and Ted Taekyoung Kwon and Yanghee Choi}, editor = {Dongman Lee and Serge Fdida and Craig Partridge and Yanghee Choi and Timur Friedman and Sue B. Moon and Dipankar Raychaudhuri}, title = {Public internet routing registries {(IRR)} evolution}, booktitle = {Conference on the Future of the Internet 2010, {CFI} '10, Seoul, Republic of Korea, June 16-18, 2010}, pages = {55--59}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1853079.1853095}, doi = {10.1145/1853079.1853095}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/KhanKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/KoCLKKC10, author = {Diko Ko and Kideok Cho and Munyoung Lee and Hyunchul Kim and Ted Taekyoung Kwon and Yanghee Choi}, editor = {Dongman Lee and Serge Fdida and Craig Partridge and Yanghee Choi and Timur Friedman and Sue B. Moon and Dipankar Raychaudhuri}, title = {Decentralized and autonomous content overlay networking {(DACON)} with WiFi access points}, booktitle = {Conference on the Future of the Internet 2010, {CFI} '10, Seoul, Republic of Korea, June 16-18, 2010}, pages = {18--24}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1853079.1853086}, doi = {10.1145/1853079.1853086}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/KoCLKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/LimKJKKC10, author = {Yeon{-}sup Lim and Hyunchul Kim and Jiwoong Jeong and Chong{-}kwon Kim and Ted Taekyoung Kwon and Yanghee Choi}, editor = {Jaudelice Cavalcante de Oliveira and Maximilian Ott and Timothy G. Griffin and Muriel M{\'{e}}dard}, title = {Internet traffic classification demystified: on the sources of the discriminative power}, booktitle = {Proceedings of the 2010 {ACM} Conference on Emerging Networking Experiments and Technology, CoNEXT 2010, Philadelphia, PA, USA, November 30 - December 03, 2010}, pages = {9}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1921168.1921180}, doi = {10.1145/1921168.1921180}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/conext/LimKJKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ChoiLYKCPJTLC10, author = {Mi{-}Hyun Choi and Su{-}Jeong Lee and Jae{-}Woong Yang and Ji{-}Hye Kim and Jin{-}Seung Choi and Jang{-}Yeon Park and Jae{-}Hoon Jun and Gye Rae Tack and Dae{-}Woon Lim and Soon{-}Cheol Chung}, editor = {Yanchun Zhang and Alfredo Cuzzocrea and Jianhua Ma and Kyo{-}Il Chung and Tughrul Arslan and Xiaofeng Song}, title = {Changes in Cognitive Performance Due to Three Types of Emotional Tension}, booktitle = {Database Theory and Application, Bio-Science and Bio-Technology - International Conferences, {DTA} and {BSBT} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {118}, pages = {258--264}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17622-7\_26}, doi = {10.1007/978-3-642-17622-7\_26}, timestamp = {Wed, 21 Aug 2024 07:35:25 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ChoiLYKCPJTLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/ChoiYKKJS10, author = {Insang Choi and SeungHyun Yoon and KiWon Kim and TaeHyun Kwon and YouHyeon Jeong and HoYoung Song}, editor = {Hamid R. Arabnia and Victor A. Clincy and Joan Lu and Andy Marsh and Ashu M. G. Solo}, title = {{PCE} based Network Management Architecture for {GMPLS} and non-GMPLS based Transport Network}, booktitle = {Proceedings of the 2010 International Conference on Internet Computing, {ICOMP} 2010, July 12-15, 2010, Las Vegas Nevada, {USA}}, pages = {158--164}, publisher = {{CSREA} Press}, year = {2010}, timestamp = {Wed, 08 Dec 2010 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic/ChoiYKKJS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimCLLCC10, author = {Chang Seup Kim and Bum{-}Gon Choi and Ju Yong Lee and Tae{-}Jin Lee and Hyunseung Choo and Min Young Chung}, editor = {David Taniar and Osvaldo Gervasi and Beniamino Murgante and Eric Pardede and Bernady O. Apduhan}, title = {Femtocell Deployment to Minimize Performance Degradation in Mobile WiMAX Systems}, booktitle = {Computational Science and Its Applications - {ICCSA} 2010, International Conference, Fukuoka, Japan, March 23-26, 2010, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6018}, pages = {85--95}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12179-1\_9}, doi = {10.1007/978-3-642-12179-1\_9}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/KimCLLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimSCSC10, author = {Taeyoung Kim and Minhan Son and Wook Choi and MoonBae Song and Hyunseung Choo}, editor = {David Taniar and Osvaldo Gervasi and Beniamino Murgante and Eric Pardede and Bernady O. Apduhan}, title = {Low-Cost Two-Hop Anchor Node-Based Distributed Range-Free Localization in Wireless Sensor Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2010, International Conference, Fukuoka, Japan, March 23-26, 2010, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6018}, pages = {129--141}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12179-1\_13}, doi = {10.1007/978-3-642-12179-1\_13}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimSCSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangKCCHKBOKKKCRP10, author = {Jae{-}Hong Chang and Huijung Kim and Jeong{-}Hyun Choi and Hangun Chung and Jungwook Heo and Sanghoon Kang and Jong{-}Dae Bae and Heetae Oh and Youngwoon Kim and Taek{-}Won Kwon and Ryan Kim and Wooseung Choo and Dojun Rhee and Byeong{-}Ha Park}, title = {A multistandard multiband mobile {TV} {RF} SoC in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {462--463}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433847}, doi = {10.1109/ISSCC.2010.5433847}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChangKCCHKBOKKKCRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLALPCJYCYJJKKJNHSCKCJYK10, author = {Changhyuk Lee and Sok{-}Kyu Lee and Sunghoon Ahn and Jinhaeng Lee and Wonsun Park and Yongdeok Cho and Chaekyu Jang and Chulwoo Yang and Sanghwa Chung and In{-}Suk Yun and Byoungin Joo and Byoungkwan Jeong and Jeeyul Kim and Jeakwan Kwon and Hyunjong Jin and Yujong Noh and Jooyun Ha and Moonsoo Sung and Daeil Choi and Sanghwan Kim and Jeawon Choi and Taeho Jeon and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 32Gb {MLC} NAND-flash memory with Vth-endurance-enhancing schemes in 32nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {446--447}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433932}, doi = {10.1109/ISSCC.2010.5433932}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLALPCJYCYJJKKJNHSCKCJYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7Gb/s/pin {GDDR5} {SDRAM} with 2.5ns bank-to-bank active time and no bank-group restriction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {434--435}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433889}, doi = {10.1109/ISSCC.2010.5433889}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mvhi/MoonRCK10, author = {Byung{-}Hyun Moon and Jeong{-}Tak Ryu and Young{-}Suk Choi and Sung{-}Rak Kim}, editor = {Honghua Tan}, title = {Development of Simple Korean Character Inputting Embedded System for the Severely Disabled}, booktitle = {2010 International Conference on Machine Vision and Human-machine Interface, {MVHI} 2010, Kaifeng, China, April 24-25, 2010}, pages = {729--732}, publisher = {{IEEE} Computer Soceity}, year = {2010}, url = {https://doi.org/10.1109/MVHI.2010.70}, doi = {10.1109/MVHI.2010.70}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mvhi/MoonRCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/p2p/KimWKKC10, author = {Seungbae Kim and Xiaofei Wang and Hyunchul Kim and Taekyoung Kwon and Yanghee Choi}, title = {Measurement and Analysis of BitTorrent Traffic in Mobile WiMAX Networks}, booktitle = {{IEEE} Tenth International Conference on Peer-to-Peer Computing, {P2P} 2010, Delft, The Netherlands, 25-27 August 2010}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/P2P.2010.5569997}, doi = {10.1109/P2P.2010.5569997}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/p2p/KimWKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimKJCJ10, author = {Jaehwan Kim and Jae{-}Hean Kim and Sang{-}Hyun Joo and Byoung{-}Tae Choi and Il{-}Kwon Jeong}, editor = {Marie{-}Paule Cani and Alla Sheffer}, title = {Volume matting: object tracking based matting tool}, booktitle = {{ACM} {SIGGRAPH} {ASIA} 2010 Posters, Seoul, Republic of Korea, December 15 - 18, 2010}, pages = {42:1}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1900354.1900401}, doi = {10.1145/1900354.1900401}, timestamp = {Tue, 06 Nov 2018 16:58:04 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimKJCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimPKKKLCL10, author = {Sang{-}Ho Kim and Hyung{-}Min Park and Tae{-}Ho Kim and Jin{-}Ku Kang and Jin{-}Ho Kim and Jae{-}Youl Lee and Yoon{-}Kyung Choi and Myunghee Lee}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A 1.7Gbps DLL-based Clock Data Recovery in 0.35{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {84--87}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784641}, doi = {10.1109/SOCC.2010.5784641}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimPKKKLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/OhLCPLC10, author = {Tae Hwan Oh and Shinyoung Lim and Young B. Choi and Kwang{-}Roh Park and Heejo Lee and Hyunsang Choi}, editor = {Tai{-}Hoon Kim and Adrian Stoica and Ruay{-}Shiung Chang}, title = {State of the Art of Network Security Perspectives in Cloud Computing}, booktitle = {Security-Enriched Urban Computing and Smart Grid - First International Conference, SUComS 2010, Daejeon, Korea, September 15-17, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {78}, pages = {629--637}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16444-6\_79}, doi = {10.1007/978-3-642-16444-6\_79}, timestamp = {Wed, 13 Mar 2019 09:09:04 +0100}, biburl = {https://dblp.org/rec/conf/sucoms/OhLCPLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1008-2574, author = {Jinyoung Han and Taejoong Chung and Seungbae Kim and Hyunchul Kim and Ted Taekyoung Kwon and Yanghee Choi}, title = {An Empirical Study on Content Bundling in BitTorrent Swarming System}, journal = {CoRR}, volume = {abs/1008.2574}, year = {2010}, url = {http://arxiv.org/abs/1008.2574}, eprinttype = {arXiv}, eprint = {1008.2574}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1008-2574.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/KimCC09, author = {Shinuk Kim and Minsoo Choi and Kwang{-}Hyun Cho}, title = {Identifying the target mRNAs of microRNAs in colorectal cancer}, journal = {Comput. Biol. Chem.}, volume = {33}, number = {1}, pages = {94--99}, year = {2009}, url = {https://doi.org/10.1016/j.compbiolchem.2008.07.016}, doi = {10.1016/J.COMPBIOLCHEM.2008.07.016}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/KimCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChoiL09, author = {Hyun{-}Seon Choi and Dong{-}Ho Lee}, title = {Scheduling algorithms to minimize the number of tardy jobs in two-stage hybrid flow shops}, journal = {Comput. Ind. Eng.}, volume = {56}, number = {1}, pages = {113--120}, year = {2009}, url = {https://doi.org/10.1016/j.cie.2008.04.005}, doi = {10.1016/J.CIE.2008.04.005}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/ChoiL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiLKSHAHK09, author = {Chul{-}Ho Choi and Jae{-}Hyung Lee and Tae{-}Hoon Kim and Oe{-}Yong Shim and Yoon{-}Geum Hwang and Kwang{-}Seon Ahn and Pan{-}Bong Ha and Young{-}Hee Kim}, title = {Design of Asynchronous Multi-Bit {OTP} Memory}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {1}, pages = {173--177}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.173}, doi = {10.1587/TRANSELE.E92.C.173}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiLKSHAHK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPT09, author = {Byung{-}Tae Choi and Hyung Dal Park and Heung{-}Sik Tae}, title = {Effects of Address-on-Time on Wall Voltage Variation during Address-Period in {AC} Plasma Display Panel}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {11}, pages = {1347--1352}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.1347}, doi = {10.1587/TRANSELE.E92.C.1347}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCKL09, author = {Do Hyun Kim and Kyoung Ho Choi and Kyeong Tae Kim and Ki{-}Joune Li}, title = {A Feasibility Study on Crash Avoidance at Four-Way Stop-Sign-Controlled Intersections Using Wireless Sensor Networks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {92-D}, number = {5}, pages = {1190--1193}, year = {2009}, url = {https://doi.org/10.1587/transinf.E92.D.1190}, doi = {10.1587/TRANSINF.E92.D.1190}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YuKCCPO09, author = {Hyunkyu Yu and Taeyoung Kim and Jaeweon Cho and Hokyu Choi and Dong Seek Park and Seong{-}Jun Oh}, title = {{MAP} Transmission Strategies in {IEEE} 802.16m}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {5}, pages = {1818--1821}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.1818}, doi = {10.1587/TRANSCOM.E92.B.1818}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YuKCCPO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/LimPCH09, author = {Hyun{-}il Lim and Heewan Park and Seokwoo Choi and Taisook Han}, title = {A method for detecting the theft of Java programs through analysis of the control flow information}, journal = {Inf. Softw. Technol.}, volume = {51}, number = {9}, pages = {1338--1350}, year = {2009}, url = {https://doi.org/10.1016/j.infsof.2009.04.011}, doi = {10.1016/J.INFSOF.2009.04.011}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/LimPCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/Choi00CC09, author = {Sam Yeoul Choi and Sangho Lee and Tae{-}Jin Lee and Min Young Chung and Hyunseung Choo}, title = {Double-Phase Polling Algorithm Based on Partitioned {ONU} Subgroups for High Utilization in EPONs}, journal = {{JOCN}}, volume = {1}, number = {5}, pages = {484--497}, year = {2009}, url = {https://doi.org/10.1364/jocn.1.000484}, doi = {10.1364/JOCN.1.000484}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/Choi00CC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ChoiPLH09, author = {Seokwoo Choi and Heewan Park and Hyun{-}il Lim and Taisook Han}, title = {A static {API} birthmark for Windows binary executables}, journal = {J. Syst. Softw.}, volume = {82}, number = {5}, pages = {862--873}, year = {2009}, url = {https://doi.org/10.1016/j.jss.2008.11.848}, doi = {10.1016/J.JSS.2008.11.848}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/ChoiPLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/KimHC09, author = {Tae Hyun Kim and Jae Yeol Ha and Sunghyun Choi}, title = {Improving Spectral and Temporal Efficiency of Collocated {IEEE} 802.15.4 LR-WPANs}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {8}, number = {12}, pages = {1596--1609}, year = {2009}, url = {https://doi.org/10.1109/TMC.2009.85}, doi = {10.1109/TMC.2009.85}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/KimHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ChoiLCC09, author = {Sam Yeoul Choi and Tae{-}Jin Lee and Min Young Chung and Hyunseung Choo}, editor = {Choong Seon Hong and Toshio Tonouchi and Yan Ma and Chi{-}Shih Chao}, title = {Adaptive Coverage Adjustment for Femtocell Management in a Residential Scenario}, booktitle = {Management Enabling the Future Internet for Changing Business and New Computing Services, 12th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2009, Jeju, South Korea, September 23-25, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5787}, pages = {221--230}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04492-2\_23}, doi = {10.1007/978-3-642-04492-2\_23}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/ChoiLCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LimPCH09, author = {Hyun{-}il Lim and Heewan Park and Seokwoo Choi and Taisook Han}, editor = {Sheikh Iqbal Ahamed and Elisa Bertino and Carl K. Chang and Vladimir Getov and Lin Liu and Ming Hua and Rajesh Subramanyan}, title = {A Static Java Birthmark Based on Control Flow Edges}, booktitle = {Proceedings of the 33rd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 1}, pages = {413--420}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/COMPSAC.2009.62}, doi = {10.1109/COMPSAC.2009.62}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LimPCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HanCWCK09, author = {Jong{-}Woo Han and Kang{-}Sun Choi and Tae{-}Shick Wang and Sung{-}Hyun Cheon and Sung{-}Jea Ko}, title = {Wavelet based seam carving for content-aware image resizing}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {345--348}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414414}, doi = {10.1109/ICIP.2009.5414414}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/HanCWCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/KooCS09, author = {Bonhyun Koo and Hyohyun Choi and Taeshik Shon}, editor = {Mounir Mokhtari and Ismail Khalil and J{\'{e}}r{\'{e}}my Bauchet and Daqing Zhang and Chris D. Nugent}, title = {{WIVA:} {WSN} Monitoring Framework Based on 3D Visualization and Augmented Reality in Mobile Devices}, booktitle = {Ambient Assistive Health and Wellness Management in the Heart of the City, 7th International Conference on Smart Homes and Health Telematics, {ICOST} 2009, Tours, France, July 1-3, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5597}, pages = {158--165}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02868-7\_20}, doi = {10.1007/978-3-642-02868-7\_20}, timestamp = {Tue, 21 Mar 2023 21:01:52 +0100}, biburl = {https://dblp.org/rec/conf/icost/KooCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09, author = {Uksong Kang and Hoeju Chung and Seongmoo Heo and Soon{-}Hong Ahn and Hoon Lee and Sooho Cha and Jaesung Ahn and Dukmin Kwon and Jin Ho Kim and Jaewook Lee and Han{-}Sung Joo and Woo{-}Seop Kim and Hyun{-}Kyung Kim and Eun{-}Mi Lee and So{-}Ra Kim and Keum{-}Hee Ma and Dong{-}Hyun Jang and Nam{-}Seog Kim and Man{-}Sik Choi and Sae{-}Jang Oh and Jung{-}Bae Lee and Tae{-}Kyung Jung and Jei{-}Hwan Yoo and Changhyun Kim}, title = {8Gb 3D {DDR3} {DRAM} using through-silicon-via technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {130--131}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977342}, doi = {10.1109/ISSCC.2009.4977342}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/ChoiHCKKC09, author = {Jaeyoung Choi and Jinyoung Han and Eunsang Cho and Hyunchul Kim and Taekyoung Kwon and Yanghee Choi}, title = {Performance comparison of content-oriented networking alternatives: {A} tree versus a distributed hash table}, booktitle = {The 34th Annual {IEEE} Conference on Local Computer Networks, {LCN} 2009, 20-23 October 2009, Zurich, Switzerland, Proceedings}, pages = {253--256}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/LCN.2009.5355081}, doi = {10.1109/LCN.2009.5355081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/ChoiHCKKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msv/ChoiLCLTC09, author = {Mi{-}Hyun Choi and Soo{-}Jeong Lee and Jin{-}Seung Choi and Bongsoo Lee and Gye Rae Tack and Soon{-}Cheol Chung}, editor = {Hamid R. Arabnia and Leonidas Deligiannidis}, title = {An fMRI Study on Cerebella Activation and Lateralization During Verbal and Visuospatial Tasks}, booktitle = {Proceedings of the 2009 International Conference on Modeling, Simulation {\&} Visualization Methods, {MSV} 2009, July 13-16, 2009, Las Vegas Nevada, {USA}}, pages = {67--69}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Wed, 04 Nov 2009 12:18:13 +0100}, biburl = {https://dblp.org/rec/conf/msv/ChoiLCLTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/ChoiC09, author = {Young{-}Hyun Choi and Tai{-}Myoung Chung}, editor = {Jinhwa Kim and Dursun Delen and Jinsoo Park and Franz Ko and Chen Rui and Jong Hyung Lee and Jian Wang and Gang Kou}, title = {Enhanced Light Weight Route Optimization in Proxy Mobile IPv6}, booktitle = {International Conference on Networked Computing and Advanced Information Management, {NCM} 2009, Fifth International Joint Conference on INC, {IMS} and {IDC:} {INC} 2009: International Conference on Networked Computing, {IMS} 2009: International Conference on Advanced Information Management and Service, {IDC} 2009: International Conference on Digital Content, Multimedia Technology and its Applications, Seoul, Korea, August 25-27, 2009}, pages = {501--504}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NCM.2009.227}, doi = {10.1109/NCM.2009.227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/ChoiC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/WangKVKCCJ09, author = {Xiaofei Wang and Hyunchul Kim and Athanasios V. Vasilakos and Taekyoung Kwon and Yanghee Choi and Sunghyun Choi and Hanyoung Jang}, title = {Measurement and analysis of World of Warcraft in mobile WiMAX networks}, booktitle = {8th Annual Workshop on Network and Systems Support for Games, NetGames 2009, Paris, France, 23-24 November, 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/NETGAMES.2009.5446236}, doi = {10.1109/NETGAMES.2009.5446236}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/WangKVKCCJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/0001C09, author = {Tae Hyun Kim and Sunghyun Choi}, title = {Interference mitigation via scheduling for the {MIMO} broadcast channel with limited feedback}, booktitle = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, pages = {2035--2039}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/PIMRC.2009.5450019}, doi = {10.1109/PIMRC.2009.5450019}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/0001C09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tase/KimJKNKCKCP09, author = {Dong{-}Wook Kim and Tae{-}Sung Jung and Dae{-}Won Kim and Seong{-}Hyeuk Nam and Hyuk{-}Ryul Kwon and Sang{-}Haeng Choi and Hyung{-}Dae Koh and Han{-}Suk Choi and Hong{-}Seog Park}, editor = {Wei{-}Ngan Chin and Shengchao Qin}, title = {{G-BLAST:} {BLAST} Manager in an Heterogeneous Distributed Environment}, booktitle = {{TASE} 2009, Third {IEEE} International Symposium on Theoretical Aspects of Software Engineering, 29-31 July 2009, Tianjin, China}, pages = {315--316}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TASE.2009.56}, doi = {10.1109/TASE.2009.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tase/KimJKNKCKCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiCKYHHCS08, author = {Sang{-}Sik Choi and A{-}Ram Choi and Jae{-}Yeon Kim and Jeon{-}Wook Yang and Yong{-}Woo Hwang and Tae{-}Hyun Han and Deok Ho Cho and Kyu{-}Hwan Shim}, title = {Stress Effect Analysis for {PD} {SOI} pMOSFETs with Undoped-Si\({}_{\mbox{0.88}}\)Ge\({}_{\mbox{0.12}}\) Heterostructure Channel}, journal = {{IEICE} Trans. Electron.}, volume = {91-C}, number = {5}, pages = {716--720}, year = {2008}, url = {https://doi.org/10.1093/ietele/e91-c.5.716}, doi = {10.1093/IETELE/E91-C.5.716}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiCKYHHCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiCPSKKCCHS08, author = {A{-}Ram Choi and Sang{-}Sik Choi and Byung{-}Guan Park and Dongwoo Suh and Gyungock Kim and Jin{-}Tae Kim and Jin{-}Soo Choi and Deok Ho Cho and Tae{-}Hyun Han and Kyu{-}Hwan Shim}, title = {Selective Epitaxial Growth of SiGe Layers with High Aspect Ratio Mask of Dielectric Films}, journal = {{IEICE} Trans. Electron.}, volume = {91-C}, number = {5}, pages = {767--771}, year = {2008}, url = {https://doi.org/10.1093/ietele/e91-c.5.767}, doi = {10.1093/IETELE/E91-C.5.767}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiCPSKKCCHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LimPCH08, author = {Hyun{-}il Lim and Heewan Park and Seokwoo Choi and Taisook Han}, title = {Detecting Theft of Java Applications via a Static Birthmark Based on Weighted Stack Patterns}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {91-D}, number = {9}, pages = {2323--2332}, year = {2008}, url = {https://doi.org/10.1093/ietisy/e91-d.9.2323}, doi = {10.1093/IETISY/E91-D.9.2323}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LimPCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCCKCOLKPWPRC08, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Yong{-}Jin Yoon and Kwang{-}Suk Yu and Gi{-}Tae Jeong and Hong{-}Sik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90 nm 1.8 {V} 512 Mb Diode-Switch {PRAM} With 266 MB/s Read Throughput}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {150--162}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908001}, doi = {10.1109/JSSC.2007.908001}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCCKCOLKPWPRC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKKHCLKK08, author = {Ki{-}Tae Park and Myounggon Kang and Doogon Kim and Soonwook Hwang and Byung Yong Choi and Yeong{-}Taek Lee and Changhyun Kim and Kinam Kim}, title = {A Zeroing Cell-to-Cell Interference Page Architecture With Temporary {LSB} Storing and Parallel {MSB} Program Scheme for {MLC} {NAND} Flash Memories}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {4}, pages = {919--928}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.917558}, doi = {10.1109/JSSC.2008.917558}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkKKHCLKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ShaislamovYYSPCHY08, author = {Ulugbek Shaislamov and Jun{-}Mo Yang and Jung Ho Yoo and Hyun{-}Sang Seo and Kyung{-}Jin Park and Chel{-}Jong Choi and Tae{-}Eun Hong and Beelyong Yang}, title = {Two-dimensional dopant profiling in semiconductor devices by electron holography and chemical etching delineation techniques with the same specimen}, journal = {Microelectron. Reliab.}, volume = {48}, number = {10}, pages = {1734--1736}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2008.06.002}, doi = {10.1016/J.MICROREL.2008.06.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ShaislamovYYSPCHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KimCKH08, author = {Tae{-}Hyung Kim and Young{-}Sik Choi and Jong Kim and Sung Je Hong}, title = {Annulling {SYN} Flooding Attacks with Whitelist}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, Workshops Proceedings, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {371--376}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WAINA.2008.218}, doi = {10.1109/WAINA.2008.218}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KimCKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/WangCK08, author = {Hongmoon Wang and Hyun Suk Choi and Jong Tae Kim}, title = {Workload-Based Dynamic Voltage Scaling with the QoS for Streaming Video}, booktitle = {4th {IEEE} International Symposium on Electronic Design, Test and Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DELTA.2008.71}, doi = {10.1109/DELTA.2008.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/WangCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoiIKIMNTS08, author = {Sungdae Choi and Katsuyuki Ikeuchi and Hyunkyung Kim and Kenichi Inagaki and Masami Murakata and Nobuyuki Nishiguchi and Makoto Takamiya and Takayasu Sakurai}, editor = {William Redman{-}White and Anthony J. Walton}, title = {Experimental assessment of logic circuit performance variability with regular fabrics at 90nm technology node}, booktitle = {{ESSCIRC} 2008 - 34th European Solid-State Circuits Conference, Edinburgh, Scotland, UK, 15-19 September 2008}, pages = {50--53}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ESSCIRC.2008.4681789}, doi = {10.1109/ESSCIRC.2008.4681789}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoiIKIMNTS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/HongCKKJKS08, author = {Yul Pyo Hong and Young{-}Jae Choi and Tae Goo Kim and Yunsik Kwak and Ki Hyung Joe and Hyung{-}Il Kang and Seok Il Song}, title = {Energy Efficient Data Centric Storage for Sensor Networks Employing Multilevel Grid Techniques}, booktitle = {The Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 2, Workshops, Hainan Island, China, December 13-15, 2008}, pages = {133--136}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FGCN.2008.66}, doi = {10.1109/FGCN.2008.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/HongCKKJKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimHC08, author = {Tae Hyun Kim and Robert W. Heath Jr. and Sunghyun Choi}, title = {Multiuser {MIMO} Downlink with Limited Feedback Using Transmit-Beam Matching}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2008, Beijing, China, 19-23 May 2008}, pages = {3506--3510}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICC.2008.659}, doi = {10.1109/ICC.2008.659}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/KimHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icps/ChoiPPCPL08, author = {In{-}Bok Choi and So{-}Hyun Park and Wooram Park and Eun{-}Sun Choi and Taekeun Park and Jae{-}Dong Lee}, editor = {Manish Parashar and Mario Lauria and Julie A. McCann and Domenico Cotroneo}, title = {A contents recommendation scheme using user's affection and shopping motive}, booktitle = {Proceedings of the 5th international conference on Pervasive services, {ICPS} '08, Sorrento, Italy, July 6-10, 2008}, pages = {177--180}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1387269.1387300}, doi = {10.1145/1387269.1387300}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icps/ChoiPPCPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icps/ParkCKPY08, author = {So{-}Hyun Park and In{-}Bok Choi and Ye{-}Na Kim and Taekeun Park and Hae{-}Young Yoo}, editor = {Manish Parashar and Mario Lauria and Julie A. McCann and Domenico Cotroneo}, title = {An object identification method for segregated and reproduced contents}, booktitle = {Proceedings of the 5th international conference on Pervasive services, {ICPS} '08, Sorrento, Italy, July 6-10, 2008}, pages = {191--192}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1387269.1387305}, doi = {10.1145/1387269.1387305}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icps/ParkCKPY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KiCLC08, author = {Hyung Joo Ki and Bum{-}Gon Choi and Tae{-}Jin Lee and Min Young Chung}, editor = {Won Kim and Hyung{-}Jin Choi}, title = {Fast backoff counter reduction algorithm to enhance the binary negative-exponential backoff algorithm in heterogeneous condition}, booktitle = {Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2008, Suwon, Korea, January 31 - February 01, 2008}, pages = {448--451}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1352793.1352886}, doi = {10.1145/1352793.1352886}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KiCLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ParkCK08, author = {Jong Kang Park and Hyun Suk Choi and Jong Tae Kim}, editor = {Won Kim and Hyung{-}Jin Choi}, title = {A soft error analysis tool for high-speed digital designs}, booktitle = {Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2008, Suwon, Korea, January 31 - February 01, 2008}, pages = {263--265}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1352793.1352849}, doi = {10.1145/1352793.1352849}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/ParkCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/HalderCPKPP08, author = {Sharly Joana Halder and Tae Young Choi and Jin Hyung Park and Sung Hun Kang and Sin Woo Park and Joon Goo Park}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Ismail Khalil Ibrahim}, title = {Enhanced ranging using adaptive filter of {ZIGBEE} {RSSI} and {LQI} measurement}, booktitle = {iiWAS'2008 - The Tenth International Conference on Information Integration and Web-based Applications Services, 24-26 November 2008, Linz, Austria}, pages = {367--373}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1497308.1497374}, doi = {10.1145/1497308.1497374}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiwas/HalderCPKPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/ChoiKC08, author = {Hyun{-}Ju Choi and Tae{-}Yun Kim and Heung{-}Kook Choi}, editor = {Hamid R. Arabnia}, title = {Digital Image Cytometry for 3D Nuclear Feature Analysis in Volumetric Data}, booktitle = {Proceedings of the 2008 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes}, pages = {807--810}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Fri, 06 Feb 2009 10:48:45 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/ChoiKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsec/ParkCLH08, author = {Heewan Park and Seokwoo Choi and Hyun{-}il Lim and Taisook Han}, editor = {Kanta Matsuura and Eiichiro Fujisaki}, title = {Detecting Java Theft Based on Static {API} Trace Birthmark}, booktitle = {Advances in Information and Computer Security, Third International Workshop on Security, {IWSEC} 2008, Kagawa, Japan, November 25-27, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5312}, pages = {121--135}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89598-5\_8}, doi = {10.1007/978-3-540-89598-5\_8}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iwsec/ParkCLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/ShonC08, author = {Taeshik Shon and Hyohyun Choi}, editor = {Frode Eika Sandnes and Yan Zhang and Chunming Rong and Laurence Tianruo Yang and Jianhua Ma}, title = {Towards the Implementation of Reliable Data Transmission for 802.15.4-Based Wireless Sensor Networks}, booktitle = {Ubiquitous Intelligence and Computing, 5th International Conference, {UIC} 2008, Oslo, Norway, June 23-25, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5061}, pages = {363--372}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69293-5\_29}, doi = {10.1007/978-3-540-69293-5\_29}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/ShonC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YoonIHC08, author = {Taewoong Yoon and Sebin Im and Sunghyun Hwang and Hyungjin Choi}, title = {Pilot Structure for High Data Rate in {OFDM/OQAM-IOTA} System}, booktitle = {Proceedings of the 68th {IEEE} Vehicular Technology Conference, {VTC} Fall 2008, 21-24 September 2008, Calgary, Alberta, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECF.2008.227}, doi = {10.1109/VETECF.2008.227}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/YoonIHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HaKPCK07, author = {Jae Yeol Ha and Tae{-}Hyun Kim and Hong Seong Park and Sunghyun Choi and Wook Hyun Kwon}, title = {An Enhanced {CSMA-CA} Algorithm for {IEEE} 802.15.4 LR-WPANs}, journal = {{IEEE} Commun. Lett.}, volume = {11}, number = {5}, pages = {461--463}, year = {2007}, url = {https://doi.org/10.1109/LCOMM.2007.061891}, doi = {10.1109/LCOMM.2007.061891}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HaKPCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiBP07, author = {GoangSeog Choi and JumHan Bae and HyunSoo Park}, title = {The Front-End {LSI} with a 5-Tap {PRML} for 2 x Reading and Writing of {BD-R/RW/ROM}}, journal = {{IEICE} Trans. Electron.}, volume = {90-C}, number = {4}, pages = {727--730}, year = {2007}, url = {https://doi.org/10.1093/ietele/e90-c.4.727}, doi = {10.1093/IETELE/E90-C.4.727}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiBP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MoonLPLRWKWKCC07, author = {Yeon Kwan Moon and Jyung Hyun Lee and Hee Joon Park and JuGab Lee and Jae Jong Ryu and Sang Hyo Woo and Min{-}Kyu Kim and Chul{-}Ho Won and TaeWan Kim and Jin{-}Ho Cho and Hyun{-}Chul Choi}, title = {Fabrication of the Wireless Systems for Controlling Movements of the Electrical Stimulus Capsule in the Small Intestines}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {2}, pages = {586--593}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-d.2.586}, doi = {10.1093/IETISY/E90-D.2.586}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MoonLPLRWKWKCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangCCLLOCWKPRK07, author = {Sangbeom Kang and Woo Yeong Cho and Beak{-}Hyung Cho and KwangJin Lee and Changsoo Lee and Hyung{-}Rok Oh and Byung{-}Gil Choi and Qi Wang and Hye{-}Jin Kim and Mu{-}Hui Park and Yu{-}Hwan Ro and Suyeon Kim and Choong{-}Duk Ha and Ki{-}Sung Kim and Young{-}Ran Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Gitae Jeong and Hong{-}Sik Jeong and Kinam Kim and YunSueng Shin}, title = {A 0.1-{\(\mathrm{\mu}\)}m 1.8-V 256-Mb Phase-Change Random Access Memory {(PRAM)} With 66-MHz Synchronous Burst-Read Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {1}, pages = {210--218}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.888349}, doi = {10.1109/JSSC.2006.888349}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangCCLLOCWKPRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/LeeCHKMPLKL07, author = {Yong{-}Min Lee and Chang{-}Seok Choi and Seung{-}Gon Hwang and Hyun Dong Kim and Chul Hong Min and Jaehyun Park and Hanho Lee and Tae{-}Seon Kim and Chong Ho Lee}, editor = {Pedro C. Diniz and Eduardo Marques and Koen Bertels and Marcio Merino Fernandes and Jo{\~{a}}o M. P. Cardoso}, title = {Ubiquitous Evolvable Hardware System for Heart Disease Diagnosis Applications}, booktitle = {Reconfigurable Computing: Architectures, Tools and Applications, Third International Workshop, {ARC} 2007, Mangaratiba, Brazil, March 27-29, 2007}, series = {Lecture Notes in Computer Science}, volume = {4419}, pages = {283--292}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71431-6\_26}, doi = {10.1007/978-3-540-71431-6\_26}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/LeeCHKMPLKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asian/ChoiPLH07, author = {Seokwoo Choi and Heewan Park and Hyun{-}il Lim and Taisook Han}, editor = {Iliano Cervesato}, title = {A Static Birthmark of Binary Executables Based on {API} Call Structure}, booktitle = {Advances in Computer Science - {ASIAN} 2007. Computer and Network Security, 12th Asian Computing Science Conference, Doha, Qatar, December 9-11, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4846}, pages = {2--16}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76929-3\_2}, doi = {10.1007/978-3-540-76929-3\_2}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/asian/ChoiPLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bncod/ShinCKMK07, author = {Sung{-}Hyun Shin and Hun{-}Young Choi and Jin{-}Ho Kim and Yang{-}Sae Moon and Sang{-}Wook Kim}, editor = {Richard Cooper and Jessie B. Kennedy}, title = {An Efficient Sheet Partition Technique for Very Large Relational Tables in {OLAP}}, booktitle = {Data Management. Data, Data Everywhere, 24th British National Conference on Databases, {BNCOD} 24, Glasgow, UK, July 3-5, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4587}, pages = {176--179}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73390-4\_19}, doi = {10.1007/978-3-540-73390-4\_19}, timestamp = {Thu, 17 Mar 2022 13:36:22 +0100}, biburl = {https://dblp.org/rec/conf/bncod/ShinCKMK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeePPC07, author = {Inseok Lee and Jae Hee Park and Tae{-}Joo Park and Jae Hyun Choi}, editor = {Marvin J. Dainoff}, title = {A Biomechanical Analysis System to Evaluate Physical Usability of Kimchi Refrigerator}, booktitle = {Ergonomics and Health Aspects of Work with Computers, International Conference, {EHAWC} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4566}, pages = {231--236}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73333-1\_29}, doi = {10.1007/978-3-540-73333-1\_29}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeePPC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ChoiKCL07, author = {Bum{-}Gon Choi and Hyung Joo Ki and Min Young Chung and Tae{-}Jin Lee}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Performance Evaluation of Binary Negative-Exponential Backoff Algorithm in Presence of a Channel Bit Error Rate}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {554--557}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72590-9\_77}, doi = {10.1007/978-3-540-72590-9\_77}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccS/ChoiKCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MoonYC07, author = {Hyun{-}Joo Moon and Jae{-}Woo Yoo and Jongmyung Choi}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {An Effective Detection Method for Clustering Similar {XML} DTDs Using Tag Sequences}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {849--860}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_76}, doi = {10.1007/978-3-540-74477-1\_76}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/MoonYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SeokLHCT07, author = {Bo{-}Hyun Seok and Pill{-}Woo Lee and Eui{-}nam Huh and Ki{-}Moon Choi and Kang Soo Tae}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {An Efficient Information Dissemination for Publish/Subscription System on Grid}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {455--468}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_43}, doi = {10.1007/978-3-540-74477-1\_43}, timestamp = {Sun, 12 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/SeokLHCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/GaponovCKTCRC07, author = {Igor Gaponov and Hyun Cho and Jong{-}Won Kim and Khalis Totorkulov and Seong Choi and Jee{-}Hwan Ryu and Tai{-}Hoon Cho}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {Intelligent Filtering in Telerobotic System}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007. Proceedings}, series = {Communications in Computer and Information Science}, volume = {2}, pages = {313--321}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74282-1\_35}, doi = {10.1007/978-3-540-74282-1\_35}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/GaponovCKTCRC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SuhLHSCP07, author = {Il Hong Suh and Gi Hyun Lim and Wonil Hwang and Hyowon Suh and Jung{-}Hwa Choi and Young{-}Tack Park}, title = {Ontology-based multi-layered robot knowledge framework {(OMRKF)} for robot intelligence}, booktitle = {2007 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, {USA}}, pages = {429--436}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IROS.2007.4399082}, doi = {10.1109/IROS.2007.4399082}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/SuhLHSCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/YiHCKSK07, author = {Jae{-}An Yi and Shin Heu and Byuongkyu Choi and Hyun{-}Jun Kim and Hanseok Sue and Jung{-}Guk Kim}, title = {TMO-NanoQ+: {A} Real-Time Kernel for Sensor Networks Supporting Time-Triggered and Message-Triggered Tasks}, booktitle = {Tenth {IEEE} International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2007), 7-9 May 2007, Santorini Island, Greece}, pages = {228--235}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISORC.2007.58}, doi = {10.1109/ISORC.2007.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/YiHCKSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Kwang{-}Suk Yu and Gitae Jeong and Hongsik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90nm 1.8V 512Mb Diode-Switch {PRAM} with 266MB/s Read Throughput}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {472--616}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373499}, doi = {10.1109/ISSCC.2007.373499}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vsmm/ChoiCHY07, author = {Jin Choi and Yong{-}il Cho and Taewoo Han and Hyun Seung Yang}, editor = {Theodor G. Wyeld and Sarah Kenderdine and Michael J. Docherty}, title = {A View-Based Real-Time Human Action Recognition System as an Interface for Human Computer Interaction}, booktitle = {Virtual Systems and Multimedia, 13th International Conference, {VSMM} 2007, Brisbane, Australia, September 23-26, 2007, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4820}, pages = {112--120}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-78566-8\_10}, doi = {10.1007/978-3-540-78566-8\_10}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/vsmm/ChoiCHY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoiSCL07, author = {Wook Choi and Taeshik Shon and Hyohyun Choi and Y. Lee}, title = {Designing a Novel Unlicensed Nomadic Access Relay Station in {IEEE} 802.16-Based Wireless Access Networks}, booktitle = {Proceedings of the 65th {IEEE} Vehicular Technology Conference, {VTC} Spring 2007, 22-25 April 2007, Dublin, Ireland}, pages = {2961--2965}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VETECS.2007.607}, doi = {10.1109/VETECS.2007.607}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChoiSCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/0001C06, author = {Tae Hyun Kim and Sunghyun Choi}, title = {Priority-based delay mitigation for event-monitoring {IEEE} 802.15.4 LR-WPANs}, journal = {{IEEE} Commun. Lett.}, volume = {10}, number = {3}, pages = {213--215}, year = {2006}, url = {https://doi.org/10.1109/LCOMM.2006.1603388}, doi = {10.1109/LCOMM.2006.1603388}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/0001C06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCCKCKKKKBJJK06, author = {Hyung{-}Rok Oh and Beak{-}Hyung Cho and Woo Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hye{-}Jin Kim and Ki{-}Sung Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Gitae Jeong and Hong{-}Sik Jeong and Kinam Kim}, title = {Enhanced write performance of a 64-mb phase-change random access memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {122--126}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859016}, doi = {10.1109/JSSC.2005.859016}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCCKCKKKKBJJK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCLKLCJCSPSC06, author = {Churoo Park and Hoeju Chung and Yun{-}Sang Lee and Jaekwan Kim and JaeJun Lee and Moo Sung Chae and Dae{-}Hee Jung and Sung{-}Ho Choi and Seung{-}young Seo and Taek{-}Seon Park and Jun{-}Ho Shin and Jin{-}Hyung Cho and Seunghoon Lee and Ki{-}Whan Song and Kyu{-}Hyoun Kim and Jung{-}Bae Lee and Changhyun Kim and Soo{-}In Cho}, title = {A 512-mb {DDR3} {SDRAM} prototype with C\({}_{\mbox{IO}}\) minimization and self-calibration techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {831--838}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870808}, doi = {10.1109/JSSC.2006.870808}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCLKLCJCSPSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/psychnology/JungLLCCSSOLL06, author = {Ko{-}Eun Jung and Hyun{-}Jhin Lee and Young{-}Sik Lee and Seong{-}Shim Cheong and Min{-}Young Choi and Dong{-}Soo Suh and Dongsoo Suh and Shezeen Oah and Sookhee Lee and Jang{-}Han Lee}, title = {The Application of a Sensory Integration Treatment Based on Virtual Reality-Tangible Interaction for Children with Autistic Spectrum Disorder}, journal = {PsychNology J.}, volume = {4}, number = {2}, pages = {145--159}, year = {2006}, url = {http://www.psychnology.org/File/PNJ4(2)/PSYCHNOLOGY\_JOURNAL\_4\_2\_JUNG.pdf}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/psychnology/JungLLCCSSOLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KwonKCL06, author = {Hojoong Kwon and Tae Hyun Kim and Sunghyun Choi and Byeong Gi Lee}, title = {A Cross-Layer Strategy for Energy-Efficient Reliable Delivery in Wireless Sensor Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {5}, number = {12}, pages = {3689--3699}, year = {2006}, url = {https://doi.org/10.1109/TWC.2006.256992}, doi = {10.1109/TWC.2006.256992}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/KwonKCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aplas/ChoiLKD06, author = {Tae{-}Hyoung Choi and Oukseh Lee and Hyunha Kim and Kyung{-}Goo Doh}, editor = {Naoki Kobayashi}, title = {A Practical String Analyzer by the Widening Approach}, booktitle = {Programming Languages and Systems, 4th Asian Symposium, {APLAS} 2006, Sydney, Australia, November 8-10, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4279}, pages = {374--388}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11924661\_23}, doi = {10.1007/11924661\_23}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/aplas/ChoiLKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeKYYCCKE06, author = {Ikhwan Lee and Hyunsuk Kim and Peng Yang and Sungjoo Yoo and Eui{-}Young Chung and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo}, editor = {Fumiyasu Hirose}, title = {PowerV\emph{i}P: Soc power estimation framework at transaction level}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {551--558}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594743}, doi = {10.1109/ASPDAC.2006.1594743}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeKYYCCKE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/ChoiK06, author = {Hyongeun Choi and Tae{-}Hyung Kim}, editor = {Abdul Sattar and Byeong{-}Ho Kang}, title = {Enabling Agent Oriented Programming Using CORBA-Based Object Interconnection Technology for Ubiquitous Computing}, booktitle = {{AI} 2006: Advances in Artificial Intelligence, 19th Australian Joint Conference on Artificial Intelligence, Hobart, Australia, December 4-8, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4304}, pages = {902--906}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11941439\_95}, doi = {10.1007/11941439\_95}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/ausai/ChoiK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimCL06a, author = {Sang{-}Il Kim and Hyun{-}Seon Choi and Dong{-}Ho Lee}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Tabu Search Heuristics for Parallel Machine Scheduling with Sequence-Dependent Setup and Ready Times}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3982}, pages = {728--737}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751595\_77}, doi = {10.1007/11751595\_77}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimCL06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCPKJPLKSPKC06, author = {Kyu{-}Hyoun Kim and Uksong Kang and Hoeju Chung and Dukha Park and Woo{-}Seop Kim and Young{-}Chan Jang and Moon{-}Sook Park and Hoon Lee and Jinyoung Kim and Jung Sunwoo and Hwan{-}Wook Park and Hyun{-}Kyung Kim and Su{-}Jin Chung and Jae{-}Kwan Kim and Hyung{-}Seuk Kim and Kee{-}Won Kwon and Young{-}Taek Lee and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate {SDRAM} with an {I/O} Error-Detection Scheme}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {527--536}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696089}, doi = {10.1109/ISSCC.2006.1696089}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCPKJPLKSPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsec/ParkCLC06, author = {Sang{-}Hyun Park and Hoon Choi and Sang{-}Han Lee and Taejoo Chang}, editor = {Hiroshi Yoshiura and Kouichi Sakurai and Kai Rannenberg and Yuko Murayama and Shin{-}ichi Kawamura}, title = {The High-Speed Packet Cipher System Suitable for Small Sized Data}, booktitle = {Advances in Information and Computer Security, First International Workshop on Security, {IWSEC} 2006, Kyoto, Japan, October 23-24, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4266}, pages = {364--377}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11908739\_26}, doi = {10.1007/11908739\_26}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iwsec/ParkCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ChoiHKJ06, author = {Woo{-}Kyung Choi and Sang{-}Hyung Ha and Seong{-}Joo Kim and Hong{-}Tae Jeon}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {Study for Intelligent Guide System Using Soft Computing}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4253}, pages = {101--107}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11893011\_13}, doi = {10.1007/11893011\_13}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/ChoiHKJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/KiCCL06, author = {Hyung Joo Ki and Seung{-}Hyuk Choi and Min Young Chung and Tae{-}Jin Lee}, editor = {Jiannong Cao and Ivan Stojmenovic and Xiaohua Jia and Sajal K. Das}, title = {Performance Evaluation of Binary Negative-Exponential Backoff Algorithm in {IEEE} 802.11 {WLAN}}, booktitle = {Mobile Ad-hoc and Sensor Networks, Second International Conference, {MSN} 2006, Hong Kong, China, December 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4325}, pages = {294--303}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11943952\_25}, doi = {10.1007/11943952\_25}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/msn/KiCCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/KimHCK06, author = {Tae Hyun Kim and Jae Yeol Ha and Sunghyun Choi and Wook Hyun Kwon}, title = {Virtual Channel Management for Densely Deployed {IEEE} 802.15.4 LR-WPANs}, booktitle = {4th {IEEE} International Conference on Pervasive Computing and Communications (PerCom 2006), 13-17 March 2006, Pisa, Italy}, pages = {103--115}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PERCOM.2006.48}, doi = {10.1109/PERCOM.2006.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/KimHCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/ChoCLJB06, author = {Hyuntae Cho and Hoon Choi and Woonghyun Lee and Yeonsu Jung and Yunju Baek}, editor = {Jianhua Ma and Hai Jin and Laurence Tianruo Yang and Jeffrey J. P. Tsai}, title = {Design and Implementation of a Smart Tag System for IT-Based Port Logistics}, booktitle = {Ubiquitous Intelligence and Computing, Third International Conference, {UIC} 2006, Wuhan, China, September 3-6, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4159}, pages = {32--43}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11833529\_4}, doi = {10.1007/11833529\_4}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/ChoCLJB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChaeCC05, author = {Hyun{-}Seok Chae and Myung{-}Ryul Choi and Tae{-}Kyung Cho}, title = {Congestion Control for Mixed {TCP} and {UDP} Traffic}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {10}, pages = {4097--4101}, year = {2005}, url = {https://doi.org/10.1093/ietcom/e88-b.10.4097}, doi = {10.1093/IETCOM/E88-B.10.4097}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChaeCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MinKKISCCKKS05, author = {Kyeong{-}Sik Min and Kouichi Kanda and Hiroshi Kawaguchi and Kenichi Inagaki and Fayez Robert Saliba and Hoon{-}Dae Choi and Hyun{-}Young Choi and Daejeong Kim and Dong Myong Kim and Takayasu Sakurai}, title = {Row-by-Row Dynamic Source-Line Voltage Control {(RRDSV)} Scheme for Two Orders of Magnitude Leakage Current Reduction of Sub-1-V-\emph{V\({}_{\mbox{DD}}\)} SRAM's}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {4}, pages = {760--767}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.4.760}, doi = {10.1093/IETELE/E88-C.4.760}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/MinKKISCCKKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoCCOKKKKKBHAK05, author = {Woo Yeong Cho and Beak{-}Hyung Cho and Byung{-}Gil Choi and Hyung{-}Rok Oh and Sangbeom Kang and Ki{-}Sung Kim and Kyung{-}Hee Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Youngnam Hwang and SuJin Ahn and Gwan{-}Hyeob Koh and Gitae Jeong and Hongsik Jeong and Kinam Kim}, title = {A 0.18-{\(\mu\)}m 3.0-V 64-Mb nonvolatile phase-transition random access memory {(PRAM)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {293--300}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837974}, doi = {10.1109/JSSC.2004.837974}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoCCOKKKKKBHAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TakHKCP05, author = {Geum{-}Young Tak and Seok{-}Bong Hyun and Tae Young Kang and Byoung Gun Choi and Seong Su Park}, title = {A 6.3-9-GHz {CMOS} fast settling {PLL} for {MB-OFDM} {UWB} applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {8}, pages = {1671--1679}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.852421}, doi = {10.1109/JSSC.2005.852421}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/TakHKCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChoKCC05, author = {Hwa{-}Hyun Cho and Seong{-}Ho Kim and Tae{-}Kyung Cho and Myung{-}Ryul Choi}, title = {Efficient image enhancement technique by decimation method}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {2}, pages = {654--659}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1468015}, doi = {10.1109/TCE.2005.1468015}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChoKCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LeeKHC05, author = {Hyun Cheol Lee and Eun Seok Kim and Gi Taek Hur and Hee Young Choi}, title = {Generation of 3D Facial Expressions Using 2D Facial Image}, booktitle = {4th Annual {ACIS} International Conference on Computer and Information Science {(ICIS} 2005), 14-16 July 2005, Jeju Island, South Korea}, pages = {228--232}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICIS.2005.68}, doi = {10.1109/ICIS.2005.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LeeKHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/JooHC05, author = {In{-}Hak Joo and Tae{-}Hyun Hwang and Kyoung{-}Ho Choi}, editor = {Jacques Blanc{-}Talon and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Updating Geospatial Database: An Automatic Approach Combining Photogrammetry and Computer Vision Techniques}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 7th International Conference, {ACIVS} 2005, Antwerp, Belgium, September 20-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3708}, pages = {324--331}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11558484\_41}, doi = {10.1007/11558484\_41}, timestamp = {Fri, 27 Dec 2019 21:26:39 +0100}, biburl = {https://dblp.org/rec/conf/acivs/JooHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KerneSCGC05, author = {Andruid Kerne and Steven M. Smith and Hyun Choi and Ross Graeber and Daniel Caruso}, editor = {Gerrit C. van der Veer and Carolyn Gale}, title = {Evaluating navigational surrogate formats with divergent browsing tasks}, booktitle = {Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, {CHI} 2005, Portland, Oregon, USA, April 2-7, 2005}, pages = {1537--1540}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1056808.1056960}, doi = {10.1145/1056808.1056960}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/KerneSCGC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/ChaKC05, author = {Jeong{-}Hee Cha and Gye{-}Young Kim and Hyung{-}Il Choi}, editor = {Hamid R. Arabnia}, title = {Visual Target Overlay Technique by Matching 3D Satellite Image and Ground-based Sensor Image}, booktitle = {Proceedings of The 2005 International Conference on Imaging Science, Systems, and Technology: Computer Graphics, {CISST} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, pages = {135--141}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Wed, 17 May 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisst/ChaKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/ChoiK05, author = {Ho{-}Seung Choi and Jae{-}Hyun Kim}, editor = {Laurence Tianruo Yang and Makoto Amamiya and Zhen Liu and Minyi Guo and Franz J. Rammig}, title = {A Novel Tag Identification Algorithm for {RFID} System Using {UHF}}, booktitle = {Embedded and Ubiquitous Computing - {EUC} 2005, International Conference {EUC} 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3824}, pages = {629--638}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11596356\_63}, doi = {10.1007/11596356\_63}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/ChoiK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KwonKCL05, author = {Hojoong Kwon and Tae{-}Hyun Kim and Sunghyun Choi and Byeong Gi Lee}, title = {Cross-layer lifetime maximization under reliability and stability constraints in wireless sensor networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {3285--3289}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1495030}, doi = {10.1109/ICC.2005.1495030}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KwonKCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChaKCKYL05, author = {Jae Sang Cha and Kyungsup Kwak and Sang{-}Yule Choi and Tai{-}Hoon Kim and Chang Dae Yoon and Chong Hyun Lee}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Interference-Free {ZCD-UWB} for Wireless Home Network Applications}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3481}, pages = {429--441}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424826\_45}, doi = {10.1007/11424826\_45}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChaKCKYL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/OlmesCCLC05, author = {Zhanna Olmes and Kun Myon Choi and Min Young Chung and Tae{-}Jin Lee and Hyunseung Choo}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {{RWA} Based on Approximated Path Conflict Graphs in Optical Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3480}, pages = {448--458}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424758\_47}, doi = {10.1007/11424758\_47}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/OlmesCCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YuanliangCC05, author = {Yuanliang Zhang and Hyung Jo Choi and Kil To Chong}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Discretization of Delayed Multi-input Nonlinear System via Taylor Series and Scaling and Squaring Technique}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3481}, pages = {1207--1216}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424826\_128}, doi = {10.1007/11424826\_128}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YuanliangCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimKLC05, author = {Hyungseok Kim and Taehun Kang and Vo Gia Loc and Hyoukryeol Choi}, title = {Gait Planning of Quadruped Walking and Climbing Robot for Locomotion in 3D Environment}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Robotics and Automation, {ICRA} 2005, April 18-22, 2005, Barcelona, Spain}, pages = {2733--2738}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ROBOT.2005.1570527}, doi = {10.1109/ROBOT.2005.1570527}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimKLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/BanCLKHKYK05, author = {Yong{-}Chan Ban and Soo{-}Han Choi and Ki{-}Hung Lee and Dong{-}Hyun Kim and Jisuk Hong and Yoo{-}Hyon Kim and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {A Fast Lithography Verification Framework for Litho-Friendly Layout Design}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {169--174}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.5}, doi = {10.1109/ISQED.2005.5}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/BanCLKHKYK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HongLCYK05, author = {Young{-}Seok Hong and Heeseok Lee and Joon{-}Ho Choi and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {Analysis for Complex Power Distribution Networks Considering Densely Populated Vias}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {208--212}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.19}, doi = {10.1109/ISQED.2005.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/HongLCYK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iva/CheolKCT05, author = {Hyun Cheol Lee and Eun Seok Kim and Hee Young Choi and Gi Taek Hur}, editor = {Themis Panayiotopoulos and Jonathan Gratch and Ruth Aylett and Daniel Ballin and Patrick Olivier and Thomas Rist}, title = {A Study on Generating and Matching Facial Control Point Using Radial Basis Function}, booktitle = {Intelligent Virtual Agents, 5th International Working Conference, {IVA} 2005, Kos, Greece, September 12-14, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3661}, pages = {491}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11550617\_43}, doi = {10.1007/11550617\_43}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/iva/CheolKCT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KwonKCL05, author = {Hojoong Kwon and Tae{-}Hyun Kim and Sunghyun Choi and Byeong Gi Lee}, title = {Lifetime maximization under reliability constraint via cross-layer strategy in wireless sensor networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2005, March 13-17, 2005, New Orleans, Louisiana, {USA}}, pages = {1891--1896}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WCNC.2005.1424800}, doi = {10.1109/WCNC.2005.1424800}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KwonKCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/HanaiCCY04, author = {Aaron M. Hanai and Hyun{-}Taek Choi and Song K. Choi and Junku Yuh}, title = {Experimental study on fine motion control of underwater robots}, journal = {Adv. Robotics}, volume = {18}, number = {10}, pages = {963--978}, year = {2004}, url = {https://doi.org/10.1163/1568553042674680}, doi = {10.1163/1568553042674680}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/HanaiCCY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fodm/ShinCKP04, author = {Hyunseok Shin and Chulwan Choi and Euntai Kim and Mignon Park}, title = {Fuzzy Partial State Feedback Control of Discrete Nonlinear Systems with Unknown Time-Delay}, journal = {Fuzzy Optim. Decis. Mak.}, volume = {3}, number = {1}, pages = {83--92}, year = {2004}, url = {https://doi.org/10.1023/B:FODM.0000013073.24535.7d}, doi = {10.1023/B:FODM.0000013073.24535.7D}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fodm/ShinCKP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/advis/KimCLPL04, author = {Taehyoun Kim and Bongjun Choi and Hyunho Lee and Hyosoon Park and Jaiyong Lee}, editor = {Tatyana M. Yakhno}, title = {Virtual-IP Zone Algorithm in {IP} Micro Mobility Environments}, booktitle = {Advances in Information Systems, Third International Conference, {ADVIS} 2004, Izmir, Turkey, October 20-22, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3261}, pages = {595--604}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30198-1\_60}, doi = {10.1007/978-3-540-30198-1\_60}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/advis/KimCLPL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/airs/KimRCRCK04, author = {Min{-}Soo Kim and Sungho Ryu and Kyu Tae Cho and Taik{-}Heon Rhee and Hyun{-}Il Choi and Jin Hyung Kim}, editor = {Sung{-}Hyon Myaeng and Ming Zhou and Kam{-}Fai Wong and HongJiang Zhang}, title = {Recognition-Based Digitalization of Korean Historical Archives}, booktitle = {Information Retrieval Technology, Asia Information Retrieval Symposium, {AIRS} 2004, Beijing, China, October 18-20, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3411}, pages = {281--288}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-31871-2\_24}, doi = {10.1007/978-3-540-31871-2\_24}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/airs/KimRCRCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/DoBC04, author = {Hyun Min Do and Tamer Basar and Jin Young Choi}, title = {An anti-windup design for single input adaptive control systems in strict feedback form}, booktitle = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, pages = {2551--2556}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.23919/ACC.2004.1383849}, doi = {10.23919/ACC.2004.1383849}, timestamp = {Thu, 24 Nov 2022 09:21:27 +0100}, biburl = {https://dblp.org/rec/conf/amcc/DoBC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dial/KimJCRK04, author = {Min{-}Soo Kim and Man{-}Dae Jang and Hyun{-}Il Choi and Taik{-}Heon Rhee and Jin Hyung Kim}, title = {Digitalizing Scheme of Handwritten Hanja Historical Documents}, booktitle = {1st International Workshop on Document Image Analysis for Libraries {(DIAL} 2004), 23-24 January 2004, Palo Alto, CA, {USA}}, pages = {321--327}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DIAL.2004.1263261}, doi = {10.1109/DIAL.2004.1263261}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dial/KimJCRK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecumn/KimCLPL04, author = {Taehyoun Kim and Bongjun Choi and Hyunho Lee and Hyosoon Park and Jaiyong Lee}, editor = {M{\'{a}}rio M. Freire and Prosper Chemouil and Pascal Lorenz and Annie Gravey}, title = {An Efficient Mobility Management Scheme in Hierarchical Mobile IPv6}, booktitle = {Universal Multiservice Networks: Third European Conference, {ECUMN} 2004, Porto, Portugal, October 25-27, 2004. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3262}, pages = {125--134}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30197-4\_13}, doi = {10.1007/978-3-540-30197-4\_13}, timestamp = {Tue, 21 Mar 2023 21:02:29 +0100}, biburl = {https://dblp.org/rec/conf/ecumn/KimCLPL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YouJKLLCS04, author = {Young{-}Hwan You and Taewon Jang and Min{-}goo Kang and Hyung{-}Woo Lee and Hwa{-}Seop Lim and Yong{-}Soo Choi and Hyoung{-}Kyu Song}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Performance Limitation of {STBC} {OFDM-CDMA} Systems in Mobile Fading Channels}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {386--393}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_48}, doi = {10.1007/978-3-540-24707-4\_48}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YouJKLLCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JooHC04, author = {In{-}Hak Joo and Tae{-}Hyun Hwang and Kyoung{-}Ho Choi}, title = {Generation of video metadata supporting video-gis integration}, booktitle = {Proceedings of the 2004 International Conference on Image Processing, {ICIP} 2004, Singapore, October 24-27, 2004}, pages = {1695--1698}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/JooHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HwangJC04, author = {Tae{-}Hyun Hwang and In{-}Hak Joo and Kyoung{-}Ho Choi}, title = {An indexing method for spatial object in video using image processing and photogrammetry}, booktitle = {2004 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2004, Anchorage, Alaska, USA, 20-24 September 2004}, pages = {4394--4397}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IGARSS.2004.1370123}, doi = {10.1109/IGARSS.2004.1370123}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/HwangJC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCPLKK04, author = {Sung{-}Hyun Kim and Junho Choi and Hyuk Park and Ho{-}Jin Lee and Yong{-}Hoon Kim and Gum{-}Sil Kang}, title = {Point target angular resolution in near field for Ka-band interferometric synthetic aperture radiometer with sub-Y-type array configuration}, booktitle = {2004 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2004, Anchorage, Alaska, USA, 20-24 September 2004}, pages = {2234--2236}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IGARSS.2004.1370806}, doi = {10.1109/IGARSS.2004.1370806}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KimCPLKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KangKC04, author = {Taehun Kang and Hyung Seok Kim and Hyoukryeol Choi}, title = {Realtime perception with infrared scanner for navigation of quadruped walking and climbing robot}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {2550--2555}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IROS.2004.1389792}, doi = {10.1109/IROS.2004.1389792}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KangKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KooLCCYK04, author = {Jong{-}Eun Koo and Kyung{-}Ho Lee and Young{-}Hoe Cheon and Joon{-}Ho Choi and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {A Variable Reduction Technique for the Analysis of Ultra Large-Scale Power Distribution Networks}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283664}, doi = {10.1109/ISQED.2004.1283664}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KooLCCYK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChoiKYPLKCJ04, author = {Taesang Choi and Changhoon Kim and Jeongsook Yoon and Jeongsook Park and Byungjun Lee and Hyunghan Kim and Hyungseok Chung and Taesoo Jeong}, title = {Content-aware Internet application traffic measurement and analysis}, booktitle = {Managing Next Generation Convergence Networks and Services, {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2004, Seoul, Korea, 19-23 April 2004, Proceedings}, pages = {511--524}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/NOMS.2004.1317737}, doi = {10.1109/NOMS.2004.1317737}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChoiKYPLKCJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prima/YangKC04, author = {Jaeyoung Yang and Tae{-}Hyung Kim and Joongmin Choi}, editor = {Mike Barley and Nikola K. Kasabov}, title = {An Interface Agent for Wrapper-Based Information Extraction}, booktitle = {Intelligent Agents and Multi-Agent Systems, 7th Pacific Rim International Workshop on Multi-Agents, {PRIMA} 2004, Auckland, New Zealand, August 8-13, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3371}, pages = {291--302}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-32128-6\_22}, doi = {10.1007/978-3-540-32128-6\_22}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/prima/YangKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sapir/KimLCPL04, author = {Taehyoun Kim and Hyunho Lee and Bongjun Choi and Hyosoon Park and Jaiyong Lee}, editor = {Petre Dini and Pascal Lorenz and Jos{\'{e}} Neuman de Souza}, title = {Dynamic IP-Grouping Scheme with Reduced Wireless Signaling Cost in the Mobile Internet}, booktitle = {First International Workshop, {SAPIR} 2004, Fortaleza, Brazil, August 1-6, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3126}, pages = {67--78}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27767-5\_7}, doi = {10.1007/978-3-540-27767-5\_7}, timestamp = {Tue, 21 Mar 2023 21:02:52 +0100}, biburl = {https://dblp.org/rec/conf/sapir/KimLCPL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/HanCAKYLSLYJCLSBLSKK04, author = {Tack{-}Don Han and Cheolho Cheong and Jae{-}Won Ahn and Jong{-}Young Kim and Hyung{-}Min Yoon and Chang{-}Su Lee and Hyon{-}Gu Shin and Young{-}Jin Lee and Hyoung{-}Min Yook and Myoung{-}Hoon Jeon and Jung Soo Choi and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and Eun{-}Dong Shin and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Campus Life}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {8--13}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300406}, doi = {10.1109/WSTFES.2004.1300406}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/HanCAKYLSLYJCLSBLSKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/SonOCLK03, author = {Jin Hyun Son and Seok Kyun Oh and Kyung Hoon Choi and Yoon{-}Joon Lee and Myoung{-}Ho Kim}, title = {{GM-WTA:} An efficient workflow task allocation method in a distributed execution environment}, journal = {J. Syst. Softw.}, volume = {67}, number = {3}, pages = {165--179}, year = {2003}, url = {https://doi.org/10.1016/S0164-1212(02)00127-9}, doi = {10.1016/S0164-1212(02)00127-9}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/SonOCLK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiPKPNKPSKKKC03, author = {Pilsoon Choi and Hyung Chul Park and Sohyeong Kim and Sungchung Park and Ilku Nam and Tae Wook Kim and Seokjong Park and Sangho Shin and Myeung Su Kim and Kyucheol Kang and Yeonwoo Ku and Hyokjae Choi and Sook Min Park and Kwyro Lee}, title = {An experimental coin-sized radio for extremely low-power {WPAN} {(IEEE} 802.15.4) application at 2.4 GHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {12}, pages = {2258--2268}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.819083}, doi = {10.1109/JSSC.2003.819083}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiPKPNKPSKKKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiFKK03, author = {Jae Weon Choi and Tae Hyun Fang and Sam Kwong and Young Ho Kim}, title = {Remote-controlled platoon merging via coder-estimator sequence algorithm for a communication network}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {50}, number = {1}, pages = {30--36}, year = {2003}, url = {https://doi.org/10.1109/TIE.2002.807686}, doi = {10.1109/TIE.2002.807686}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiFKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KimPKCL03, author = {Chang{-}Min Kim and Hyung{-}Min Park and Taesu Kim and Yoon{-}Kyung Choi and Soo{-}Young Lee}, title = {{FPGA} implementation of {ICA} algorithm for blind signal separation and adaptive noise canceling}, journal = {{IEEE} Trans. Neural Networks}, volume = {14}, number = {5}, pages = {1038--1046}, year = {2003}, url = {https://doi.org/10.1109/TNN.2003.818381}, doi = {10.1109/TNN.2003.818381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KimPKCL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/AhnCFS03, author = {Byeong Wan Ahn and Jae Weon Choi and Tae Hyun Fang and Taek Lyul Song}, title = {A modified variable dimension filter with input estimation for maneuvering target tracking}, booktitle = {American Control Conference, {ACC} 2003, Denver, CO, USA, June 4-6 2003}, pages = {1266--1271}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ACC.2003.1239762}, doi = {10.1109/ACC.2003.1239762}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/AhnCFS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/SeoCHY03, author = {Yongho Seo and Jin Choi and Taewoo Han and Hyun Seung Yang}, title = {Intelligent Wearable Assistance System for Communicating with Interactive Electronic Media}, booktitle = {Online Proceeding of the 13th International Conference on Artificial Reality and Telexistence, December 3-5, 2003, Keio University, Tokyo, Japan}, year = {2003}, url = {http://www.vrsj.org/ic-at/ICAT2003/php/upload/162\_camera\_52773aaff374065e6d58b472477dc98d.pdf}, timestamp = {Tue, 24 Oct 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icat/SeoCHY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChoRCY03, author = {Sung{-}Dong Cho and Seung Taek Ryoo and Tai{-}Ho Choi and Kyung{-}hyun Yoon}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {3D Primitive Reconstruction Using the Line Segment with Single Image}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {2669}, pages = {539--548}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44842-X\_55}, doi = {10.1007/3-540-44842-X\_55}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChoRCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiCKJ03, author = {Taesang Choi and Hyungseok Chung and Changhoon Kim and Taesoo Jeong}, editor = {Hyun{-}Kook Kahng}, title = {Design and Implementation of Information Model for Configuration and Performance Management of MPLS-TE/VPN/QoS}, booktitle = {Information Networking, Networking Technologies for Enhanced Internet Services International Conference, {ICOIN} 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {2662}, pages = {817--827}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45235-5\_80}, doi = {10.1007/978-3-540-45235-5\_80}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiCKJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HwangCJL03, author = {Tae{-}Hyun Hwang and Kyoung{-}Ho Choi and In{-}Hak Joo and Jong{-}Hun Lee}, title = {{MPEG-7} metadata for video-based {GIS} applications}, booktitle = {2003 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2003, Toulouse, France, July 21-15, 2003}, pages = {3641--3643}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IGARSS.2003.1294880}, doi = {10.1109/IGARSS.2003.1294880}, timestamp = {Fri, 07 May 2021 10:04:02 +0200}, biburl = {https://dblp.org/rec/conf/igarss/HwangCJL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/ChoiCKJ03, author = {Taesang Choi and Hyungseok Chung and Changhoon Kim and Taesoo Jeong}, editor = {Germ{\'{a}}n S. Goldszmidt and J{\"{u}}rgen Sch{\"{o}}nw{\"{a}}lder}, title = {Design and Implementation of an Information Model for Integrated Configuration and Performance Management of MPLS-TE/VPN/QoS}, booktitle = {Integrated Network Management VII, Managing It All, {IFIP/IEEE} Eighth International Symposium on Integrated Network Management {(IM} 2003), March 24-28, 2003, Colorado Springs, {USA}}, series = {{IFIP} Conference Proceedings}, volume = {246}, pages = {143--146}, publisher = {Kluwer}, year = {2003}, timestamp = {Mon, 24 Mar 2003 13:34:13 +0100}, biburl = {https://dblp.org/rec/conf/im/ChoiCKJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiHCY03, author = {Hyun{-}Taek Choi and Aaron M. Hanai and Song K. Choi and Junku Yuh}, title = {Development of an underwater robot, {ODIN-III}}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {836--841}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1250733}, doi = {10.1109/IROS.2003.1250733}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChoiHCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HanaiCCY03, author = {Aaron M. Hanai and Hyun{-}Taek Choi and Song K. Choi and Junku Yuh}, title = {Minimum energy based fine motion control of underwater robots in the presence of thruster nonlinearity}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {559--564}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1250688}, doi = {10.1109/IROS.2003.1250688}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/HanaiCCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KangKSC03, author = {Taehun Kang and Hyung Seok Kim and Taeyoung Son and Hyoukryeol Choi}, title = {Design of quadruped walking and climbing robot}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {619--624}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1250698}, doi = {10.1109/IROS.2003.1250698}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/KangKSC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YangKCYK03, author = {Jae{-}Seok Yang and Jeong{-}Yeol Kim and Joon{-}Ho Choi and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {Elimination of false aggressors using the functional relationship for full-chip crosstalk analysis}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194756}, doi = {10.1109/ISQED.2003.1194756}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/YangKCYK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ChoiCLJ03, author = {Taesang Choi and Hyungseok Chung and Bungjoon Lee and Taesoo Jeong}, editor = {Walter Dosch and Roger Y. Lee}, title = {Object-oriented Information Model for the Management of {MPLS} Traffic Engineering based {VPN} and QoS Services}, booktitle = {Proceedings of the {ACIS} Fourth International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing (SNPD'03), October 16-18, 2003, L{\"{u}}beck, Germany}, pages = {488--485}, publisher = {{ACIS}}, year = {2003}, timestamp = {Wed, 26 Nov 2003 12:28:17 +0100}, biburl = {https://dblp.org/rec/conf/snpd/ChoiCLJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/JangJC02, author = {Dae{-}Sik Jang and Seok{-}Woo Jang and Hyung{-}Il Choi}, title = {Tracking a partially occluded target with a cluster of Kalman filters}, journal = {Int. J. Intell. Syst.}, volume = {17}, number = {6}, pages = {595--607}, year = {2002}, url = {https://doi.org/10.1002/int.10040}, doi = {10.1002/INT.10040}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/JangJC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/TarkovMCC02, author = {Mikhail S. Tarkov and Youngsong Mun and Jaeyoung Choi and Hyung{-}Il Choi}, title = {Mapping adaptive fuzzy Kohonen clustering network onto distributed image processing system}, journal = {Parallel Comput.}, volume = {28}, number = {9}, pages = {1239--1256}, year = {2002}, url = {https://doi.org/10.1016/S0167-8191(02)00136-9}, doi = {10.1016/S0167-8191(02)00136-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/TarkovMCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiYCKPLJ02, author = {Taesang Choi and Seunghyun Yoon and Hyungseok Chung and Changhoon Kim and Jungsook Park and Bungjoon Lee and Taesoo Jeong}, editor = {Ilyoung Chong}, title = {Design and Implementation of Traffic Engineering Server for a Large-Scale MPLS-Based {IP} Network}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2343}, pages = {699--711}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45803-4\_63}, doi = {10.1007/3-540-45803-4\_63}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiYCKPLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeJCC02, author = {So{-}Hyun Lee and Myoung{-}Kyoung Ji and Tae{-}uk Choi and Ki{-}Dong Chung}, editor = {Ilyoung Chong}, title = {An ACK-Based Redundancy Control Algorithm in Periodic {FEC}}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2343}, pages = {494--503}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45803-4\_44}, doi = {10.1007/3-540-45803-4\_44}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/LeeJCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimJLKPDMC02, author = {Byungkyu Kim and Younkoo Jeong and Hyun{-}Young Lim and Tae Song Kim and Jong{-}Oh Park and Paolo Dario and Arianna Menciassi and Hyoukryeol Choi}, title = {Smart colonoscope system}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, Lausanne, Switzerland, September 30 - October 4, 2002}, pages = {1367--1372}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IRDS.2002.1043945}, doi = {10.1109/IRDS.2002.1043945}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimJLKPDMC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ParkCRKPJPKYK02, author = {Chul{-}Hong Park and Soo{-}Han Choi and Sang{-}Uhk Rhie and Dong{-}Hyun Kim and Jun{-}Seong Park and Tae{-}Hwang Jang and Ji{-}Soong Park and Yoo{-}Hyon Kim and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {A Hybrid {PPC} Method Based on the Empirical Etch Model for the 0.14{\(\mathrm{\mu}\)}m {DRAM} Generation and Beyond}, booktitle = {3rd International Symposium on Quality of Electronic Design, {ISQED} 2002, San Jose, CA, USA, March 18-21, 2002}, pages = {143--147}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISQED.2002.996717}, doi = {10.1109/ISQED.2002.996717}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ParkCRKPJPKYK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChoiYCKPLJ02, author = {Taesang Choi and Seunghyun Yoon and Hyungsuk Chung and Changhoon Kim and Jungsook Park and Bungjun Lee and Taesoo Jeong}, editor = {Rolf Stadler and Mehmet Ulema}, title = {Wise: traffic engineering server for a large-scale MPLS-based {IP} network}, booktitle = {Management Solutions for the New Communications World, 8th {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2002, Florence, Italy, April 15-19, 2002. Proceedings}, pages = {251--264}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/NOMS.2002.1015577}, doi = {10.1109/NOMS.2002.1015577}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChoiYCKPLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/JeongYCJLM01, author = {Sangjin Jeong and Chan{-}Hyun Youn and Taesang Choi and Taesoo Jeong and Daniel Lee and Kyoung{-}Seon Min}, title = {Policy management for {BGP} routing convergence using inter-AS relationship}, journal = {J. Commun. Networks}, volume = {3}, number = {4}, pages = {342--350}, year = {2001}, url = {https://doi.org/10.1109/JCN.2001.6596965}, doi = {10.1109/JCN.2001.6596965}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/JeongYCJLM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChoiF01, author = {Jae Weon Choi and Tae Hyun Fang}, title = {Remote-controlled platoon merging via coder-estimator sequence algorithm for a communication network}, booktitle = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, pages = {2670--2675}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ACC.2001.946281}, doi = {10.1109/ACC.2001.946281}, timestamp = {Wed, 05 Jan 2022 10:14:49 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ChoiF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiYLR01, author = {Hoon Choi and Byeong{-}Whee Yun and Yun{-}Tae Lee and Hyunglae Roh}, title = {Model Checking of {S3C2400X} Industrial Embedded {SOC} Product}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {611--616}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379034}, doi = {10.1145/378239.379034}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoiYLR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/LeePKSCKLS01, author = {Jae{-}Min Lee and Tae Rim Park and Hyung Seok Kim and Soo Young Shin and Jae Young Choi and Wook Hyun Kwon and Sung Woo Lee and Sung Il Song}, title = {A communication network with high safety, maintainability, and user convenience for digital {I} and {C} systems of nuclear power plants}, booktitle = {Proceedings of 8th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2001, October 15-18, 2001, Antibes/Juan les Pins, France - Volume 1}, pages = {353--358}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ETFA.2001.996388}, doi = {10.1109/ETFA.2001.996388}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/LeePKSCKLS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/flops/ChoiLH01, author = {Kwanghoon Choi and Hyun{-}il Lim and Taisook Han}, editor = {Herbert Kuchen and Kazunori Ueda}, title = {Compiling Lazy Functional Programs Based on the Spineless Tagless G-Machine for the Java Virtual Machine}, booktitle = {Functional and Logic Programming, 5th International Symposium, {FLOPS} 2001, Tokyo, Japan, March 7-9, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2024}, pages = {92--107}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44716-4\_6}, doi = {10.1007/3-540-44716-4\_6}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/flops/ChoiLH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/TarkovMCC01, author = {Mikhail S. Tarkov and Youngsong Mun and Jaeyoung Choi and Hyung{-}Il Choi}, editor = {Vassil N. Alexandrov and Jack J. Dongarra and Benjoe A. Juliano and Ren{\'{e}} S. Renner and Chih Jeng Kenneth Tan}, title = {Mapping Parallel Programs onto Distributed Computer Systems with Faulty Elements}, booktitle = {Computational Science - {ICCS} 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2074}, pages = {148--157}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45718-6\_17}, doi = {10.1007/3-540-45718-6\_17}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iccS/TarkovMCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JiCLPC01, author = {Myoung{-}Kyoung Ji and Tae{-}uk Choi and So{-}Hyun Lee and Seong{-}Ho Park and Ki{-}Dong Chung}, title = {Adaptive {FEC} Error Control Algorithm Using Loss and Delay}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Multimedia and Expo, {ICME} 2001, August 22-25, 2001, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICME.2001.1237661}, doi = {10.1109/ICME.2001.1237661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JiCLPC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/JiLCPKC01, author = {Myoung{-}Kyoung Ji and So{-}Hyun Lee and Tae{-}uk Choi and Seong{-}Ho Park and Jeong{-}Gu Kang and Ki{-}Dong Chung}, title = {Selecting an Audio Redundancy Codec Combination for Error Control in Internet Telephony}, booktitle = {Eigth International Conference on Parallel and Distributed Systems, {ICPADS} 2001, KyongJu City, Korea, June 26-29, 2001}, pages = {645--652}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPADS.2001.934879}, doi = {10.1109/ICPADS.2001.934879}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/JiLCPKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/TackCLL01, author = {Gye Rae Tack and Hyung Guen Choi and Dohyung Lim and Sung Jae Lee}, editor = {Seong Ki Mun}, title = {Orthopedic surgical analyzer for percutaneous vertebroplasty}, booktitle = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, series = {{SPIE} Proceedings}, volume = {4319}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.428052}, doi = {10.1117/12.428052}, timestamp = {Mon, 18 Jun 2018 17:03:10 +0200}, biburl = {https://dblp.org/rec/conf/miigp/TackCLL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/MoonCR00, author = {Young{-}Hyun Moon and Byoung{-}Kon Choi and Tae{-}Hoon Roh}, title = {Estimating the domain of attraction for power systems via a group of damping-reflected energy functions}, journal = {Autom.}, volume = {36}, number = {3}, pages = {419--425}, year = {2000}, url = {https://doi.org/10.1016/S0005-1098(99)00162-4}, doi = {10.1016/S0005-1098(99)00162-4}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/MoonCR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/KimKCP00, author = {Hyun{-}Sool Kim and Taek{-}Soo Kim and Yoon Ho Choi and Sang{-}Hui Park}, title = {The prediction of {EEG} signals using a feedback-structured adaptive rational function filter}, journal = {Biol. Cybern.}, volume = {83}, number = {2}, pages = {131--138}, year = {2000}, url = {https://doi.org/10.1007/s004220000154}, doi = {10.1007/S004220000154}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/KimKCP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimHC00, author = {Tae{-}eun Kim and Hyun{-}Ki Hong and Jong{-}Soo Choi}, title = {Estimation of hybrid reflectance properties and shape reconstruction using the {LMS} method}, journal = {Pattern Recognit.}, volume = {33}, number = {1}, pages = {161--171}, year = {2000}, url = {https://doi.org/10.1016/S0031-3203(99)00038-2}, doi = {10.1016/S0031-3203(99)00038-2}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/KimHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/TchaKCO00, author = {Dong{-}Wan Tcha and June{-}Hyuk Kwon and Taek{-}Jin Choi and Se{-}Hyun Oh}, title = {Perturbation-minimizing frequency assignment in a changing {TDMA/FDMA} cellular environment}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {49}, number = {2}, pages = {390--396}, year = {2000}, url = {https://doi.org/10.1109/25.832969}, doi = {10.1109/25.832969}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/TchaKCO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsom/KimHRC00, author = {Jae{-}Young Kim and James Won{-}Ki Hong and Sook{-}Hyun Ryu and Taesang Choi}, editor = {Anthony P. Ambler and Seraphin B. Calo and Gautam Kar}, title = {Constructing End-to-End Traffic Flows for Managing Differentiated Services Networks}, booktitle = {Services Management in Intelligent Networks, 11th {IFIP/IEEE} International Workshop on Distributed Systems: Operations and Management, {DSOM} 2000, Austin, Texas, USA, December 4-6, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1960}, pages = {83--94}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44460-2\_8}, doi = {10.1007/3-540-44460-2\_8}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/dsom/KimHRC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhnCKH00, author = {Taekyoon Ahn and Kiyoung Choi and Ki{-}Hyun Kim and Seong{-}Kwan Hon}, title = {A new cost model for high-level power optimization and its application}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {573--576}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.856393}, doi = {10.1109/ISCAS.2000.856393}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhnCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiB00, author = {Jung Hyun Choi and Sergio Bampi}, title = {{TAT} transistors on {SOT} array for mixed analog/digital applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {17--20}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857352}, doi = {10.1109/ISCAS.2000.857352}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ChoiJCKH00, author = {Mi{-}Joung Choi and Hong{-}Taek Ju and Hyun{-}Jun Cha and Sook{-}Hyang Kim and James Won{-}Ki Hong}, editor = {James Won{-}Ki Hong and Robert Weihmayer}, title = {An efficient embedded Web server for Web-based network element management}, booktitle = {The Networked Planet: Management Beyond 2000, 7th {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2000, Honolulu, HI, USA, April 10-14, 2000. Proceedings}, pages = {187--200}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/NOMS.2000.830384}, doi = {10.1109/NOMS.2000.830384}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/ChoiJCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/JuCCKH00, author = {Hong{-}Taek Ju and Mi{-}Jung Choi and Hyun{-}Jun Cha and Sook{-}Hyang Kim and James Won{-}Ki Hong}, editor = {James Won{-}Ki Hong and Robert Weihmayer}, title = {Effective management application interface and integration mechanisms for Web-based network element management}, booktitle = {The Networked Planet: Management Beyond 2000, 7th {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2000, Honolulu, HI, USA, April 10-14, 2000. Proceedings}, pages = {947--948}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/NOMS.2000.830450}, doi = {10.1109/NOMS.2000.830450}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/JuCCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/AitaBC00, author = {Andr{\'{e}} Luiz Aita and Sergio Bampi and Jung Hyun Choi}, title = {A Generator of Trapezoidal Association of Transistors {(TAT):} Improving Analog Circuits in a Pre-Diffused Transistor Array}, booktitle = {Proceedings of the 13th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2000, Manaus, Brazil, September 18-24, 2000}, pages = {99--106}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://dl.acm.org/doi/10.5555/827245.827340}, doi = {10.5555/827245.827340}, timestamp = {Fri, 03 Jun 2022 10:50:13 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/AitaBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/KimCK99, author = {Eung Tae Kim and Seung{-}Jong Choi and Hyung{-}Myung Kim}, title = {Weighted boundary matching algorithm for error concealment in the {MPEG-2} video bit stream}, journal = {Signal Process.}, volume = {73}, number = {3}, pages = {291--295}, year = {1999}, url = {https://doi.org/10.1016/S0165-1684(98)00242-4}, doi = {10.1016/S0165-1684(98)00242-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/KimCK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeHKLSLCH99, author = {Jang Myung Lee and M. Y. Han and B. H. Kim and M. H. Lee and K. Son and M. C. Lee and Jae Weon Choi and Seung{-}Hyun Han}, title = {A study on pose determination of a mobile/task robot for manipulating using active calibration method}, booktitle = {Proceedings 1999 {IEEE/RSJ} International Conference on Intelligent Robots and Systems. Human and Environment Friendly Robots with High Intelligence and Emotional Quotients, October 17-21,1999, Hyundai Hotel, Kyongju, Korea}, pages = {1768--1773}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/IROS.1999.811734}, doi = {10.1109/IROS.1999.811734}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/LeeHKLSLCH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/TabatabaeiGC98, author = {Emad Tabatabaei and Allon Guez and Hyuntae Choi}, title = {Adaptive sigmoidal molten metal pouring control}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {6}, number = {2}, pages = {270--280}, year = {1998}, url = {https://doi.org/10.1109/87.664193}, doi = {10.1109/87.664193}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/TabatabaeiGC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiJJC97, author = {Jun Rim Choi and Lak Hyun Jang and Seong Wook Jung and Jin Ho Choi}, title = {Structured design of a 288-tap {FIR} filter by optimized partial product tree compression}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {3}, pages = {468--476}, year = {1997}, url = {https://doi.org/10.1109/4.557651}, doi = {10.1109/4.557651}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiJJC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCCKLCYKLSYO97, author = {Tae{-}Sung Jung and Do{-}Chan Choi and Sung{-}Hee Cho and Myong{-}Jae Kim and Seung{-}Keun Lee and Byung{-}Soon Choi and Jin{-}Sun Yum and San{-}Hong Kim and Dong{-}Gi Lee and Jong{-}Chang Son and Myung{-}Sik Yong and Heung{-}Kwun Oh and Sung{-}Bu Jun and Woung{-}Moo Lee and E. Haq and Kang{-}Deog Suh and S. B. Ali and Hyung{-}Kyu Lim}, title = {A 3.3-V single power supply 16-Mb nonvolatile virtual {DRAM} using a {NAND} flash memory technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {11}, pages = {1748--1757}, year = {1997}, url = {https://doi.org/10.1109/4.641697}, doi = {10.1109/4.641697}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCCKLCYKLSYO97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimLRC97, author = {Tae{-}eun Kim and Sun{-}Ho Lee and Seok{-}Hyun Ryu and Jong{-}Soo Choi}, title = {Shape Recovery of Hybrid Reflectance Surface using Neural Network}, booktitle = {Proceedings 1997 International Conference on Image Processing, {ICIP} '97, Santa Barbara, California, USA, October 26-29, 1997}, pages = {416--419}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICIP.1997.632142}, doi = {10.1109/ICIP.1997.632142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KimLRC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCSSKLKPLPPK96, author = {Tae{-}Sung Jung and Young{-}Joon Choi and Kang{-}Deog Suh and Byung{-}Hoon Suh and Jin{-}Ki Kim and Young{-}Ho Lim and Yong{-}Nam Koh and Jong{-}Wook Park and Ki{-}Jong Lee and Jung{-}Hoon Park and Kee{-}Tae Park and Jhang{-}Rae Kim and Jeong{-}Hyong Yi and Hyung{-}Kyu Lim}, title = {A 117-mm\({}^{\mbox{2}}\) 3.3-V only 128-Mb multilevel {NAND} flash memory for mass storage applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1575--1583}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542301}, doi = {10.1109/JSSC.1996.542301}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCSSKLKPLPPK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/RyuKC96, author = {Seok{-}Hyun Ryu and Tae{-}eun Kim and Jong{-}Soo Choi}, title = {Shape reconstruction using estimated surface reflectance properties}, booktitle = {Proceedings 1996 International Conference on Image Processing, Lausanne, Switzerland, September 16-19, 1996}, pages = {29--32}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICIP.1996.559425}, doi = {10.1109/ICIP.1996.559425}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icip/RyuKC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/JangCA95, author = {Tae{-}Jeong Jang and Chong{-}Ho Choi and Hyun{-}Sik Ahn}, title = {Iterative learning control in feedback systems}, journal = {Autom.}, volume = {31}, number = {2}, pages = {243--248}, year = {1995}, url = {https://doi.org/10.1016/0005-1098(94)00064-P}, doi = {10.1016/0005-1098(94)00064-P}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/JangCA95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiKJKLLPLKCHKC94, author = {Yunho Choi and Myungho Kim and Hyunsoon Jang and Taejin Kim and Seung{-}hoon Lee and Ho{-}cheol Lee and Churoo Park and Siyeol Lee and Cheol{-}soo Kim and Soo{-}In Cho and Ejaz Haq and J. Karp and Daeje Chin}, title = {16-Mb synchronous {DRAM} with 125-Mbyte/s data rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {4}, pages = {529--533}, year = {1994}, url = {https://doi.org/10.1109/4.280704}, doi = {10.1109/4.280704}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiKJKLLPLKCHKC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/KimLC93, author = {Jin Tae Kim and Hyun Joo Lee and Jong{-}Soo Choi}, title = {Subband Coding Using Human Visual Characteristics for Image Signals}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {11}, number = {1}, pages = {59--64}, year = {1993}, url = {https://doi.org/10.1109/49.210544}, doi = {10.1109/49.210544}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/KimLC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/AguiCN88, author = {Takeshi Agui and Hyung Jin Choi and Masayuki Nakajima}, title = {Method of extracting car number plates by image processing}, journal = {Syst. Comput. Jpn.}, volume = {19}, number = {3}, pages = {46--52}, year = {1988}, url = {https://doi.org/10.1002/scj.4690190305}, doi = {10.1002/SCJ.4690190305}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/AguiCN88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/AguiCN88a, author = {Takeshi Agui and Hyung Jin Choi and Masayuki Nakajima}, title = {A method of license plate extraction using a fast pyramid hierarchical hough transformation}, journal = {Syst. Comput. Jpn.}, volume = {19}, number = {8}, pages = {69--76}, year = {1988}, url = {https://doi.org/10.1002/scj.4690190806}, doi = {10.1002/SCJ.4690190806}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/AguiCN88a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.