![](https://dblp.uni-trier.de/img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de/img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Chung Shue Chen"
@article{DBLP:journals/cn/TrabelsiFC24, author = {Nessrine Trabelsi and Lamia Chaari Fourati and Chung Shue Chen}, title = {Interference management in 5G and beyond networks: {A} comprehensive survey}, journal = {Comput. Networks}, volume = {239}, pages = {110159}, year = {2024}, url = {https://doi.org/10.1016/j.comnet.2023.110159}, doi = {10.1016/J.COMNET.2023.110159}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/TrabelsiFC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuWHKLJCCLLTHCCC24, author = {Hung{-}Hsi Hsu and Tai{-}Hao Wen and Wei{-}Hsing Huang and Win{-}San Khwa and Yun{-}Chen Lo and Chuan{-}Jia Jhang and Yu{-}Hsiang Chin and Yu{-}Chiao Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A Nonvolatile AI-Edge Processor With {SLC-MLC} Hybrid ReRAM Compute-in-Memory Macro Using Current-Voltage-Hybrid Readout Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {116--127}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314433}, doi = {10.1109/JSSC.2023.3314433}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HsuWHKLJCCLLTHCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSHRCCKHLSLCLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A Floating-Point 6T {SRAM} In-Memory-Compute Macro Using Hybrid-Domain Structure for Advanced {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {196--207}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3309966}, doi = {10.1109/JSSC.2023.3309966}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuSHRCCKHLSLCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YouCKLHCLLHTCCC24, author = {De{-}Qi You and Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8b-Precision 8-Mb {STT-MRAM} Near-Memory-Compute Macro Using Weight-Feature and Input-Sparsity Aware Schemes for Energy-Efficient Edge {AI} Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {219--230}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3324335}, doi = {10.1109/JSSC.2023.3324335}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YouCKLHCLLHTCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LinLJLLK24, author = {Ruiyuan Lin and Sheng Liu and Jun Jiang and Shujun Li and Chengqing Li and C.{-}C. Jay Kuo}, title = {Recovering sign bits of {DCT} coefficients in digital images as an optimization problem}, journal = {J. Vis. Commun. Image Represent.}, volume = {98}, pages = {104045}, year = {2024}, url = {https://doi.org/10.1016/j.jvcir.2023.104045}, doi = {10.1016/J.JVCIR.2023.104045}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/LinLJLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/DaiWSWLLCLCC24, author = {Pei{-}Yu Dai and Yu{-}Cheng Wu and Ruey{-}Kai Sheu and Chieh{-}Liang Wu and Shu{-}Fang Liu and Pei{-}Yi Lin and Wei{-}Lin Cheng and Guan{-}Yin Lin and Huang{-}Chien Chung and Lun{-}Chi Chen}, title = {An automated {ICU} agitation monitoring system for video streaming using deep learning classification}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {77}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02479-2}, doi = {10.1186/S12911-024-02479-2}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/DaiWSWLLCLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/YuWCYLT24, author = {Ting Yu and Shuai Wang and Wei Chen and F. Richard Yu and Victor C. M. Leung and Zijian Tian}, title = {Joint Self-Supervised Enhancement and Denoising of Low-Light Images}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {8}, number = {2}, pages = {1800--1813}, year = {2024}, url = {https://doi.org/10.1109/TETCI.2024.3358200}, doi = {10.1109/TETCI.2024.3358200}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/YuWCYLT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/YuWCYLT24a, author = {Ting Yu and Shuai Wang and Wei Chen and F. Richard Yu and Victor C. M. Leung and Zijian Tian}, title = {Self-Supervised Adaptive Illumination Estimation for Low-Light Image Enhancement}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {8}, number = {2}, pages = {1882--1893}, year = {2024}, url = {https://doi.org/10.1109/TETCI.2024.3359051}, doi = {10.1109/TETCI.2024.3359051}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/YuWCYLT24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChengWY0CLB24, author = {Yanqi Cheng and Weimin Wu and Yong Yang and Eftichios Koutroulis and Henry Shu{-}Hung Chung and Marco Liserre and Frede Blaabjerg}, title = {Zero-Sequence Voltage Injection-Based Grid Impedance Estimation Method for Three-Phase Four-Wire {DC/AC} Grid-Connected Inverter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {7}, pages = {7273--7279}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3306395}, doi = {10.1109/TIE.2023.3306395}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChengWY0CLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeHHYLCL24, author = {I{-}Jung Lee and Yu Hen Hu and Pei{-}Chi Hsiao and Shu{-}Yu Yang and Hsin{-}Te Lin and Yu{-}Chung Chen and Bor{-}Shing Lin}, title = {AI-Based Automatic System for Assessing Upper-Limb Spasticity of Patients With Stroke Through Voluntary Movement}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {2}, pages = {742--752}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2022.3221639}, doi = {10.1109/JBHI.2022.3221639}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeHHYLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002GCSRSVWZAAB24, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Antonette Shibani and Disha Shrivastava and Lila Shroff and Agnia Sergeyuk and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia Ha Rim Rho and Zejiang Shen and Pao Siangliulue}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1054:1--1054:35}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642697}, doi = {10.1145/3613904.3642697}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002GCSRSVWZAAB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangSCTMCLCC24, author = {Shun{-}Yu Wang and Wei{-}Chung Su and Serena Chen and Ching{-}Yi Tsai and Marta Misztal and Katherine M. Cheng and Alwena Lin and Yu Chen and Mike Y. Chen}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {RoomDreaming: Generative-AI Approach to Facilitating Iterative, Preliminary Interior Design Exploration}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {379:1--379:20}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642901}, doi = {10.1145/3613904.3642901}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WangSCTMCLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChengCCH24, author = {Kai{-}Cheng Cheng and Soon{-}Jyh Chang and Chung{-}Chieh Chen and Shuo{-}Hong Hung}, title = {9.7 {A} 94.3dB {SNDR} 184dB FoMs 4\({}^{\mbox{th}}\)-Order Noise-Shaping {SAR} {ADC} with Dynamic-Amplifier-Assisted Cascaded Integrator}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {180--182}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454362}, doi = {10.1109/ISSCC49657.2024.10454362}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChengCCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhwaWWSCKCHCCLLHTC24, author = {Win{-}San Khwa and Ping{-}Chun Wu and Jui{-}Jen Wu and Jian{-}Wei Su and Ho{-}Yu Chen and Zhao{-}En Ke and Ting{-}Chien Chiu and Jun{-}Ming Hsu and Chiao{-}Yen Cheng and Yu{-}Chen Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {34.2 {A} 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3TOPS/W and 33.2-91.2TFLOPS/W for AI-Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {568--570}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454447}, doi = {10.1109/ISSCC49657.2024.10454447}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhwaWWSCKCHCCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24, author = {Ming{-}En Shih and Shih{-}Wei Hsieh and Ping{-}Yuan Tsai and Ming{-}Hung Lin and Pei{-}Kuei Tsung and En{-}Jui Chang and Jenwei Liang and Shu{-}Hsin Chang and Chung{-}Lun Huang and You{-}Yu Nian and Zhe Wan and Sushil Kumar and Cheng{-}Xin Xue and Gajanan Jedhe and Hidehiro Fujiwara and Haruki Mori and Chih{-}Wei Chen and Po{-}Hua Huang and Chih{-}Feng Juan and Chung{-}Yi Chen and Tsung{-}Yao Lin and Ch Wang and Chih{-}Cheng Chen and Kevin Jou}, title = {20.1 {NVE:} {A} 3nm 23.2TOPS/W 12b-Digital-CIM-Based Neural Engine for High-Resolution Visual-Quality Enhancement on Smart Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {360--362}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454482}, doi = {10.1109/ISSCC49657.2024.10454482}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShihHTLTCLCHNWKXJFMCHJCLWCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WenHKHKCWCHLLHTTCCCC24, author = {Tai{-}Hao Wen and Hung{-}Hsi Hsu and Win{-}San Khwa and Wei{-}Hsing Huang and Zhao{-}En Ke and Yu{-}Hsiang Chin and Hua{-}Jin Wen and Yu{-}Chen Chang and Wei{-}Ting Hsu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shih{-}Hsih Teng and Chung{-}Cheng Chou and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {34.8 {A} 22nm 16Mb Floating-Point ReRAM Compute-in-Memory Macro with 31.2TFLOPS/W for {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {580--582}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454468}, doi = {10.1109/ISSCC49657.2024.10454468}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WenHKHKCWCHLLHTTCCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChengCHHCCWKMAL24, author = {Chih{-}Hsien Cheng and Po{-}Lun Chen and Pin{-}Wei Ho and Yu{-}Heng Hong and Shih{-}Chen Chen and Shu{-}Wei Chang and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Atsushi Matsumoto and Kouichi Akahane and Gong{-}Ru Lin}, title = {Low-Divergent 940-nm Photonic-Crystal Surface-Emitting Laser for Short-Reach Free-Space Data Link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526703}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChengCHHCCWKMAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01608, author = {Nessrine Trabelsi and Lamia Chaari Fourati and Chung Shue Chen}, title = {Interference Management in 5G and Beyond Networks}, journal = {CoRR}, volume = {abs/2401.01608}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01608}, doi = {10.48550/ARXIV.2401.01608}, eprinttype = {arXiv}, eprint = {2401.01608}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01608.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09679, author = {Jian Chen and Mingcong Chen and Qingxiang Zhao and Shuai Wang and Yihe Wang and Ying Xiao and Jian Hu and Danny Tat Ming Chan and Kam Tong Leo Yeung and David Yuen Chung Chan and Hongbin Liu}, title = {Design and Visual Servoing Control of a Hybrid Dual-Segment Flexible Neurosurgical Robot for Intraventricular Biopsy}, journal = {CoRR}, volume = {abs/2402.09679}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09679}, doi = {10.48550/ARXIV.2402.09679}, eprinttype = {arXiv}, eprint = {2402.09679}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07460, author = {Camila Fernandez and Chung Shue Chen and Pierre Gaillard and Alonso Silva}, title = {Experimental Comparison of Ensemble Methods and Time-to-Event Analysis Models Through Integrated Brier Score and Concordance Index}, journal = {CoRR}, volume = {abs/2403.07460}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07460}, doi = {10.48550/ARXIV.2403.07460}, eprinttype = {arXiv}, eprint = {2403.07460}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07460.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08337, author = {Maonan Wang and Aoyu Pang and Yuheng Kan and Man{-}On Pun and Chung Shue Chen and Bo Huang}, title = {LLM-Assisted Light: Leveraging Large Language Model Capabilities for Human-Mimetic Traffic Signal Control in Complex Urban Environments}, journal = {CoRR}, volume = {abs/2403.08337}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08337}, doi = {10.48550/ARXIV.2403.08337}, eprinttype = {arXiv}, eprint = {2403.08337}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08337.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12062, author = {Lou Salaun and Hong Yang and Shashwat Mishra and Chung Shue Chen}, title = {A {GNN} Approach for Cell-Free Massive {MIMO}}, journal = {CoRR}, volume = {abs/2403.12062}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12062}, doi = {10.48550/ARXIV.2403.12062}, eprinttype = {arXiv}, eprint = {2403.12062}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14117, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Agnia Sergeyuk and Antonette Shibani and Disha Shrivastava and Lila Shroff and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia H. Rho and Shannon Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, journal = {CoRR}, volume = {abs/2403.14117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14117}, doi = {10.48550/ARXIV.2403.14117}, eprinttype = {arXiv}, eprint = {2403.14117}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04887, author = {Qingshan Hou and Shuai Cheng and Peng Cao and Jinzhu Yang and Xiaoli Liu and Osmar R. Za{\"{\i}}ane and Yih Chung Tham}, title = {A Clinical-oriented Multi-level Contrastive Learning Method for Disease Diagnosis in Low-quality Medical Images}, journal = {CoRR}, volume = {abs/2404.04887}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04887}, doi = {10.48550/ARXIV.2404.04887}, eprinttype = {arXiv}, eprint = {2404.04887}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04887.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09981, author = {Chung Shue Chen and Peter Keevash and Sean Kennedy and {\'{E}}lie de Panafieu and Adrian Vetta}, title = {Robot Positioning Using Torus Packing for Multisets}, journal = {CoRR}, volume = {abs/2404.09981}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09981}, doi = {10.48550/ARXIV.2404.09981}, eprinttype = {arXiv}, eprint = {2404.09981}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-04146, author = {Wei{-}Bin Kou and Qingfeng Lin and Ming Tang and Sheng Xu and Rongguang Ye and Yang Leng and Shuai Wang and Zhenyu Chen and Guangxu Zhu and Yik{-}Chung Wu}, title = {pFedLVM: {A} Large Vision Model (LVM)-Driven and Latent Feature-Based Personalized Federated Learning Framework in Autonomous Driving}, journal = {CoRR}, volume = {abs/2405.04146}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.04146}, doi = {10.48550/ARXIV.2405.04146}, eprinttype = {arXiv}, eprint = {2405.04146}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-04146.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16144, author = {Hong{-}Shuo Chen and Yao Zhu and Suya You and Azad M. Madni and C.{-}C. Jay Kuo}, title = {GreenCOD: {A} Green Camouflaged Object Detection Method}, journal = {CoRR}, volume = {abs/2405.16144}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16144}, doi = {10.48550/ARXIV.2405.16144}, eprinttype = {arXiv}, eprint = {2405.16144}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16144.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/WangSLWCLCOC23, author = {Jia{-}Chi Wang and Yi{-}Chung Shu and Che{-}Yu Lin and Wei{-}Ting Wu and Lan{-}Rong Chen and Yu{-}Cheng Lo and Hsiao{-}Chi Chiu and Levent {\"{O}}z{\c{c}}akar and Ke{-}Vin Chang}, title = {Application of deep learning algorithms in automatic sonographic localization and segmentation of the median nerve: {A} systematic review and meta-analysis}, journal = {Artif. Intell. Medicine}, volume = {137}, pages = {102496}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102496}, doi = {10.1016/J.ARTMED.2023.102496}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/WangSLWCLCOC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/HsuHSHCCWCLCLTLCL23, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Ling Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {A dual-purpose deep learning model for auscultated lung and tracheal sound analysis based on mixed set training}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {B}}, pages = {105222}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105222}, doi = {10.1016/J.BSPC.2023.105222}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/HsuHSHCCWCLCLTLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/LoYLLCYCWLLHCJL23, author = {Chung{-}Ming Lo and Yi{-}Wen Yang and Jen{-}Kou Lin and Tzu{-}Chen Lin and Wei{-}Shone Chen and Shung{-}Haur Yang and Shih{-}Ching Chang and Huann{-}Sheng Wang and Yuan{-}Tzu Lan and Hung{-}Hsin Lin and Sheng{-}Chieh Huang and Hou{-}Hsuan Cheng and Jeng{-}Kai Jiang and Chun{-}Chi Lin}, title = {Modeling the survival of colorectal cancer patients based on colonoscopic features in a feature ensemble vision transformer}, journal = {Comput. Medical Imaging Graph.}, volume = {107}, pages = {102242}, year = {2023}, url = {https://doi.org/10.1016/j.compmedimag.2023.102242}, doi = {10.1016/J.COMPMEDIMAG.2023.102242}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/LoYLLCYCWLLHCJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/CaoYLZCLS23, author = {Si{-}Yuan Cao and Beinan Yu and Lun Luo and Runmin Zhang and Shu{-}Jie Chen and Chunguang Li and Hui{-}Liang Shen}, title = {PCNet: {A} structure similarity enhancement method for multispectral and multimodal image registration}, journal = {Inf. Fusion}, volume = {94}, pages = {200--214}, year = {2023}, url = {https://doi.org/10.1016/j.inffus.2023.02.004}, doi = {10.1016/J.INFFUS.2023.02.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/CaoYLZCLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/PanWCC23, author = {Chung{-}Long Pan and Shuming T. Wang and Ping{-}Cheng Chen and Yen{-}Fu Chen}, title = {Design of 2.45GHz Circularly Polarized Tag Antenna}, journal = {J. Commun.}, volume = {18}, number = {10}, pages = {608--612}, year = {2023}, url = {https://doi.org/10.12720/jcm.18.10.608-612}, doi = {10.12720/JCM.18.10.608-612}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcm/PanWCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmrr/DharmarajanPSHCMYHLFGG23, author = {Karthik Dharmarajan and Will Panitch and Baiyu Shi and Huang Huang and Lawrence Yunliang Chen and Masoud Moghani and Qinxi Yu and Kush Hari and Thomas Low and Danyal Fer and Animesh Garg and Ken Goldberg}, title = {Robot-Assisted Vascular Shunt Insertion with the dVRK Surgical Robot}, journal = {J. Medical Robotics Res.}, volume = {8}, number = {3{\&}4}, pages = {2340006:1--2340006:15}, year = {2023}, url = {https://doi.org/10.1142/S2424905X23400068}, doi = {10.1142/S2424905X23400068}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmrr/DharmarajanPSHCMYHLFGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiuCHLLTCH23, author = {Min{-}Yang Chiu and Guan{-}Cheng Chen and Tzu{-}Hsiang Hsu and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A Multimode Vision Sensor With Temporal Contrast Pixel and Column-Parallel Local Binary Pattern Extraction for Dynamic Depth Sensing Using Stereo Vision}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2767--2777}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3292051}, doi = {10.1109/JSSC.2023.3292051}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiuCHLLTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuCCLLTCH23, author = {Tzu{-}Hsiang Hsu and Guan{-}Cheng Chen and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A 0.8 {V} Intelligent Vision Sensor With Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {3266--3274}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3285734}, doi = {10.1109/JSSC.2023.3285734}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HsuCCLLTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungWHHCSKLLHTC23, author = {Je{-}Min Hung and Tai{-}Hao Wen and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {8-b Precision 8-Mb ReRAM Compute-in-Memory Macro Using Direct-Current-Free Time-Domain Readout Scheme for {AI} Edge Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {303--315}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200515}, doi = {10.1109/JSSC.2022.3200515}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HungWHHCSKLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Tianlong Pan and Chuan{-}Jia Jhang and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 8-b-Precision 6T {SRAM} Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {877--892}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3199077}, doi = {10.1109/JSSC.2022.3199077}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChenH0JKSC23, author = {Yi{-}Chung Chen and Zhi{-}Kai Huang and Lu Pang and Jian{-}Yu Jiang{-}Lin and Chia{-}Han Kuo and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Seeing the unseen: Wifi-based 2D human pose estimation via an evolving attentive spatial-Frequency network}, journal = {Pattern Recognit. Lett.}, volume = {171}, pages = {21--27}, year = {2023}, url = {https://doi.org/10.1016/j.patrec.2023.04.020}, doi = {10.1016/J.PATREC.2023.04.020}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/ChenH0JKSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangHCT23, author = {Yung{-}Chung Wang and Yi{-}Chun Houng and Han{-}Xuan Chen and Shu{-}Ming Tseng}, title = {Network Anomaly Intrusion Detection Based on Deep Learning Approach}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2171}, year = {2023}, url = {https://doi.org/10.3390/s23042171}, doi = {10.3390/S23042171}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangHCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LiuWLZCX23, author = {Fang Liu and Wing Shing Wong and Yuan{-}Hsun Lo and Yijin Zhang and Chung Shue Chen and Guoliang Xing}, title = {Age of Information for Periodic Status Updates Under Sequence Based Scheduling}, journal = {{IEEE} Trans. Commun.}, volume = {71}, number = {10}, pages = {5963--5978}, year = {2023}, url = {https://doi.org/10.1109/TCOMM.2023.3297738}, doi = {10.1109/TCOMM.2023.3297738}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/LiuWLZCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhangWYGCKCLB23, author = {Bingtao Zhang and Weimin Wu and Yong Yang and Ning Gao and Jianming Chen and Eftichios Koutroulis and Henry Shu{-}Hung Chung and Marco Liserre and Frede Blaabjerg}, title = {A Novel Simplified Finite Control Set Repeat Model Predictive Control for Grid-Connected Inverters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {11}, pages = {11324--11333}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231252}, doi = {10.1109/TIE.2022.3231252}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ZhangWYGCKCLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhangQCRCP23, author = {Tianzhu Zhang and Han Qiu and Gabriele Castellano and Myriana Rifai and Chung Shue Chen and Fabio Pianese}, title = {System Log Parsing: {A} Survey}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {8}, pages = {8596--8614}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2022.3222417}, doi = {10.1109/TKDE.2022.3222417}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/ZhangQCRCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HoSGC23, author = {Siu{-}Wai Ho and Abdullah A. Saed and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Sinusoidal-Based Multiple Access Scheme for Visible Light Decentralized Asynchronous Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {2}, pages = {2175--2188}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3212476}, doi = {10.1109/TVT.2022.3212476}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HoSGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhengLZXCWC23, author = {Shuo Zheng and Bojie Lv and Tong Zhang and Yinfei Xu and Gaojie Chen and Rui Wang and P. C. Ching}, title = {On DoF of Active RIS-Assisted {MIMO} Interference Channel With Arbitrary Antenna Configurations: When Will {RIS} Help?}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {12}, pages = {16828--16833}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3294529}, doi = {10.1109/TVT.2023.3294529}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ZhengLZXCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ZhangDZCL23, author = {Wenheng Zhang and Mahsa Derakhshani and Gan Zheng and Chung Shue Chen and Sangarapillai Lambotharan}, title = {Bayesian Optimization of Queuing-Based Multichannel {URLLC} Scheduling}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {3}, pages = {1763--1778}, year = {2023}, url = {https://doi.org/10.1109/TWC.2022.3206421}, doi = {10.1109/TWC.2022.3206421}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ZhangDZCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/GuoSLC23, author = {Yongna Guo and Chi Wan Sung and Ye Liu and Chung Shue Chen}, title = {Maximum Completion Time Optimization in Uplink Multi-Subcarrier {NOMA} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {12}, number = {7}, pages = {1214--1218}, year = {2023}, url = {https://doi.org/10.1109/LWC.2023.3267816}, doi = {10.1109/LWC.2023.3267816}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/GuoSLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/XieCSC23, author = {Hongxia Xie and Hua Chung and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Learning to Prompt for Vision-Language Emotion Recognition}, booktitle = {11th International Conference on Affective Computing and Intelligent Interaction, {ACII} 2023 - Workshops and Demos, Cambridge, MA, USA, September 10-13, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ACIIW59127.2023.10388165}, doi = {10.1109/ACIIW59127.2023.10388165}, timestamp = {Wed, 31 Jan 2024 20:36:45 +0100}, biburl = {https://dblp.org/rec/conf/acii/XieCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KuanLHLCCCL23, author = {Chun{-}Yi Kuan and Chen{-}An Li and Tsu{-}Yuan Hsu and Tse{-}Yang Lin and Ho{-}Lam Chung and Kai{-}Wei Chang and Shuo{-}Yiin Chang and Hung{-}Yi Lee}, title = {Towards General-Purpose Text-Instruction-Guided Voice Conversion}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389672}, doi = {10.1109/ASRU57964.2023.10389672}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/KuanLHLCCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/0004ZXLGLPW023, author = {Cong Shi and Tianfang Zhang and Zhaoyi Xu and Shuping Li and Donglin Gao and Changming Li and Athina P. Petropulu and Chung{-}Tse Michael Wu and Yingying Chen}, editor = {Weizhi Meng and Christian Damsgaard Jensen and Cas Cremers and Engin Kirda}, title = {Privacy Leakage via Speech-induced Vibrations on Room Objects through Remote Sensing based on Phased-MIMO}, booktitle = {Proceedings of the 2023 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2023, Copenhagen, Denmark, November 26-30, 2023}, pages = {75--89}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3576915.3616634}, doi = {10.1145/3576915.3616634}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/0004ZXLGLPW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cores/HeSCHHL23, author = {Guan{-}Yi He and Chi{-}Ping Su and Chung{-}Shuo Chen and Yao{-}Sung Hsiang and Wei{-}Huan Hu and Shin{-}Jye Lee}, editor = {Robert Burduk and Michal Choras and Rafal Kozik and Pawel Ksieniewicz and Tomasz Marciniak and Pawel Trajdos}, title = {Actinic Keratosis Prediction Based on Deep Learning Methods}, booktitle = {Progress on Pattern Classification, Image Processing and Communications - Proceedings of the {CORES} and IP{\&}C Conferences 2023, Wroc{\l}aw, Poland}, series = {Lecture Notes in Networks and Systems}, volume = {766}, pages = {111--120}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-41630-9\_12}, doi = {10.1007/978-3-031-41630-9\_12}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cores/HeSCHHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23, author = {Mingdeng Cao and Chong Mou and Fanghua Yu and Xintao Wang and Yinqiang Zheng and Jian Zhang and Chao Dong and Gen Li and Ying Shan and Radu Timofte and Xiaopeng Sun and Weiqi Li and Zhenyu Zhang and Xuhan Sheng and Bin Chen and Haoyu Ma and Ming Cheng and Shijie Zhao and Wanwan Cui and Tianyu Xu and Chunyang Li and Long Bao and Heng Sun and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Renlong Wu and Yi Yang and Zhilu Zhang and Shuohao Zhang and Junyi Li and Yunjin Chen and Dongwei Ren and Wangmeng Zuo and Qian Wang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Wei{-}Ting Chen and Yuan{-}Chun Chiang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Zebin Zhang and Jiaqi Zhang and Yuhui Wang and Shuhao Cui and Junshi Huang and Li Zhu and Shuman Tian and Wei Yu and Bingchun Luo}, title = {{NTIRE} 2023 Challenge on 360{\textdegree} Omnidirectional Image and Video Super-Resolution: Datasets, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1731--1745}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00174}, doi = {10.1109/CVPRW59228.2023.00174}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23, author = {Alina Shutova and Egor I. Ershov and Georgy Perevozchikov and Ivan Ermakov and Nikola Banic and Radu Timofte and Richard Collins and Maria Efimova and Arseniy P. Terekhin and Simone Zini and Claudio Rota and Marco Buzzelli and Simone Bianco and Raimondo Schettini and Chunxia Lei and Tingniao Wang and Song Wang and Shuai Liu and Chaoyu Feng and Guangqi Shao and Hao Wang and Xiaotao Wang and Lei Lei and Lu Xu and Chao Zhang and Yasi Wang and Jin Guo and Yangfan Sun and Tianli Liu and Hao Dejun and Furkan Kinli and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Hyerin Chung and Nakyung Lee and Sungkeun Kwak and Marcos V. Conde and Tim Seizinger and Florin{-}Alexandru Vasluianu and Omar Elezabi and Chia{-}Hsuan Hsieh and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Hua{-}En Chang and I{-}Hsiang Chen and Yi{-}Chung Chen and Yuan{-}Chun Chiang}, title = {{NTIRE} 2023 Challenge on Night Photography Rendering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1982--1993}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00192}, doi = {10.1109/CVPRW59228.2023.00192}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChangCKHCMSCW23, author = {Yu{-}Cheng Chang and Pin{-}Hsuan Chao and Yan{-}Ming Kuan and Chiu{-}Jung Huang and Li{-}Fen Chen and Wei{-}Chung Mao and Tung{-}Ping Su and Sin{-}Horng Chen and Chun{-}Shu Wei}, title = {Delay Analysis in Closed-Loop {EEG} Phase-Triggered Transcranial Magnetic Stimulation}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340744}, doi = {10.1109/EMBC40787.2023.10340744}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChangCKHCMSCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/AdjihCGH23, author = {C{\'{e}}dric Adjih and Chung Shue Chen and Chetanveer Sharma Gobin and Iman Hmedoush}, title = {Designing Medium Access Control Protocol Sequences Through Deep Reinforcement Learning}, booktitle = {2023 Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2023, Gothenburg, Sweden, June 6-9, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuCNC/6GSummit58263.2023.10188299}, doi = {10.1109/EUCNC/6GSUMMIT58263.2023.10188299}, timestamp = {Mon, 31 Jul 2023 13:56:43 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/AdjihCGH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/MishraSGC23, author = {Shashwat Mishra and Lou Sala{\"{u}}n and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Connection Throughput Maximization for Grant-Based {NOMA} Massive IoT with Graph Matching}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {2366--2371}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10436951}, doi = {10.1109/GLOBECOM54140.2023.10436951}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/MishraSGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/ChenCHWLH23, author = {Chun{-}Ting Chen and I{-}Fang Chung and Ying{-}Shuo Hsu and Chi{-}Tang Wang and Yun{-}Hsuan Lin and Sheng{-}Yao Huang}, title = {Deep learning for identification of velopharyngeal patency in drug-induced sleep endoscopy}, booktitle = {12th International Conference on Awareness Science and Technology, iCAST 2023, Taichung, Taiwan, November 9-11, 2023}, pages = {151--155}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iCAST57874.2023.10359292}, doi = {10.1109/ICAST57874.2023.10359292}, timestamp = {Mon, 22 Jan 2024 20:34:12 +0100}, biburl = {https://dblp.org/rec/conf/icawst/ChenCHWLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HungLCTK23, author = {Chi{-}Mao Hung and Shu{-}Cheng Liu and Hsiao{-}Lung Cheng and Pei{-}Lin Tien and Wen{-}Chung Kao}, title = {Design of Timing Controller of Electronic Paper with Frame Buffer Reduction}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {703--704}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227000}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227000}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HungLCTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HungLTK23, author = {Chi{-}Mao Hung and Shu{-}Cheng Liu and Pei{-}Lin Tien and Wen{-}Chung Kao}, title = {Electronic Paper Driven with {LCD} Driver Chip}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {701--702}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226684}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226684}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HungLTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/TsaiCC23, author = {Shuen{-}Yu Tsai and Wei{-}Chang Chen and Char{-}Dir Chung}, title = {Iterative Symbol Decision Schemes for {CP-OTFS} on Static Multipath Channels}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2023, Honolulu, HI, USA, February 20-22, 2023}, pages = {704--709}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICNC57223.2023.10074022}, doi = {10.1109/ICNC57223.2023.10074022}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/TsaiCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ChenCSC23, author = {Chieh{-}Yun Chen and Yi{-}Chung Chen and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Size Does Matter: Size-aware Virtual Try-on via Clothing-oriented Transformation Try-on Network}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {7479--7488}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00691}, doi = {10.1109/ICCV51070.2023.00691}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ChenCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/WuCSC23, author = {Wen{-}Jia Wu and Ying{-}Shu Chuang and Chung{-}Hsun Sun and Hsiang{-}Chieh Chen}, title = {Fuzzy Controller Design for Table Tennis Robot to Hit Spinning Balls}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {778--782}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332582}, doi = {10.1109/ICKII58656.2023.10332582}, timestamp = {Thu, 04 Jan 2024 08:13:42 +0100}, biburl = {https://dblp.org/rec/conf/ickii/WuCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnidc/LiCXZLG23, author = {Mingkun Li and Shupeng Cheng and Peng Xu and Xiantian Zhu and Chun{-}Guang Li and Jun Guo}, title = {Unsupervised Long-Term Person Re-Identification with Clothes Change}, booktitle = {8th {IEEE} International Conference on Network Intelligence and Digital Content, {IC-NIDC} 2023, Beijing, China, November 3-5, 2023}, pages = {167--171}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IC-NIDC59918.2023.10390791}, doi = {10.1109/IC-NIDC59918.2023.10390791}, timestamp = {Tue, 13 Feb 2024 21:21:15 +0100}, biburl = {https://dblp.org/rec/conf/icnidc/LiCXZLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/HsuYCUCC23, author = {Wei{-}Chun Hsu and Chao{-}Tung Yang and Hsing{-}Chung Chen and Kai{-}Ming Uang and Yan{-}Ting Chen and Jheng{-}Shun Chen}, editor = {Leonard Barolli}, title = {An AOI-Based Surface Painting Equipment}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023), Toronto, ON, Canada, 5-7 July 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {8--17}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35836-4\_2}, doi = {10.1007/978-3-031-35836-4\_2}, timestamp = {Tue, 20 Jun 2023 15:24:35 +0200}, biburl = {https://dblp.org/rec/conf/imis/HsuYCUCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KouWZ0CNW23, author = {Wei{-}Bin Kou and Shuai Wang and Guangxu Zhu and Bin Luo and Yingxian Chen and Derrick Wing Kwan Ng and Yik{-}Chung Wu}, title = {Communication Resources Constrained Hierarchical Federated Learning for End-to-End Autonomous Driving}, booktitle = {{IROS}}, pages = {9383--9390}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342134}, doi = {10.1109/IROS55552.2023.10342134}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KouWZ0CNW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismr/DharmarajanPSHCLFG23, author = {Karthik Dharmarajan and Will Panitch and Baiyu Shi and Huang Huang and Lawrence Yunliang Chen and Thomas Low and Danyal Fer and Ken Goldberg}, title = {A Trimodal Framework for Robot-Assisted Vascular Shunt Insertion When a Supervising Surgeon is Local, Remote, or Unavailable}, booktitle = {International Symposium on Medical Robotics, {ISMR} 2023, Atlanta, GA, USA, April 19-21, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMR57123.2023.10130195}, doi = {10.1109/ISMR57123.2023.10130195}, timestamp = {Sun, 04 Jun 2023 12:05:04 +0200}, biburl = {https://dblp.org/rec/conf/ismr/DharmarajanPSHCLFG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuKLHCLCPYCLLLHTCCC23, author = {Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and De{-}Qi You and Fang{-}Yi Chen and Andrew Lee and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 8Mb {STT-MRAM} Near-Memory-Computing Macro with 8b-Precision and 46.4-160.1TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {496--497}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067563}, doi = {10.1109/ISSCC42615.2023.10067563}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChiuKLHCLCPYCLLLHTCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSHRCCKHLSLCLLHTC23, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 22nm 832Kb Hybrid-Domain Floating-Point {SRAM} In-Memory-Compute Macro with 16.2-70.2TFLOPS/W for High-Accuracy AI-Edge Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {126--127}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067527}, doi = {10.1109/ISSCC42615.2023.10067527}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSHRCCKHLSLCLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/0004ZXLGLPW023, author = {Cong Shi and Tianfang Zhang and Zhaoyi Xu and Shuping Li and Donglin Gao and Changming Li and Athina P. Petropulu and Chung{-}Tse Michael Wu and Yingying Chen}, editor = {Jie Wu and Suresh Subramaniam and Bo Ji and Carla{-}Fabiana Chiasserini}, title = {Poster: Extracting Speech from Subtle Room Object Vibrations Using Remote mmWave Sensing}, booktitle = {Proceedings of the Twenty-fourth International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, MobiHoc 2023, Washington, DC, USA, October 23-26, 2023}, pages = {306--307}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3565287.3623623}, doi = {10.1145/3565287.3623623}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihoc/0004ZXLGLPW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChenCWC23, author = {Yi{-}Chung Chen and Hsi{-}Wen Chen and Shun{-}Gui Wang and Ming{-}Syan Chen}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{SPACE:} Single-round Participant Amalgamation for Contribution Evaluation in Federated Learning}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/14a812fa4b6bf244d055e37a7cd2f557-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChenCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/LiaoHCLCSHHHCSH23, author = {Yuan{-}Fu Liao and Shaw{-}Hwa Hwang and You{-}Shuo Chen and Han{-}Chun Lai and Yao{-}Hsing Chung and Li{-}Te Shen and Yen{-}Chun Huang and Chi{-}Jung Huang and Hsu Wen Han and Li{-}Wei Chen and Pei{-}Chung Su and Chao{-}Shih Huang}, title = {Taiwanese Hakka Across Taiwan Corpus and Formosa Speech Recognition Challenge 2023 - Hakka {ASR}}, booktitle = {26th Conference of the Oriental {COCOSDA} International Committee for the Co-ordination and Standardisation of Speech Databases and Assessment Techniques, {O-COCOSDA} 2023, Delhi, India, December 4-6, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/O-COCOSDA60357.2023.10482979}, doi = {10.1109/O-COCOSDA60357.2023.10482979}, timestamp = {Wed, 10 Apr 2024 17:21:48 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/LiaoHCLCSHHHCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChenYHWL23, author = {Liang{-}Chi Chen and Shu{-}Qi Yu and Chien{-}Chung Ho and Wei{-}Chen Wang and Yung{-}Chun Li}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {Efficient Sanitization Design for LSM-based Key-Value Store over 3D {MLC} {NAND} Flash}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {72--75}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577780}, doi = {10.1145/3555776.3577780}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChenYHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185326}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185326}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/WenHHWCLCSKWLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/Niu0C23, author = {Guanchong Niu and Qi Cao and Chung Shue Chen}, title = {Vision-Based Target Localization with Cooperative UAVs Towards Indoor Surveillance}, booktitle = {98th {IEEE} Vehicular Technology Conference, {VTC} Fall 2023, Hong Kong, SAR, China, October 10-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Fall60731.2023.10333695}, doi = {10.1109/VTC2023-FALL60731.2023.10333695}, timestamp = {Wed, 10 Jan 2024 16:12:42 +0100}, biburl = {https://dblp.org/rec/conf/vtc/Niu0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1\({}^{\mbox{st}}\) Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {265--302}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00033}, doi = {10.1109/WACVW58289.2023.00033}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/YangLC23, author = {Botao Yang and Ye Liu and Chung Shue Chen}, title = {Uplink Scheduling in a NOMA-Enabled Single-Cell Wireless Network Using Simulated Annealing}, booktitle = {21st International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, WiOpt 2023, Singapore, August 24-27, 2023}, pages = {485--492}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/WiOpt58741.2023.10349821}, doi = {10.23919/WIOPT58741.2023.10349821}, timestamp = {Thu, 25 Jan 2024 09:25:31 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/YangLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/TungLCLC23, author = {Yi{-}Chih Tung and En{-}Cheng Liou and Chung{-}Hsiang Cheng and Tzu{-}Hang Lin and Shu{-}Min Chuang}, title = {Closed-Loop Security Management for Developing {O-RAN} Infrastructure and {B5G} {RIC} Applications}, booktitle = {26th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2023, Tampa, FL, USA, November 19-22, 2023}, pages = {278--281}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WPMC59531.2023.10338980}, doi = {10.1109/WPMC59531.2023.10338980}, timestamp = {Wed, 17 Jan 2024 10:56:10 +0100}, biburl = {https://dblp.org/rec/conf/wpmc/TungLCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-04073, author = {Tianzhu Zhang and Masoud Hemmatpour and Shashwat Mishra and Leonardo Linguaglossa and Dong Zhang and Chung Shue Chen and Marco Mellia and Armen Aghasaryan}, title = {Operationalizing {AI} in Future Networks: {A} Bird's Eye View from the System Perspective}, journal = {CoRR}, volume = {abs/2303.04073}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.04073}, doi = {10.48550/ARXIV.2303.04073}, eprinttype = {arXiv}, eprint = {2303.04073}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-04073.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13869, author = {Xiaolong Chen and Xin Qi and Chunguang Su and Yuan He and Zhijun Wang and Kunxiang Sun and Chao Jin and Weilong Chen and Shuhui Liu and Xiaoying Zhao and Duanyang Jia and Man Yi}, title = {Trend-Based {SAC} Beam Control Method with Zero-Shot in Superconducting Linear Accelerator}, journal = {CoRR}, volume = {abs/2305.13869}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13869}, doi = {10.48550/ARXIV.2305.13869}, eprinttype = {arXiv}, eprint = {2305.13869}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04008, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {Green Steganalyzer: {A} Green Learning Approach to Image Steganalysis}, journal = {CoRR}, volume = {abs/2306.04008}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04008}, doi = {10.48550/ARXIV.2306.04008}, eprinttype = {arXiv}, eprint = {2306.04008}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16169, author = {Wei{-}Bin Kou and Shuai Wang and Guangxu Zhu and Bin Luo and Yingxian Chen and Derrick Wing Kwan Ng and Yik{-}Chung Wu}, title = {Communication Resources Constrained Hierarchical Federated Learning for End-to-End Autonomous Driving}, journal = {CoRR}, volume = {abs/2306.16169}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16169}, doi = {10.48550/ARXIV.2306.16169}, eprinttype = {arXiv}, eprint = {2306.16169}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16169.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14324, author = {Chun{-}Yi Kuan and Chen{-}An Li and Tsu{-}Yuan Hsu and Tse{-}Yang Lin and Ho{-}Lam Chung and Kai{-}Wei Chang and Shuo{-}Yiin Chang and Hung{-}yi Lee}, title = {Towards General-Purpose Text-Instruction-Guided Voice Conversion}, journal = {CoRR}, volume = {abs/2309.14324}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14324}, doi = {10.48550/ARXIV.2309.14324}, eprinttype = {arXiv}, eprint = {2309.14324}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangHQLCW00WYS22, author = {Wei Zhang and Xiaoxuan Han and Shujuan Qiu and Teng Li and Chunguang Chu and Liufang Wang and Jiang Wang and Zhen Zhang and Ruixian Wang and Manyi Yang and Xiao Shen and Zhen Li and Lipeng Bai and Zhuo Li and Rui Zhang and Yanlin Wang and Chen Liu and Xiaodong Zhu}, title = {Analysis of Brain Functional Network Based on {EEG} Signals for Early-Stage Parkinson's Disease Detection}, journal = {{IEEE} Access}, volume = {10}, pages = {21347--21358}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3150561}, doi = {10.1109/ACCESS.2022.3150561}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangHQLCW00WYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LiaoFHCYLC22, author = {Chun{-}Chieh Liao and Po{-}Ying Fu and Chih{-}Wei Huang and Chia{-}Hsien Chuang and Yun Yen and Chung{-}Yen Lin and Shu{-}Hwa Chen}, title = {MetaSquare: an integrated metadatabase of 16S rRNA gene amplicon for microbiome taxonomic classification}, journal = {Bioinform.}, volume = {38}, number = {10}, pages = {2930--2931}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac184}, doi = {10.1093/BIOINFORMATICS/BTAC184}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LiaoFHCYLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/ShiPSCF22, author = {Zhongshun Shi and Yijie Peng and Leyuan Shi and Chun{-}Hung Chen and Michael C. Fu}, title = {Dynamic Sampling Allocation Under Finite Simulation Budget for Feasibility Determination}, journal = {{INFORMS} J. Comput.}, volume = {34}, number = {1}, pages = {557--568}, year = {2022}, url = {https://doi.org/10.1287/ijoc.2020.1057}, doi = {10.1287/IJOC.2020.1057}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informs/ShiPSCF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuSCCHTLLLWCRCW22, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Yen{-}Lin Chung and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Hongwu Jiang and Shanshi Huang and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shimeng Yu and Meng{-}Fan Chang}, title = {Two-Way Transpose Multibit 6T {SRAM} Computing-in-Memory Macro for Inference-Training {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {609--624}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3108344}, doi = {10.1109/JSSC.2021.3108344}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SuSCCHTLLLWCRCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenHC22, author = {Yuh{-}Shyan Chen and Chih{-}Shun Hsu and Ren{-}Shao Chung}, title = {A Semi-Supervised 3D Indoor Localization Using Multi-Kernel Learning for WiFi Networks}, journal = {Sensors}, volume = {22}, number = {3}, pages = {776}, year = {2022}, url = {https://doi.org/10.3390/s22030776}, doi = {10.3390/S22030776}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/LiCZCLHL22, author = {Jianqiang Li and Yanyan Cheng and Jin Zhou and Jie Chen and Zun Liu and Shuqing Hu and Victor C. M. Leung}, title = {Energy-Efficient Ground Traversability Mapping Based on {UAV-UGV} Collaborative System}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {6}, number = {1}, pages = {69--78}, year = {2022}, url = {https://doi.org/10.1109/TGCN.2021.3107291}, doi = {10.1109/TGCN.2021.3107291}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgcn/LiCZCLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LongLCCY22, author = {Zhihe Long and Pengyu Li and Jun Chen and Henry Shu{-}Hung Chung and Zhengbao Yang}, title = {Self-Powered Single-Inductor Rectifier-Less {SSHI} Array Interface With the {MPPT} Technique for Piezoelectric Energy Harvesting}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {10}, pages = {10172--10181}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3139175}, doi = {10.1109/TIE.2021.3139175}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LongLCCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LinLLLCLHF22, author = {Bing{-}Jhang Lin and Yi{-}Ting Lin and Chen{-}Chung Liu and Lue{-}En Lee and Chih{-}Yuan Chuang and An{-}Sheng Liu and Shu{-}Hui Hung and Li{-}Chen Fu}, title = {Mental Status Detection for Schizophrenia Patients via Deep Visual Perception}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {11}, pages = {5704--5715}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3199575}, doi = {10.1109/JBHI.2022.3199575}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LinLLLCLHF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/FangLFSCLSKQMSC22, author = {Huihui Fang and Fei Li and Huazhu Fu and Xu Sun and Xingxing Cao and Fengbin Lin and Jaemin Son and Sunho Kim and Gwenol{\'{e}} Quellec and Sarah Matta and Sharath M. Shankaranarayana and Yi{-}Ting Chen and Chuen{-}heng Wang and Nisarg A. Shah and Chia{-}Yen Lee and Chih{-}Chung Hsu and Hai Xie and Baiying Lei and Ujjwal Baid and Shubham Innani and Kang Dang and Wenxiu Shi and Ravi Kamble and Nitin Singhal and Ching{-}Wei Wang and Shih{-}Chang Lo and Jos{\'{e}} Ignacio Orlando and Hrvoje Bogunovic and Xiulan Zhang and Yanwu Xu}, title = {{ADAM} Challenge: Detecting Age-Related Macular Degeneration From Fundus Images}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {10}, pages = {2828--2847}, year = {2022}, url = {https://doi.org/10.1109/TMI.2022.3172773}, doi = {10.1109/TMI.2022.3172773}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/FangLFSCLSKQMSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhangGSSC22, author = {Mingshan Zhang and Yongna Guo and Lou Sala{\"{u}}n and Chi Wan Sung and Chung Shue Chen}, title = {Proportional Fair Scheduling for Downlink mmWave Multi-User {MISO-NOMA} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {6}, pages = {6308--6321}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3159612}, doi = {10.1109/TVT.2022.3159612}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ZhangGSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/SunNOGCHLP22, author = {Jiao Sun and Anjali Narayan{-}Chen and Shereen Oraby and Shuyang Gao and Tagyoung Chung and Jing Huang and Yang Liu and Nanyun Peng}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Context-Situated Pun Generation}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {4635--4648}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.306}, doi = {10.18653/V1/2022.EMNLP-MAIN.306}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/SunNOGCHLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SalaunYMC22, author = {Lou Sala{\"{u}}n and Hong Yang and Shashwat Mishra and Chung Shue Chen}, title = {A {GNN} Approach for Cell-Free Massive {MIMO}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {3053--3058}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001647}, doi = {10.1109/GLOBECOM48099.2022.10001647}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SalaunYMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SainathHNBWQCPG22, author = {Tara N. Sainath and Yanzhang He and Arun Narayanan and Rami Botros and Weiran Wang and David Qiu and Chung{-}Cheng Chiu and Rohit Prabhavalkar and Alexander Gruenstein and Anmol Gulati and Bo Li and David Rybach and Emmanuel Guzman and Ian McGraw and James Qin and Krzysztof Choromanski and Qiao Liang and Robert David and Ruoming Pang and Shuo{-}Yiin Chang and Trevor Strohman and W. Ronny Huang and Wei Han and Yonghui Wu and Yu Zhang}, title = {Improving The Latency And Quality Of Cascaded Encoders}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {8112--8116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747879}, doi = {10.1109/ICASSP43922.2022.9747879}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SainathHNBWQCPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhuWCSK22, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {A-PixelHop: {A} Green, Robust and Explainable Fake-Image Detector}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {8947--8951}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747901}, doi = {10.1109/ICASSP43922.2022.9747901}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhuWCSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icslt/TsaiFHCL22, author = {Chia{-}Wen Tsai and Chung{-}Shun Feng and Ming{-}Yu Hsiao and Jan{-}Nan Chen and Hsuan Lin}, title = {User Experience Design Evaluation on Remote Virtual Teaching Model and In-person Teaching Model - An Example of Design Education}, booktitle = {{ICSLT} '22: 8th International Conference on e-Society, e-Learning and e-Technologies, Rome, Italy, June 10 - 12, 2022}, pages = {57--62}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3545922.3545932}, doi = {10.1145/3545922.3545932}, timestamp = {Thu, 28 Dec 2023 10:11:06 +0100}, biburl = {https://dblp.org/rec/conf/icslt/TsaiFHCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/BanerjeeCCS22, author = {Subhankar Banerjee and Chung Shue Chen and Marceau Coupechoux and Abhishek Sinha}, title = {Joint Power and Subcarrier Allocation in Multi-Cell Multi-Carrier {NOMA}}, booktitle = {Thirteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2022, Barcelona, Spain, July 5-8, 2022}, pages = {180--185}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICUFN55119.2022.9829596}, doi = {10.1109/ICUFN55119.2022.9829596}, timestamp = {Tue, 26 Jul 2022 15:58:36 +0200}, biburl = {https://dblp.org/rec/conf/icufn/BanerjeeCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ZhangWGKCLCB22, author = {Bingtao Zhang and Weimin Wu and Ning Gao and Eftichis Koutroulis and Jianmin Chen and Gang Lu and Henry Shu{-}Hung Chung and Frede Blaabjerg}, title = {An Improved {DBC-MPC} Strategy for LCL-Filtered Grid-connected Inverters}, booktitle = {{IECON} 2022 - 48th Annual Conference of the {IEEE} Industrial Electronics Society, Brussels, Belgium, October 17-20, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IECON49645.2022.9968666}, doi = {10.1109/IECON49645.2022.9968666}, timestamp = {Wed, 04 Jan 2023 16:48:30 +0100}, biburl = {https://dblp.org/rec/conf/iecon/ZhangWGKCLCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LinCCYCD0MLL22, author = {Guan{-}Ting Lin and Yung{-}Sung Chuang and Ho{-}Lam Chung and Shu{-}Wen Yang and Hsuan{-}Jui Chen and Shuyan Annie Dong and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Lin{-}Shan Lee}, editor = {Hanseok Ko and John H. L. Hansen}, title = {{DUAL:} Discrete Spoken Unit Adaptive Learning for Textless Spoken Question Answering}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {5165--5169}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-612}, doi = {10.21437/INTERSPEECH.2022-612}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LinCCYCD0MLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZHYK22, author = {Hong{-}Shuo Chen and Kaitai Zhang and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {Fake Satellite Image Detection via Parallel Subspace Learning {(PSL)}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1502--1506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937539}, doi = {10.1109/ISCAS48785.2022.9937539}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenZHYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuYTHCWCHLLCP22, author = {Yen{-}Cheng Chiu and Chia{-}Sheng Yang and Shih{-}Hsih Teng and Hsiao{-}Yu Huang and Fu{-}Chun Chang and Yuan Wu and Yu{-}An Chien and Fang{-}Ling Hsieh and Chung{-}Yuan Li and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and Chung{-}Chuan Lo and Win{-}San Khwa and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chieh{-}Pu Lo and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb {STT-MRAM} Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b {MAC} for {AI} Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {178--180}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731621}, doi = {10.1109/ISSCC42614.2022.9731621}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiuYTHCWCHLLCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuCCLLCTH22, author = {Tzu{-}Hsiang Hsu and Guan{-}Cheng Chen and Yi{-}Ren Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Meng{-}Fan Chang and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh}, title = {A 0.8V Intelligent Vision Sensor with Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731675}, doi = {10.1109/ISSCC42614.2022.9731675}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HsuCCLLCTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HungHHCWSKLLHTC22, author = {Je{-}Min Hung and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Tai{-}Hao Wen and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731715}, doi = {10.1109/ISSCC42614.2022.9731715}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HungHHCWSKLLHTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSCHRCWCLHLSCL22, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chih{-}I Wu and Meng{-}Fan Chang}, title = {A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731681}, doi = {10.1109/ISSCC42614.2022.9731681}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSCHRCWCLHLSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/0004ZXLYPWC22, author = {Cong Shi and Tianfang Zhang and Zhaoyi Xu and Shuping Li and Yichao Yuan and Athina P. Petropulu and Chung{-}Tse Michael Wu and Yingying Chen}, editor = {Nirupama Bulusu and Ehsan Aryafar and Aruna Balasubramanian and Junehwa Song}, title = {Speech privacy attack via vibrations from room objects leveraging a phased-MIMO radar}, booktitle = {MobiSys '22: The 20th Annual International Conference on Mobile Systems, Applications and Services, Portland, Oregon, 27 June 2022 - 1 July 2022}, pages = {573--574}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3498361.3538790}, doi = {10.1145/3498361.3538790}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/0004ZXLYPWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ChenYHCCWC22, author = {Liang{-}Chi Chen and Shu{-}Qi Yu and Chien{-}Chung Ho and Yuan{-}Hao Chang and Da{-}Wei Chang and Wei{-}Chen Wang and Yu{-}Ming Chang}, title = {RNA-seq Quantification on Processing in memory Architecture: Observation and Characterization}, booktitle = {11th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2022, Taipei, Taiwan, August 23-25, 2022}, pages = {26--32}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NVMSA56066.2022.00014}, doi = {10.1109/NVMSA56066.2022.00014}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ChenYHCCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/PengHWH22, author = {Cheng{-}Wei Peng and Chen{-}Chien Hsu and Wei{-}Yen Wang and Sheng{-}Chung Huang}, editor = {Jun Jo and Han{-}Lim Choi and Mard{\'{e}} Helbig and Hyondong Oh and Jemin Hwangbo and Chang{-}Hun Lee and Bela Stantic}, title = {Traffic Signal State Broadcasting Over {C-V2X} Communication Technique for Autonomous Shuttle Service}, booktitle = {Robot Intelligence Technology and Applications 7 - Results from the 10th International Conference on Robot Intelligence Technology and Applications, RiTA 2022, Daejeon, South Korea, 7-9 December, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {642}, pages = {245--251}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26889-2\_22}, doi = {10.1007/978-3-031-26889-2\_22}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/PengHWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChangHTLWK022, author = {Yu{-}Ming Chang and Chien{-}Chung Ho and Che{-}Wei Tsao and Shu{-}Hsien Liao and Wei{-}Chen Wang and Tei{-}Wei Kuo and Yuan{-}Hao Chang}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {On enduring more data through enabling page rewrite capability on multi-level-cell flash memory}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {107--115}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507088}, doi = {10.1145/3477314.3507088}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChangHTLWK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MishraSGC22, author = {Shashwat Mishra and Lou Sala{\"{u}}n and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Maximizing Downlink User Connection Density in NOMA-aided NB-IoT Networks Through a Graph Matching Approach}, booktitle = {96th Vehicular Technology Conference, {VTC} Fall 2022, London, United Kingdom, September 26-29, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Fall57202.2022.10012847}, doi = {10.1109/VTC2022-FALL57202.2022.10012847}, timestamp = {Tue, 24 Jan 2023 16:39:10 +0100}, biburl = {https://dblp.org/rec/conf/vtc/MishraSGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07983, author = {Huihui Fang and Fei Li and Huazhu Fu and Xu Sun and Xingxing Cao and Fengbin Lin and Jaemin Son and Sunho Kim and Gwenol{\'{e}} Quellec and Sarah Matta and Sharath M. Shankaranarayana and Yi{-}Ting Chen and Chuen{-}heng Wang and Nisarg A. Shah and Chia{-}Yen Lee and Chih{-}Chung Hsu and Hai Xie and Baiying Lei and Ujjwal Baid and Shubham Innani and Kang Dang and Wenxiu Shi and Ravi Kamble and Nitin Singhal and Jos{\'{e}} Ignacio Orlando and Hrvoje Bogunovic and Xiulan Zhang and Yanwu Xu}, title = {{ADAM} Challenge: Detecting Age-related Macular Degeneration from Fundus Images}, journal = {CoRR}, volume = {abs/2202.07983}, year = {2022}, url = {https://arxiv.org/abs/2202.07983}, eprinttype = {arXiv}, eprint = {2202.07983}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07983.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04911, author = {Guan{-}Ting Lin and Yung{-}Sung Chuang and Ho{-}Lam Chung and Shu{-}Wen Yang and Hsuan{-}Jui Chen and Shuyan Dong and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {{DUAL:} Discrete Spoken Unit Adaptive Learning for Textless Spoken Question Answering}, journal = {CoRR}, volume = {abs/2203.04911}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04911}, doi = {10.48550/ARXIV.2203.04911}, eprinttype = {arXiv}, eprint = {2203.04911}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04911.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00120, author = {Subhankar Banerjee and Chung Shue Chen and Marceau Coupechoux and Abhishek Sinha}, title = {Joint Power and Subcarrier Allocation in Multi-Cell Multi-Carrier {NOMA}}, journal = {CoRR}, volume = {abs/2204.00120}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00120}, doi = {10.48550/ARXIV.2204.00120}, eprinttype = {arXiv}, eprint = {2204.00120}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-00211, author = {Hong{-}Shuo Chen and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop++: An Enhanced Lightweight Deepfake Detector}, journal = {CoRR}, volume = {abs/2205.00211}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.00211}, doi = {10.48550/ARXIV.2205.00211}, eprinttype = {arXiv}, eprint = {2205.00211}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-00211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-13522, author = {Jiao Sun and Anjali Narayan{-}Chen and Shereen Oraby and Shuyang Gao and Tagyoung Chung and Jing Huang and Yang Liu and Nanyun Peng}, title = {Context-Situated Pun Generation}, journal = {CoRR}, volume = {abs/2210.13522}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.13522}, doi = {10.48550/ARXIV.2210.13522}, eprinttype = {arXiv}, eprint = {2210.13522}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-13522.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14191, author = {Aditya Nandy and Shuwen Yue and Changhwan Oh and Chenru Duan and Gianmarco G. Terrones and Yongchul G. Chung and Heather J. Kulik}, title = {A Database of Ultrastable MOFs Reassembled from Stable Fragments with Machine Learning Models}, journal = {CoRR}, volume = {abs/2210.14191}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14191}, doi = {10.48550/ARXIV.2210.14191}, eprinttype = {arXiv}, eprint = {2210.14191}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01096, author = {Ruiyuan Lin and Sheng Liu and Jun Jiang and Shujun Li and Chengqing Li and C.{-}C. Jay Kuo}, title = {Recovering Sign Bits of {DCT} Coefficients in Digital Images as an Optimization Problem}, journal = {CoRR}, volume = {abs/2211.01096}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01096}, doi = {10.48550/ARXIV.2211.01096}, eprinttype = {arXiv}, eprint = {2211.01096}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01096.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11951, author = {Shuo Zheng and Bojie Lv and Tong Zhang and Yinfei Xu and Gaojie Chen and Rui Wang and P. C. Ching}, title = {On DoF of Active RIS-Assisted {MIMO} Interference Channel with Arbitrary Antenna Configurations: When Will {RIS} Help?}, journal = {CoRR}, volume = {abs/2211.11951}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11951}, doi = {10.48550/ARXIV.2211.11951}, eprinttype = {arXiv}, eprint = {2211.11951}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13508, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1st Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, journal = {CoRR}, volume = {abs/2211.13508}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13508}, doi = {10.48550/ARXIV.2211.13508}, eprinttype = {arXiv}, eprint = {2211.13508}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13508.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-14277, author = {Tianzhu Zhang and Han Qiu and Gabriele Castellano and Myriana Rifai and Chung Shue Chen and Fabio Pianese}, title = {System Log Parsing: {A} Survey}, journal = {CoRR}, volume = {abs/2212.14277}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.14277}, doi = {10.48550/ARXIV.2212.14277}, eprinttype = {arXiv}, eprint = {2212.14277}, timestamp = {Sun, 08 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-14277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenZH21a, author = {Shu{-}Chuan Chen and Jia{-}Le Zhu and Chung{-}I G. Hsu}, title = {Compact Double Shorted Loop Sub-6-GHz Dual-Band {MIMO} Quad-Antenna System}, journal = {{IEEE} Access}, volume = {9}, pages = {114672--114679}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3104306}, doi = {10.1109/ACCESS.2021.3104306}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenZH21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangCCCCL21, author = {Peng{-}Yu Huang and Wan{-}Shu Cheng and Ju{-}Chin Chen and Wen{-}Yu Chung and Young{-}Lin Chen and Kawuu W. Lin}, title = {A Distributed Method for Fast Mining Frequent Patterns From Big Data}, journal = {{IEEE} Access}, volume = {9}, pages = {135144--135159}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3115514}, doi = {10.1109/ACCESS.2021.3115514}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuangCCCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/LinWKCC21, author = {Chia{-}Hung Lin and Jian{-}Xing Wu and Chung{-}Dann Kan and Pi{-}Yun Chen and Wei{-}Ling Chen}, title = {Arteriovenous shunt stenosis assessment based on empirical mode decomposition and 1D-convolutional neural network: Clinical trial stage}, journal = {Biomed. Signal Process. Control.}, volume = {66}, pages = {102461}, year = {2021}, url = {https://doi.org/10.1016/j.bspc.2021.102461}, doi = {10.1016/J.BSPC.2021.102461}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/LinWKCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/TangMHKLLHCWTLP21, author = {Shu{-}Yu Tang and Hsi{-}Pin Ma and Chi{-}Sheng Hung and Ping{-}Hung Kuo and Chen Lin and Men{-}Tzung Lo and Hsao{-}Hsun Hsu and Yu{-}Wei Chiu and Cho{-}Kai Wu and Cheng{-}Hsuan Tsai and Yen{-}Tin Lin and Chung{-}Kang Peng and Yen{-}Hung Lin}, title = {The Value of Heart Rhythm Complexity in Identifying High-Risk Pulmonary Hypertension Patients}, journal = {Entropy}, volume = {23}, number = {6}, pages = {753}, year = {2021}, url = {https://doi.org/10.3390/e23060753}, doi = {10.3390/E23060753}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/TangMHKLLHCWTLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ChenZH21, author = {Shu{-}Chuan Chen and Jia{-}Le Zhu and Chung{-}I G. Hsu}, title = {Compact closed-slot eight-antenna system for 5G laptops with a metal back cover}, journal = {Int. J. Commun. Syst.}, volume = {34}, number = {18}, year = {2021}, url = {https://doi.org/10.1002/dac.4986}, doi = {10.1002/DAC.4986}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcomsys/ChenZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/JuanWLCYCS21, author = {Chun{-}Jung Juan and Chen{-}Shu Wang and Bo{-}Yi Lee and Shang{-}Yu Chiang and Chun{-}Chang Yeh and Der{-}Yang Cho and Wu{-}Chung Shen}, title = {Integration of Genetic Programming and {TABU} Search Mechanism for Automatic Detection of Magnetic Resonance Imaging in Cervical Spondylosis}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {6}, number = {7}, pages = {109}, year = {2021}, url = {https://doi.org/10.9781/ijimai.2021.08.006}, doi = {10.9781/IJIMAI.2021.08.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/JuanWLCYCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/PengCFHR21, author = {Yijie Peng and Chun{-}Hung Chen and Michael C. Fu and Jian{-}Qiang Hu and Ilya O. Ryzhov}, title = {Efficient Sampling Allocation Procedures for Optimal Quantile Selection}, journal = {{INFORMS} J. Comput.}, volume = {33}, number = {1}, pages = {230--245}, year = {2021}, url = {https://doi.org/10.1287/ijoc.2019.0946}, doi = {10.1287/IJOC.2019.0946}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informs/PengCFHR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiuWWCWW21, author = {Dan Liu and Shuai Wang and Zhigang Wen and Lei Cheng and Miaowen Wen and Yik{-}Chung Wu}, title = {Edge Learning With Unmanned Ground Vehicle: Joint Path, Energy, and Sample Size Planning}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {4}, pages = {2959--2975}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3023000}, doi = {10.1109/JIOT.2020.3023000}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LiuWWCWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MishraSSC21, author = {Shashwat Mishra and Lou Sala{\"{u}}n and Chi Wan Sung and Chung Shue Chen}, title = {Downlink Connection Density Maximization for NB-IoT Networks Using {NOMA} With Perfect and Partial {CSI}}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {14}, pages = {11305--11319}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3051475}, doi = {10.1109/JIOT.2021.3051475}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MishraSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/HeCCC21, author = {Zhengkang He and Eric T. Chung and Jie Chen and Zhangxin Chen}, title = {Generalized multiscale approximation of a multipoint flux mixed finite element method for Darcy-Forchheimer model}, journal = {J. Comput. Appl. Math.}, volume = {391}, pages = {113466}, year = {2021}, url = {https://doi.org/10.1016/j.cam.2021.113466}, doi = {10.1016/J.CAM.2021.113466}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcam/HeCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/TyrylginCVC21, author = {Aleksei Tyrylgin and Yaoyao Chen and Maria V. Vasilyeva and Eric T. Chung}, title = {Multiscale model reduction for the Allen-Cahn problem in perforated domains}, journal = {J. Comput. Appl. Math.}, volume = {381}, pages = {113010}, year = {2021}, url = {https://doi.org/10.1016/j.cam.2020.113010}, doi = {10.1016/J.CAM.2020.113010}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcam/TyrylginCVC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ChenLWKCHLYHJY21, author = {Ta{-}Ching Chen and Wee Shin Lim and Victoria Y. Wang and Mei{-}Lan Ko and Shu{-}I Chiu and Yu{-}Shu Huang and Feipei Lai and Chung{-}May Yang and Fung{-}Rong Hu and Jyh{-}Shing Roger Jang and Chang{-}Hao Yang}, title = {Artificial Intelligence-Assisted Early Detection of Retinitis Pigmentosa - the Most Common Inherited Retinal Degeneration}, journal = {J. Digit. Imaging}, volume = {34}, number = {4}, pages = {948--958}, year = {2021}, url = {https://doi.org/10.1007/s10278-021-00479-6}, doi = {10.1007/S10278-021-00479-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ChenLWKCHLYHJY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/FongSCTSWH21, author = {Cher{-}Min Fong and Ming{-}Hung Shu and Chao{-}Cheng Chung and Tung{-}Lin Tsai and I{-}Sheng Sun and Hui{-}Wen Wang and Pei{-}Chun Hsieh}, title = {Monolingual Consumers' Reactions in Cyber Market to GCCP, FCCP, and {LCCP} Ad Appeals in Taiwan}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {4}, pages = {8623--8637}, year = {2021}, url = {https://doi.org/10.3233/JIFS-189681}, doi = {10.3233/JIFS-189681}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/FongSCTSWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuCCCLLTCH21, author = {Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Min{-}Yang Chiu and Guan{-}Cheng Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A 0.8 {V} Multimode Vision Sensor for Motion and Saliency Detection With Ping-Pong {PWM} Pixel}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {8}, pages = {2516--2524}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3075746}, doi = {10.1109/JSSC.2021.3075746}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HsuCCCLLTCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuCLLTCH21, author = {Tzu{-}Hsiang Hsu and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A 0.5-V Real-Time Computational {CMOS} Image Sensor With Programmable Kernel for Feature Extraction}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {5}, pages = {1588--1596}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3034192}, doi = {10.1109/JSSC.2020.3034192}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HsuCLLTCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SiTHSLWLWLCCSLL21, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Yen{-}Lin Chung and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {A Local Computing Cell and 6T SRAM-Based Computing-in-Memory Macro With 8-b {MAC} Operation for Edge {AI} Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2817--2831}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3073254}, doi = {10.1109/JSSC.2021.3073254}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SiTHSLWLWLCCSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuCKWLCSCW21, author = {Yue{-}Ming Wu and Hao{-}Chung Chou and Cheng{-}Yung Ke and Chien{-}Cheng Wang and Chien{-}Te Li and Li{-}Han Chang and Borching Su and Ta{-}Shun Chu and Yu{-}Jiu Wang}, title = {An X-Band {CMOS} Digital Phased Array Radar from Hardware to Software}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7382}, year = {2021}, url = {https://doi.org/10.3390/s21217382}, doi = {10.3390/S21217382}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WuCKWLCSCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/YiYGHC21, author = {Hai{-}Cheng Yi and Zhu{-}Hong You and Zhen{-}Hao Guo and De{-}Shuang Huang and Keith C. C. Chan}, title = {Learning Representation of Molecules in Association Network for Predicting Intermolecular Associations}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {18}, number = {6}, pages = {2546--2554}, year = {2021}, url = {https://doi.org/10.1109/TCBB.2020.2973091}, doi = {10.1109/TCBB.2020.2973091}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/YiYGHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/LiHLCLS21, author = {Jianqiang Li and Shuqing Hu and Qinjian Li and Jie Chen and Victor C. M. Leung and Houbing Song}, title = {Global Visual and Semantic Observations for Outdoor Robot Localization}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {8}, number = {4}, pages = {2909--2921}, year = {2021}, url = {https://doi.org/10.1109/TNSE.2020.3045263}, doi = {10.1109/TNSE.2020.3045263}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/LiHLCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/DumasSHAC21, author = {Charles Dumas and Lou Sala{\"{u}}n and Iman Hmedoush and C{\'{e}}dric Adjih and Chung Shue Chen}, title = {Design of Coded Slotted {ALOHA} With Interference Cancellation Errors}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {12}, pages = {12742--12757}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3120069}, doi = {10.1109/TVT.2021.3120069}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/DumasSHAC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/FengC21, author = {Chung{-}Shun Feng and Chien{-}Hsiung Chen}, editor = {Tareq Z. Ahram and Christianne Soares Falc{\~{a}}o}, title = {Exploring the Interactive Mode and User Experience of Dining Space Alone During the Post-Covid-19 Period from the Perspective of Cultural Probes}, booktitle = {Advances in Usability, User Experience, Wearable and Assistive Technology - Proceedings of the {AHFE} 2021 Virtual Conferences on Usability and User Experience, Human Factors and Wearable Technologies, Human Factors in Virtual Environments and Game Design, and Human Factors and Assistive Technology, July 25-29, 2021, {USA}}, series = {Lecture Notes in Networks and Systems}, volume = {275}, pages = {1018--1026}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80091-8\_121}, doi = {10.1007/978-3-030-80091-8\_121}, timestamp = {Wed, 25 Aug 2021 14:57:21 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/FengC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WuKKWHLTL21, author = {Hsin{-}Yu Wu and Wei{-}Tse Kao and Harrison Hao{-}Yu Ku and Cheng{-}Te Wang and Chih{-}Cheng Hsieh and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chung{-}Chuan Lo}, title = {A Bio-Inspired Motion Detection Circuit Model for the Computation of Optical Flow: The Spatial-Temporal Filtering Reichardt Model}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458450}, doi = {10.1109/AICAS51828.2021.9458450}, timestamp = {Fri, 25 Jun 2021 11:56:02 +0200}, biburl = {https://dblp.org/rec/conf/aicas/WuKKWHLTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WuYWWYHLTL21, author = {Wen{-}Chieh Wu and Chen{-}Fu Yeh and Alexander James White and Cheng{-}Te Wang and Zuo{-}Wei Yeh and Chih{-}Cheng Hsieh and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chung{-}Chuan Lo}, title = {Integer Quadratic Integrate-and-Fire {(IQIF):} {A} Neuron Model for Digital Neuromorphic Systems}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458572}, doi = {10.1109/AICAS51828.2021.9458572}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/WuYWWYHLTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangTSCTWTYL21, author = {Kai{-}En Yang and Chia{-}Yu Tsai and Hung{-}Hao Shen and Chen{-}Feng Chiang and Feng{-}Ming Tsai and Chung{-}An Wang and Yiju Ting and Chia{-}Shun Yeh and Chin{-}Tang Lai}, title = {Trust-Region Method with Deep Reinforcement Learning in Analog Design Space Exploration}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1225--1230}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586087}, doi = {10.1109/DAC18074.2021.9586087}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangTSCTWTYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/LiCCLWHTCHCLH21, author = {Katherine Shu{-}Min Li and Leon Li{-}Yang Chen and Ken Chau{-}Cheung Cheng and Peter Yi{-}Yu Liao and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Nova Cheng{-}Yen Tsai and Leon Chou and Gus Chang{-}Hung Han and Jwu E. Chen and Hsing{-}Chung Liang and Chun{-}Lung Hsu}, title = {Automatic Inspection for Wafer Defect Pattern Recognition with Unsupervised Clustering}, booktitle = {26th {IEEE} European Test Symposium, {ETS} 2021, Bruges, Belgium, May 24-28, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ETS50041.2021.9465457}, doi = {10.1109/ETS50041.2021.9465457}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ets/LiCCLWHTCHCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/MishraSCG21, author = {Shashwat Mishra and Lou Sala{\"{u}}n and Chung Shue Chen and Giridhar Krishnamurthy}, title = {Analysis of Downlink Connectivity in NB-IoT Networks Employing {NOMA} with Imperfect {SIC}}, booktitle = {Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2021, Porto, Portugal, June 8-11, 2021}, pages = {520--525}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EuCNC/6GSummit51104.2021.9482531}, doi = {10.1109/EUCNC/6GSUMMIT51104.2021.9482531}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eucnc/MishraSCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/YanLWZLCC21, author = {Shun Yan and Zhengyan Liu and Yun Wang and Chenglong Zeng and Qiang Liu and Bowen Cheng and Ray C. C. Cheung}, title = {An FPGA-based MobileNet Accelerator Considering Network Structure Characteristics}, booktitle = {31st International Conference on Field-Programmable Logic and Applications, {FPL} 2021, Dresden, Germany, August 30 - Sept. 3, 2021}, pages = {17--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FPL53798.2021.00011}, doi = {10.1109/FPL53798.2021.00011}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/YanLWZLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsdm/HuangCCW21, author = {Minchuan Huang and I Ping Chen and Shuying Chung and Aiguo Wang}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros}, title = {The Employment Senson System of College Students}, booktitle = {Fuzzy Systems and Data Mining {VII} - Proceedings of {FSDM} 2021, Virtual Event, 26-29 October 2021}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {340}, pages = {454--459}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/FAIA210219}, doi = {10.3233/FAIA210219}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fsdm/HuangCCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiGYSCNCPHQ0LZS21, author = {Bo Li and Anmol Gulati and Jiahui Yu and Tara N. Sainath and Chung{-}Cheng Chiu and Arun Narayanan and Shuo{-}Yiin Chang and Ruoming Pang and Yanzhang He and James Qin and Wei Han and Qiao Liang and Yu Zhang and Trevor Strohman and Yonghui Wu}, title = {A Better and Faster end-to-end Model for Streaming {ASR}}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5634--5638}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413899}, doi = {10.1109/ICASSP39728.2021.9413899}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LiGYSCNCPHQ0LZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuCLCSHNHGWP21, author = {Jiahui Yu and Chung{-}Cheng Chiu and Bo Li and Shuo{-}Yiin Chang and Tara N. Sainath and Yanzhang He and Arun Narayanan and Wei Han and Anmol Gulati and Yonghui Wu and Ruoming Pang}, title = {FastEmit: Low-Latency Streaming {ASR} with Sequence-Level Emission Regularization}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {6004--6008}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413803}, doi = {10.1109/ICASSP39728.2021.9413803}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YuCLCSHNHGWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NiuZGPC21, author = {Guanchong Niu and Junpeng Zhang and Sheng Guo and Man{-}On Pun and Chung Shue Chen}, title = {UAV-Enabled 3D Indoor Positioning and Navigation Based on {VLC}}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500633}, doi = {10.1109/ICC42927.2021.9500633}, timestamp = {Mon, 09 Aug 2021 11:13:44 +0200}, biburl = {https://dblp.org/rec/conf/icc/NiuZGPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdh/0008AMBCIA21, author = {Lin He and Kazi Shafiul Alam and Jiachen Ma and Eric Burkholder and William Cheng{-}Chung Chu and Anik Iqbal and Sheikh Iqbal Ahamed}, title = {Remote Photoplethysmography Heart Rate Variability Detection Using Signal to Noise Ratio Bandpass Filtering}, booktitle = {{IEEE} International Conference on Digital Health, {ICDH} 2021, Chicago, IL, USA, September 5-10, 2021}, pages = {133--141}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDH52753.2021.00025}, doi = {10.1109/ICDH52753.2021.00025}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdh/0008AMBCIA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenRGHYK21, author = {Hong{-}Shuo Chen and Mozhdeh Rouhsedaghat and Hamza Ghani and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop: {A} Light-Weight High-Performance Deepfake Detector}, booktitle = {2021 {IEEE} International Conference on Multimedia and Expo, {ICME} 2021, Shenzhen, China, July 5-9, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICME51207.2021.9428361}, doi = {10.1109/ICME51207.2021.9428361}, timestamp = {Thu, 03 Feb 2022 12:45:49 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenRGHYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/XiaoWGKCB21, author = {Chengqi Xiao and Weimin Wu and Ning Gao and Eftichios Koutroulis and Henry Shu{-}Hung Chung and Frede Blaabjerg}, title = {Fault Diagnosis and Reconfiguration for {H6} Grid-Tied Inverter Using Kalman Filter}, booktitle = {{IECON} 2021 - 47th Annual Conference of the {IEEE} Industrial Electronics Society, Toronto, ON, Canada, October 13-16, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IECON48115.2021.9589334}, doi = {10.1109/IECON48115.2021.9589334}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/XiaoWGKCB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChungLRLSY21, author = {Chiao{-}Teng Jordan Chung and Chih{-}Cheng Lu and Wei{-}Shu Rih and Ching{-}Feng Lee and Cheng{-}Ming Shih and Yu{-}Li Yeh}, title = {An Ultra-low Power Voice Interface Design for {MEMS} Microphones Sensor}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639861}, doi = {10.1109/SENSORS47087.2021.9639861}, timestamp = {Wed, 14 Dec 2022 15:07:35 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChungLRLSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SainathHNBPRAVQ21, author = {Tara N. Sainath and Yanzhang He and Arun Narayanan and Rami Botros and Ruoming Pang and David Rybach and Cyril Allauzen and Ehsan Variani and James Qin and Quoc{-}Nam Le{-}The and Shuo{-}Yiin Chang and Bo Li and Anmol Gulati and Jiahui Yu and Chung{-}Cheng Chiu and Diamantino Caseiro and Wei Li and Qiao Liang and Pat Rondon}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {An Efficient Streaming Non-Recurrent On-Device End-to-End Model with Improvements to Rare-Word Modeling}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {1777--1781}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-206}, doi = {10.21437/INTERSPEECH.2021-206}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SainathHNBPRAVQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaims/HuangCC21, author = {Minchuan Huang and Shuying Chung and I Ping Chen}, title = {A nursing strategy for thoracic catheterization of pneumonia}, booktitle = {{ISAIMS} 2021: 2nd International Symposium on Artificial Intelligence for Medicine Sciences, Beijing, China, October 29 - 31, 2021}, pages = {257--262}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3500931.3500977}, doi = {10.1145/3500931.3500977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isaims/HuangCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChenHXBCA21, author = {Xuhao Chen and Tianhao Huang and Shuotao Xu and Thomas Bourgeat and Chanwoo Chung and Arvind}, title = {FlexMiner: {A} Pattern-Aware Accelerator for Graph Pattern Mining}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {581--594}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00052}, doi = {10.1109/ISCA52012.2021.00052}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/ChenHXBCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuCLLLWCHRPLCSL21, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Tianlong Pan and Sih{-}Han Li and Shih{-}Chieh Chang and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {16.3 {A} 28nm 384kb 6T-SRAM Computation-in-Memory Macro with 8b Precision for {AI} Edge Chips}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {250--252}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365984}, doi = {10.1109/ISSCC42613.2021.9365984}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuCLLLWCHRPLCSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHKHHCCLJSKLL21, author = {Cheng{-}Xin Xue and Je{-}Min Hung and Hui{-}Yao Kao and Yen{-}Hsiang Huang and Sheng{-}Po Huang and Fu{-}Chun Chang and Peng Chen and Ta{-}Wei Liu and Chuan{-}Jia Jhang and Chin{-}I Su and Win{-}San Khwa and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny {AI} Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {245--247}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365769}, doi = {10.1109/ISSCC42613.2021.9365769}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueHKHHCCLJSKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenLJWHCLH21, author = {Leon Li{-}Yang Chen and Katherine Shu{-}Min Li and Xu{-}Hao Jiang and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Jwu E. Chen and Hsing{-}Chung Liang and Chun{-}Lung Hsu}, title = {Semi-Supervised Framework for Wafer Defect Pattern Recognition with Enhanced Labeling}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {208--212}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00029}, doi = {10.1109/ITC50571.2021.00029}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChenLJWHCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/0004SJC21, author = {Yanjun Li and Xiaofeng Su and Huatong Jiang and Chung Shue Chen}, title = {Throughput Maximization for Wireless Powered Communication: Reinforcement Learning Approaches}, booktitle = {29th {IEEE/ACM} International Symposium on Quality of Service, {IWQOS} 2021, Tokyo, Japan, June 25-28, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IWQOS52092.2021.9521268}, doi = {10.1109/IWQOS52092.2021.9521268}, timestamp = {Tue, 31 Aug 2021 11:01:32 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/0004SJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChenJLH21, author = {Ming{-}Shu Chen and Mao{-}Jhen Jhou and Chi{-}Jie Lu and Chung{-}Chih Hung}, editor = {Michelle Honey and Charlene Ronquillo and Ting{-}Ting Lee and Lucy Westbrooke}, title = {The Health Check-Up Data-Analysis for Risk Assessment of Chronic Kidney Disease {(CKD)} in Taiwan}, booktitle = {Nurses and Midwives in the Digital Age - Selected Papers, Posters and Panels from the 15th International Congress in Nursing Informatics, Virtual Event, 23 August - 2 September 2021}, series = {Studies in Health Technology and Informatics}, volume = {284}, pages = {77--79}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI210671}, doi = {10.3233/SHTI210671}, timestamp = {Fri, 11 Nov 2022 13:13:05 +0100}, biburl = {https://dblp.org/rec/conf/ni/ChenJLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/FanCZWD21, author = {Chunguang Fan and Shuitian Chen and Pengyue Zhao and Jianwei Wu and Zongquan Deng}, title = {Hover Performance Experimental Setups for a Miniature Mars Rotorcraft: Design and Preliminary Experiments}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2021, Xining, China, July 15-19, 2021}, pages = {827--832}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RCAR52367.2021.9517676}, doi = {10.1109/RCAR52367.2021.9517676}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rcar/FanCZWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsa/ZhangSC21, author = {Mingshan Zhang and Lou Sala{\"{u}}n and Chung Shue Chen}, title = {mmWave {MISO-NOMA} User Fair Scheduling}, booktitle = {25th International {ITG} Workshop on Smart Antennas, {WSA} 2021, French Riviera, France, 10-12 November 2021}, pages = {1--4}, publisher = {{VDE} Berlag / {IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9739152}, timestamp = {Mon, 23 May 2022 16:41:07 +0200}, biburl = {https://dblp.org/rec/conf/wsa/ZhangSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03049, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Chao{-}Jung Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Lichin Chen and Yen{-}Chun Lai and Bi{-}Fang Hsu and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Yi{-}Lin Wu and Tzu{-}Ling Tzeng and Ching{-}Ting Tseng and Yi{-}Tsun Chen and Feipei Lai}, title = {Benchmarking of eight recurrent neural network variants for breath phase and adventitious sound detection on a self-developed open-access lung sound database-HF{\_}Lung{\_}V1}, journal = {CoRR}, volume = {abs/2102.03049}, year = {2021}, url = {https://arxiv.org/abs/2102.03049}, eprinttype = {arXiv}, eprint = {2102.03049}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-04062, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Feipei Lai}, title = {An Update of a Progressively Expanded Database for Automated Lung Sound Analysis}, journal = {CoRR}, volume = {abs/2102.04062}, year = {2021}, url = {https://arxiv.org/abs/2102.04062}, eprinttype = {arXiv}, eprint = {2102.04062}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-04062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-06929, author = {Hong{-}Shuo Chen and Mozhdeh Rouhsedaghat and Hamza Ghani and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop: {A} Light-Weight High-Performance Deepfake Detector}, journal = {CoRR}, volume = {abs/2103.06929}, year = {2021}, url = {https://arxiv.org/abs/2103.06929}, eprinttype = {arXiv}, eprint = {2103.06929}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-06929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-05940, author = {Kaitai Zhang and Bin Wang and Hong{-}Shuo Chen and Ye Wang and Shiyu Mou and C.{-}C. Jay Kuo}, title = {Dynamic Texture Synthesis by Incorporating Long-range Spatial and Temporal Correlations}, journal = {CoRR}, volume = {abs/2104.05940}, year = {2021}, url = {https://arxiv.org/abs/2104.05940}, eprinttype = {arXiv}, eprint = {2104.05940}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-05940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021}, url = {https://arxiv.org/abs/2105.07809}, eprinttype = {arXiv}, eprint = {2105.07809}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-05124, author = {Si{-}Yuan Cao and Hui{-}Liang Shen and Lun Luo and Shu{-}Jie Chen and Chunguang Li}, title = {PCNet: {A} Structure Similarity Enhancement Method for Multispectral and Multimodal Image Registration}, journal = {CoRR}, volume = {abs/2106.05124}, year = {2021}, url = {https://arxiv.org/abs/2106.05124}, eprinttype = {arXiv}, eprint = {2106.05124}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-05124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01152, author = {Junya Chen and Zhe Gan and Xuan Li and Qing Guo and Liqun Chen and Shuyang Gao and Tagyoung Chung and Yi Xu and Belinda Zeng and Wenlian Lu and Fan Li and Lawrence Carin and Chenyang Tao}, title = {Simpler, Faster, Stronger: Breaking The log-K Curse On Contrastive Learners With FlatNCE}, journal = {CoRR}, volume = {abs/2107.01152}, year = {2021}, url = {https://arxiv.org/abs/2107.01152}, eprinttype = {arXiv}, eprint = {2107.01152}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04229, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {Improved Breath Phase and Continuous Adventitious Sound Detection in Lung and Tracheal Sound Using Mixed Set Training and Domain Adaptation}, journal = {CoRR}, volume = {abs/2107.04229}, year = {2021}, url = {https://arxiv.org/abs/2107.04229}, eprinttype = {arXiv}, eprint = {2107.04229}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04229.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09795, author = {Hong{-}Shuo Chen and Kaitai Zhang and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {Geo-DefakeHop: High-Performance Geographic Fake Image Detection}, journal = {CoRR}, volume = {abs/2110.09795}, year = {2021}, url = {https://arxiv.org/abs/2110.09795}, eprinttype = {arXiv}, eprint = {2110.09795}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-04012, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {A-PixelHop: {A} Green, Robust and Explainable Fake-Image Detector}, journal = {CoRR}, volume = {abs/2111.04012}, year = {2021}, url = {https://arxiv.org/abs/2111.04012}, eprinttype = {arXiv}, eprint = {2111.04012}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-04012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCHL20, author = {Shu{-}Chuan Chen and Lung{-}Chi Chou and Chung{-}I G. Hsu and Sheng{-}Min Li}, title = {Compact Sub-6-GHz Four-Element {MIMO} Slot Antenna System for 5G Tablet Devices}, journal = {{IEEE} Access}, volume = {8}, pages = {154652--154662}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3016649}, doi = {10.1109/ACCESS.2020.3016649}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChungCY20, author = {Kuo{-}Liang Chung and Jen{-}Shun Cheng and Hong{-}Bin Yang}, title = {Effective Chroma Subsampling and Luma Modification for {RGB} Full-Color Images Using the Multiple Linear Regression Technique}, journal = {{IEEE} Access}, volume = {8}, pages = {118315--118323}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999910}, doi = {10.1109/ACCESS.2020.2999910}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChungCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangCLLCZLKSLL20, author = {Che{-}Hsuan Huang and Shu Hsiu Chang and Been{-}Yu Liaw and Cheng{-}Yi Liu and Chia{-}Yueh Chou and Jia{-}Rou Zhou and Chien{-}Chung Lin and Hao{-}Chung Kuo and Li{-}jun Song and Feng Li and Xinke Liu}, title = {Research on a Novel GaN-Based Converted Mini-LED Backlight Module via a Spectrum-Decouple System}, journal = {{IEEE} Access}, volume = {8}, pages = {138823--138833}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3010026}, doi = {10.1109/ACCESS.2020.3010026}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuangCLLCZLKSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangPCL20, author = {Shun{-}Chung Wang and Hung{-}Yu Pai and Guan{-}Jhu Chen and Yi{-}Hua Liu}, title = {A Fast and Efficient Maximum Power Tracking Combining Simplified State Estimation With Adaptive Perturb and Observe}, journal = {{IEEE} Access}, volume = {8}, pages = {155319--155328}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3019197}, doi = {10.1109/ACCESS.2020.3019197}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/ChenCG20, author = {Yue Chen and Sai{-}Ho Chung and Shu Guo}, title = {Franchising contracts in fashion supply chain operations: models, practices, and real case study}, journal = {Ann. Oper. Res.}, volume = {291}, number = {1}, pages = {83--128}, year = {2020}, url = {https://doi.org/10.1007/s10479-018-2998-5}, doi = {10.1007/S10479-018-2998-5}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/ChenCG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/Chen0ZZ20, author = {Jian Chen and Michael C. Fu and Wenhong Zhang and Junhua Zheng}, title = {Predictive Modeling for Epidemic Outbreaks: {A} New Approach and {COVID-19} Case Study}, journal = {Asia Pac. J. Oper. Res.}, volume = {37}, number = {3}, pages = {2050028:1--2050028:21}, year = {2020}, url = {https://doi.org/10.1142/S0217595920500281}, doi = {10.1142/S0217595920500281}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apjor/Chen0ZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LinWLCCH20, author = {Hao{-}Chiang Koong Lin and Tao{-}Hua Wang and Guo{-}Chung Lin and Shu{-}Chen Cheng and Hong{-}Ren Chen and Yueh{-}Min Huang}, title = {Applying sentiment analysis to automatically classify consumer comments concerning marketing 4Cs aspects}, journal = {Appl. Soft Comput.}, volume = {97}, number = {Part}, pages = {106755}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106755}, doi = {10.1016/J.ASOC.2020.106755}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LinWLCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChenZH20, author = {Chung{-}Ming Chen and Shu{-}Wei Zhang and Chih{-}Yu Hsu}, title = {A sonography image processing system for tumour segmentation}, journal = {Enterp. Inf. Syst.}, volume = {14}, number = {2}, pages = {159--177}, year = {2020}, url = {https://doi.org/10.1080/17517575.2019.1575985}, doi = {10.1080/17517575.2019.1575985}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ChenZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/ChenCHS20, author = {Jie Chen and Eric T. Chung and Zhengkang He and Shuyu Sun}, title = {Generalized multiscale approximation of mixed finite elements with velocity elimination for subsurface flow}, journal = {J. Comput. Phys.}, volume = {404}, year = {2020}, url = {https://doi.org/10.1016/j.jcp.2019.109133}, doi = {10.1016/J.JCP.2019.109133}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/ChenCHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/FuZSSC20, author = {Yaru Fu and Mingshan Zhang and Lou Sala{\"{u}}n and Chi Wan Sung and Chung Shue Chen}, title = {Zero-Forcing Oriented Power Minimization for Multi-Cell {MISO-NOMA} Systems: {A} Joint User Grouping, Beamforming, and Power Control Perspective}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {38}, number = {8}, pages = {1925--1940}, year = {2020}, url = {https://doi.org/10.1109/JSAC.2020.3000825}, doi = {10.1109/JSAC.2020.3000825}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/FuZSSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/msom/ChenTY20, author = {Wenlin Chen and Chung{-}Li Tseng and Shu{-}Jung Sunny Yang}, title = {Improving Hand Hygiene Process Compliance Through Process Monitoring in Healthcare}, journal = {Manuf. Serv. Oper. Manag.}, volume = {22}, number = {4}, pages = {669--682}, year = {2020}, url = {https://doi.org/10.1287/msom.2018.0768}, doi = {10.1287/MSOM.2018.0768}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/msom/ChenTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LiuSCHCLCLWCCC20, author = {Shu{-}Hsuan Liu and Pei{-}Chun Shen and Chen{-}Yang Chen and An{-}Ni Hsu and Yi{-}Chun Cho and Yo{-}Liang Lai and Fang{-}Hsin Chen and Chia{-}Yang Li and Shu{-}Chi Wang and Ming Chen and I{-}Fang Chung and Wei{-}Chung Cheng}, title = {DriverDBv3: a multi-omics database for cancer driver gene research}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D863--D870}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz964}, doi = {10.1093/NAR/GKZ964}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LiuSCHCLCLWCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/ShihLWWCSLC20, author = {Chi{-}Tin Shih and Yen{-}Jen Lin and Cheng{-}Te Wang and Ting{-}Yuan Wang and Chih{-}Chen Chen and Ta{-}Shun Su and Chung{-}Chuan Lo and Ann{-}Shyn Chiang}, title = {Diverse Community Structures in the Neuronal-Level Connectome of the Drosophila Brain}, journal = {Neuroinformatics}, volume = {18}, number = {2}, pages = {267--281}, year = {2020}, url = {https://doi.org/10.1007/s12021-019-09443-w}, doi = {10.1007/S12021-019-09443-W}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ni/ShihLWWCSLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcands/ChungLC20, author = {Kuo{-}Liang Chung and Tzu{-}Yi Liu and Jen{-}Shun Cheng}, title = {Novel and Optimal Luma Modification-Based Chroma Downsampling for Bayer Color Filter Array Images}, journal = {{IEEE} Open J. Circuits Syst.}, volume = {1}, pages = {48--59}, year = {2020}, url = {https://doi.org/10.1109/OJCAS.2020.2996624}, doi = {10.1109/OJCAS.2020.2996624}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcands/ChungLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HuangSHWC20, author = {Mu{-}Jung Huang and Hsiu{-}Shu Sung and Tsu{-}Jen Hsieh and Ming{-}Cheng Wu and Shao{-}Hsi Chung}, title = {Applying data-mining techniques for discovering association rules}, journal = {Soft Comput.}, volume = {24}, number = {11}, pages = {8069--8075}, year = {2020}, url = {https://doi.org/10.1007/s00500-019-04163-4}, doi = {10.1007/S00500-019-04163-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/HuangSHWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/FanHTHC20, author = {Shu{-}Kai S. Fan and Chia{-}Yu Hsu and Du{-}Ming Tsai and Fei He and Chun{-}Chung Cheng}, title = {Data-Driven Approach for Fault Detection and Diagnostic in Semiconductor Manufacturing}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {17}, number = {4}, pages = {1925--1936}, year = {2020}, url = {https://doi.org/10.1109/TASE.2020.2983061}, doi = {10.1109/TASE.2020.2983061}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/FanHTHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangLKSL20, author = {Cheng Wang and Jun Li and Lingjun Kong and Feng Shu and Francis C. M. Lau}, title = {Adaptive 2-D Scheduling-Based Nonbinary Majority-Logic Decoding for {NAND} Flash Memory}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {7}, pages = {1349--1353}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2935031}, doi = {10.1109/TCSII.2019.2935031}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangLKSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenWGCLB20, author = {Xiaotao Chen and Weimin Wu and Ning Gao and Henry Shu{-}Hung Chung and Marco Liserre and Frede Blaabjerg}, title = {Finite Control Set Model Predictive Control for LCL-Filtered Grid-Tied Inverter With Minimum Sensors}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {12}, pages = {9980--9990}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2962444}, doi = {10.1109/TIE.2019.2962444}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChenWGCLB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/CaoSCL20, author = {Si{-}Yuan Cao and Hui{-}Liang Shen and Shu{-}Jie Chen and Chunguang Li}, title = {Boosting Structure Consistency for Multispectral and Multimodal Image Registration}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {5147--5162}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.2980972}, doi = {10.1109/TIP.2020.2980972}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/CaoSCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChiouWLHCL20, author = {Chien{-}Yu Chiou and Wei{-}Cheng Wang and Shueh{-}Chou Lu and Chun{-}Rong Huang and Pau{-}Choo Chung and Yun{-}Yang Lai}, title = {Driver Monitoring Using Sparse Representation With Part-Based Temporal Face Descriptors}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {21}, number = {1}, pages = {346--361}, year = {2020}, url = {https://doi.org/10.1109/TITS.2019.2892155}, doi = {10.1109/TITS.2019.2892155}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/ChiouWLHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ChengTWWP20, author = {Lei Cheng and Xueke Tong and Shuai Wang and Yik{-}Chung Wu and H. Vincent Poor}, title = {Learning Nonnegative Factors From Tensor Data: Probabilistic Modeling and Inference Algorithm}, journal = {{IEEE} Trans. Signal Process.}, volume = {68}, pages = {1792--1806}, year = {2020}, url = {https://doi.org/10.1109/TSP.2020.2975353}, doi = {10.1109/TSP.2020.2975353}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/ChengTWWP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/SalaunCC20, author = {Lou Sala{\"{u}}n and Marceau Coupechoux and Chung Shue Chen}, title = {Joint Subcarrier and Power Allocation in {NOMA:} Optimal and Approximate Algorithms}, journal = {{IEEE} Trans. Signal Process.}, volume = {68}, pages = {2215--2230}, year = {2020}, url = {https://doi.org/10.1109/TSP.2020.2982786}, doi = {10.1109/TSP.2020.2982786}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/SalaunCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChengLHLCTWLCLL20, author = {Ken Chau{-}Cheung Cheng and Katherine Shu{-}Min Li and Andrew Yi{-}Ann Huang and Ji{-}Wei Li and Leon Li{-}Yang Chen and Nova Cheng{-}Yen Tsai and Sying{-}Jyan Wang and Chen{-}Shiun Lee and Leon Chou and Peter Yi{-}Yu Liao and Hsing{-}Chung Liang and Jwu E. Chen}, title = {Wafer-Level Test Path Pattern Recognition and Test Characteristics for Test-Induced Defect Diagnosis}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1710--1711}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116546}, doi = {10.23919/DATE48585.2020.9116546}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChengLHLCTWLCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HsiaoLLHLCC20, author = {Chiu{-}Han Hsiao and Ting{-}Wei Lin and Chii{-}Wann Lin and Fu{-}Shun Hsu and Frank Yeong{-}Sung Lin and Chung{-}Wei Chen and Chi{-}Ming Chung}, title = {Breathing Sound Segmentation and Detection Using Transfer Learning Techniques on an Attention-Based Encoder-Decoder Architecture}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {754--759}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176226}, doi = {10.1109/EMBC44109.2020.9176226}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HsiaoLLHLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/RexhausenCP20, author = {Till Rexhausen and Chung Shue Chen and Fabio Pianese}, title = {Indoor Localization Using Multi-Color Fingerprinting}, booktitle = {22nd {IEEE} International Conference on E-health Networking, Application {\&} Services, HealthCom 2020, Shenzhen, China, March 1-2, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HEALTHCOM49281.2021.9398986}, doi = {10.1109/HEALTHCOM49281.2021.9398986}, timestamp = {Thu, 22 Apr 2021 14:37:45 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/RexhausenCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SainathHLNPBCLA20, author = {Tara N. Sainath and Yanzhang He and Bo Li and Arun Narayanan and Ruoming Pang and Antoine Bruguier and Shuo{-}Yiin Chang and Wei Li and Raziel Alvarez and Zhifeng Chen and Chung{-}Cheng Chiu and David Garcia and Alexander Gruenstein and Ke Hu and Anjuli Kannan and Qiao Liang and Ian McGraw and Cal Peyser and Rohit Prabhavalkar and Golan Pundak and David Rybach and Yuan Shangguan and Yash Sheth and Trevor Strohman and Mirk{\'{o}} Visontai and Yonghui Wu and Yu Zhang and Ding Zhao}, title = {A Streaming On-Device End-To-End Model Surpassing Server-Side Conventional Model Quality and Latency}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {6059--6063}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054188}, doi = {10.1109/ICASSP40776.2020.9054188}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SainathHLNPBCLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccmb/HuFLH20, author = {Tsu{-}Wu Hu and Chung{-}Shun Feng and Cheng{-}Yu Liu and Chia{-}Cheng Hsu}, title = {Research on self-management experience of audio-visual streaming platform takes {NETFLIX} as an example}, booktitle = {{ICCMB} 2020: The 3rd International Conference on Computers in Management and Business, Tokyo, Japan, January 31 - February 2, 2020}, pages = {273--276}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3383845.3383852}, doi = {10.1145/3383845.3383852}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccmb/HuFLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/WangCPL20, author = {Shun{-}Chung Wang and Guan{-}Jhu Chen and Hung{-}Yu Pai and Yi{-}Hua Liu}, title = {A Fast Equalizer with Mechanisms of Bidirectional Energy Transfer and Balancing Current Control}, booktitle = {3rd {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2020, Kaohsiung, Taiwan, August 21-23, 2020}, pages = {313--316}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICKII50300.2020.9318956}, doi = {10.1109/ICKII50300.2020.9318956}, timestamp = {Tue, 02 Feb 2021 11:57:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/WangCPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChengWLRXYQ20, author = {Yuchen Cheng and Chunghsuan Wu and Yanqiang Liu and Rui Ren and Hong Xu and Bin Yang and Zhengwei Qi}, editor = {Jos{\'{e}} Nelson Amaral and Lizy Kurian John and Xipeng Shen}, title = {{OPS:} Optimized Shuffle Management System for Apache Spark}, booktitle = {{ICPP} 2020: 49th International Conference on Parallel Processing, Edmonton, AB, Canada, August 17-20, 2020}, pages = {75:1--75:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3404397.3404430}, doi = {10.1145/3404397.3404430}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChengWLRXYQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ChengWCBEZ20, author = {Yanqi Cheng and Weimin Wu and Henry S. H. Chung and Frede Blaabjerg and Eftichios Koutroulis and Lixun Zhu}, title = {Using Kalman Filter to Achieve Online Estimation of Equivalent Grid Impedance and High Bandwidth Control for LCL-Filtered Grid-tied Inverters}, booktitle = {The 46th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2020, Singapore, October 18-21, 2020}, pages = {4247--4252}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IECON43393.2020.9254598}, doi = {10.1109/IECON43393.2020.9254598}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/ChengWCBEZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCHS20, author = {Yu{-}Shyang Huang and Hung{-}Chen Chen and Ping{-}Hsuan Hsieh and Yi{-}Chung Shu}, title = {A Calibration Technique for P-SSHI-Phi Interface for Piezoelectric Energy Harvesting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180966}, doi = {10.1109/ISCAS45731.2020.9180966}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuangCHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/PaiHCLWL20, author = {Hung{-}Yu Pai and Kun{-}Che Ho and Guan{-}Jhu Chen and Po{-}Han Liao and Shun{-}Chung Wang and Yi{-}Hua Liu}, title = {An SOC-based Active Equalizer for Fast Charge Balance of Series-Connected Battery Pack}, booktitle = {29th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2020, Delft, The Netherlands, June 17-19, 2020}, pages = {655--659}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISIE45063.2020.9152488}, doi = {10.1109/ISIE45063.2020.9152488}, timestamp = {Thu, 06 Aug 2020 10:33:09 +0200}, biburl = {https://dblp.org/rec/conf/isie/PaiHCLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliCPYYAGLWCENT20, author = {Tamer A. Ali and Ehung Chen and Henry Park and Ramy Yousry and Yu{-}Ming Ying and Mohammed Abdullatif and Miguel Gandara and Chun{-}Cheng Liu and Po{-}Shuan Weng and Huan{-}Sheng Chen and Mohammad Elbadry and Qaiser Nehal and Kun{-}Hung Tsai and Kevin Tan and Yi{-}Chieh Huang and Chung{-}Hsien Tsai and Yuyun Chang and Yuan{-}Hao Tung}, title = {6.2 {A} 460mW 112Gb/s DSP-Based Transceiver with 38dB Loss Compensation for Next-Generation Data Centers in 7nm FinFET Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {118--120}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062925}, doi = {10.1109/ISSCC19947.2020.9062925}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliCPYYAGLWCENT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuCWTWYSCLLTCH20, author = {Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Jun{-}Shen Wu and Wen{-}Chien Ting and Cheng{-}Te Wang and Chen{-}Fu Yeh and Syuan{-}Hao Sie and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {5.9 {A} 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong {PWM} Pixel}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {110--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062926}, doi = {10.1109/ISSCC19947.2020.9062926}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsuCWTWYSCLLTCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiTHSLWLWLCZSWL20, author = {Xin Si and Yung{-}Ning Tu and Wei{-}Hsing Huang and Jian{-}Wei Su and Pei{-}Jung Lu and Jing{-}Hong Wang and Ta{-}Wei Liu and Ssu{-}Yen Wu and Ruhui Liu and Yen{-}Chi Chou and Zhixiao Zhang and Syuan{-}Hao Sie and Wei{-}Chen Wei and Yun{-}Chen Lo and Tai{-}Hsing Wen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and William Shih and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Yajuan He and Qiang Li and Meng{-}Fan Chang}, title = {15.5 {A} 28nm 64Kb 6T {SRAM} Computing-in-Memory Macro with 8b {MAC} Operation for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {246--248}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062995}, doi = {10.1109/ISSCC19947.2020.9062995}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SiTHSLWLWLCZSWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuSCCHTLLLWZJHL20, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Zhixiao Zhang and Hongwu Jiang and Shanshi Huang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Heng{-}Yuan Lee and Shih{-}Chieh Chang and Shimeng Yu and Meng{-}Fan Chang}, title = {15.2 {A} 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T {SRAM} Compute-in-Memory Macro for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {240--242}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062949}, doi = {10.1109/ISSCC19947.2020.9062949}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuSCCHTLLLWZJHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHLCKWLWHWCHC20, author = {Cheng{-}Xin Xue and Tsung{-}Yuan Huang and Je{-}Syu Liu and Ting{-}Wei Chang and Hui{-}Yao Kao and Jing{-}Hong Wang and Ta{-}Wei Liu and Shih{-}Ying Wei and Sheng{-}Po Huang and Wei{-}Chen Wei and Yi{-}Ren Chen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Yun{-}Chen Lo and Tai{-}Hsing Wen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {15.4 {A} 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit {MAC} Computing for Tiny {AI} Edge Devices}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {244--246}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063078}, doi = {10.1109/ISSCC19947.2020.9063078}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XueHLCKWLWHWCHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/FuFCKLHHLHY20, author = {Hao{-}Lun Fu and Po{-}Hsiang Fang and Chan{-}Yu Chi and Chung{-}ting Kuo and Meng{-}Hsuan Liu and Howard Muchen Hsu and Cheng{-}Hsun Hsieh and Sheng{-}Fu Liang and Shulan Hsieh and Cheng{-}Ta Yang}, title = {Application of Brain-Computer Interface and Virtual Reality in Advancing Cultural Experience}, booktitle = {2020 {IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2020, Macau, China, December 1-4, 2020}, pages = {351--354}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VCIP49819.2020.9301801}, doi = {10.1109/VCIP49819.2020.9301801}, timestamp = {Wed, 27 Jan 2021 14:35:05 +0100}, biburl = {https://dblp.org/rec/conf/vcip/FuFCKLHHLHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MishraSC20, author = {Shashwat Mishra and Lou Sala{\"{u}}n and Chung Shue Chen}, title = {Maximizing Connection Density in NB-IoT Networks with {NOMA}}, booktitle = {91st {IEEE} Vehicular Technology Conference, {VTC} Spring 2020, Antwerp, Belgium, May 25-28, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTC2020-Spring48590.2020.9129542}, doi = {10.1109/VTC2020-SPRING48590.2020.9129542}, timestamp = {Wed, 15 Jul 2020 15:28:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MishraSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/HuHTCLWCCLH20, author = {Dyi{-}Chung Hu and Hirohito Hashimoto and Li{-}Fong Tseng and Ken Chau{-}Cheung Cheng and Katherine Shu{-}Min Li and Sying{-}Jyan Wang and Sean Y.{-}S. Chen and Jwu E. Chen and Clark Liu and Andrew Yi{-}Ann Huang}, title = {Innovative Practice on Wafer Test Innovations}, booktitle = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA, April 5-8, 2020}, pages = {1}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTS48691.2020.9107619}, doi = {10.1109/VTS48691.2020.9107619}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/HuHTCLWCCLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-08820, author = {Camila Fernandez and Chung Shue Chen and Pierre Gaillard and Alonso Silva}, title = {Experimental Comparison of Semi-parametric, Parametric, and Machine Learning Models for Time-to-Event Analysis Through the Concordance Index}, journal = {CoRR}, volume = {abs/2003.08820}, year = {2020}, url = {https://arxiv.org/abs/2003.08820}, eprinttype = {arXiv}, eprint = {2003.08820}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-08820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-12710, author = {Tara N. Sainath and Yanzhang He and Bo Li and Arun Narayanan and Ruoming Pang and Antoine Bruguier and Shuo{-}Yiin Chang and Wei Li and Raziel Alvarez and Zhifeng Chen and Chung{-}Cheng Chiu and David Garcia and Alexander Gruenstein and Ke Hu and Minho Jin and Anjuli Kannan and Qiao Liang and Ian McGraw and Cal Peyser and Rohit Prabhavalkar and Golan Pundak and David Rybach and Yuan Shangguan and Yash Sheth and Trevor Strohman and Mirk{\'{o}} Visontai and Yonghui Wu and Yu Zhang and Ding Zhao}, title = {A Streaming On-Device End-to-End Model Surpassing Server-Side Conventional Model Quality and Latency}, journal = {CoRR}, volume = {abs/2003.12710}, year = {2020}, url = {https://arxiv.org/abs/2003.12710}, eprinttype = {arXiv}, eprint = {2003.12710}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-12710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08934, author = {Zhengkang He and Eric T. Chung and Jie Chen and Zhangxin Chen}, title = {Adaptive generalized multiscale approximation of a mixed finite element method with velocity elimination}, journal = {CoRR}, volume = {abs/2007.08934}, year = {2020}, url = {https://arxiv.org/abs/2007.08934}, eprinttype = {arXiv}, eprint = {2007.08934}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08942, author = {Zhengkang He and Eric T. Chung and Jie Chen and Zhangxin Chen}, title = {Generalized multiscale approximation of a multipoint flux mixed finite element method for Darcy-Forchheimer model}, journal = {CoRR}, volume = {abs/2007.08942}, year = {2020}, url = {https://arxiv.org/abs/2007.08942}, eprinttype = {arXiv}, eprint = {2007.08942}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-03140, author = {Dan Liu and Shuai Wang and Zhigang Wen and Lei Cheng and Miaowen Wen and Yik{-}Chung Wu}, title = {Edge Learning with Unmanned Ground Vehicle: Joint Path, Energy and Sample Size Planning}, journal = {CoRR}, volume = {abs/2009.03140}, year = {2020}, url = {https://arxiv.org/abs/2009.03140}, eprinttype = {arXiv}, eprint = {2009.03140}, timestamp = {Mon, 21 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-03140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11148, author = {Jiahui Yu and Chung{-}Cheng Chiu and Bo Li and Shuo{-}Yiin Chang and Tara N. Sainath and Yanzhang He and Arun Narayanan and Wei Han and Anmol Gulati and Yonghui Wu and Ruoming Pang}, title = {FastEmit: Low-latency Streaming {ASR} with Sequence-level Emission Regularization}, journal = {CoRR}, volume = {abs/2010.11148}, year = {2020}, url = {https://arxiv.org/abs/2010.11148}, eprinttype = {arXiv}, eprint = {2010.11148}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10798, author = {Bo Li and Anmol Gulati and Jiahui Yu and Tara N. Sainath and Chung{-}Cheng Chiu and Arun Narayanan and Shuo{-}Yiin Chang and Ruoming Pang and Yanzhang He and James Qin and Wei Han and Qiao Liang and Yu Zhang and Trevor Strohman and Yonghui Wu}, title = {A Better and Faster End-to-End Model for Streaming {ASR}}, journal = {CoRR}, volume = {abs/2011.10798}, year = {2020}, url = {https://arxiv.org/abs/2011.10798}, eprinttype = {arXiv}, eprint = {2011.10798}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCH19a, author = {Shu{-}Chuan Chen and Cheng{-}Wei Chiang and Chung{-}I G. Hsu}, title = {Compact Four-Element {MIMO} Antenna System for 5G Laptops}, journal = {{IEEE} Access}, volume = {7}, pages = {186056--186064}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2959314}, doi = {10.1109/ACCESS.2019.2959314}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCH19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenXWLCL19, author = {Bo Chen and Qiuhao Xu and Liansheng Wang and Stephanie Leung and Jonathan Chung and Shuo Li}, title = {An Automated and Accurate Spine Curve Analysis System}, journal = {{IEEE} Access}, volume = {7}, pages = {124596--124605}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2938402}, doi = {10.1109/ACCESS.2019.2938402}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenXWLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangCCWLW19, author = {Lanlan Kang and Ruey{-}Shun Chen and Yeh{-}Cheng Chen and Chung{-}Chei Wang and Xingguan Li and Tsu{-}Yang Wu}, title = {Using Cache Optimization Method to Reduce Network Traffic in Communication Systems Based on Cloud Computing}, journal = {{IEEE} Access}, volume = {7}, pages = {124397--124409}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2938044}, doi = {10.1109/ACCESS.2019.2938044}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangCCWLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsaiWSLWHW19, author = {Yi{-}Cheng Tsai and Mu{-}En Wu and Jia{-}Hao Syu and Chin{-}Laung Lei and Chung{-}Shu Wu and Jan{-}Ming Ho and Chuan{-}Ju Wang}, title = {Assessing the Profitability of Timely Opening Range Breakout on Index Futures Markets}, journal = {{IEEE} Access}, volume = {7}, pages = {32061--32071}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899177}, doi = {10.1109/ACCESS.2019.2899177}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TsaiWSLWHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JingLYYC19, author = {Shuwei Jing and Rui Li and Junai Yan and Fudong Yang and Cheng{-}Chung Chen}, title = {Research on optimization of product development value stream}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {10053--10061}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1068-0}, doi = {10.1007/S10586-017-1068-0}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JingLYYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChengHWC19, author = {Shu{-}Feng Cheng and Po{-}Tsang Huang and Li{-}Chun Wang and Mau{-}Chung Frank Chang}, title = {Built-In Self-Test/Repair Methodology for Multiband RF-Interconnected {TSV} 3D Integration}, journal = {{IEEE} Des. Test}, volume = {36}, number = {6}, pages = {63--71}, year = {2019}, url = {https://doi.org/10.1109/MDAT.2019.2932935}, doi = {10.1109/MDAT.2019.2932935}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ChengHWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LeeCLCL19, author = {Cheng{-}Chi Lee and Shun{-}der Chen and Chun{-}Ta Li and Chung{-}Lun Cheng and Yan{-}Ming Lai}, title = {Security enhancement on an {RFID} ownership transfer protocol based on cloud}, journal = {Future Gener. Comput. Syst.}, volume = {93}, pages = {266--277}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.10.040}, doi = {10.1016/J.FUTURE.2018.10.040}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LeeCLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiCCWZ19, author = {Yanjun Li and Yuzhe Chen and Chung Shue Chen and Zhibo Wang and Yi{-}hua Zhu}, title = {Simultaneous Sensor Placement and Scheduling for Fusion-Based Detection in RF-Powered Sensor Networks}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {3}, pages = {5595--5606}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2903847}, doi = {10.1109/JIOT.2019.2903847}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LiCCWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/linguamatica/LuCW19, author = {Hui{-}Chuan Lu and An Chung Cheng and Shujuan Wang}, title = {Desarrollo y evaluaci{\'{o}}n de una herramienta basada en corpus para identificar errores y realizar propuestas de correcci{\'{o}}n en las colocaciones del espa{\~{n}}ol}, journal = {Linguam{\'{a}}tica}, volume = {11}, number = {1}, pages = {55--65}, year = {2019}, url = {https://doi.org/10.21814/lm.11.1.271}, doi = {10.21814/LM.11.1.271}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/linguamatica/LuCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/WangXLCCL19, author = {Liansheng Wang and Qiuhao Xu and Stephanie Leung and Jonathan Chung and Bo Chen and Shuo Li}, title = {Accurate automated Cobb angles estimation using multi-view extrapolation net}, journal = {Medical Image Anal.}, volume = {58}, year = {2019}, url = {https://doi.org/10.1016/j.media.2019.101542}, doi = {10.1016/J.MEDIA.2019.101542}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/WangXLCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LaiLHCWL19, author = {Wen{-}Shu Lai and Yi{-}Bing Lin and Chung{-}Yun Hsiao and Li{-}Kuan Chen and Chao{-}Fan Wu and Shu{-}Min Lin}, title = {FrameTalk: Human and Picture Frame Interaction through the IoT Technology}, journal = {Mob. Networks Appl.}, volume = {24}, number = {5}, pages = {1475--1485}, year = {2019}, url = {https://doi.org/10.1007/s11036-019-01269-9}, doi = {10.1007/S11036-019-01269-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/LaiLHCWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/LiCCZ19, author = {Yanjun Li and Chung Shue Chen and Kaikai Chi and Jianhui Zhang}, title = {Two-tiered relay node placement for WSN-based home health monitoring system}, journal = {Peer-to-Peer Netw. Appl.}, volume = {12}, number = {3}, pages = {589--603}, year = {2019}, url = {https://doi.org/10.1007/s12083-018-0638-0}, doi = {10.1007/S12083-018-0638-0}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/LiCCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scl/LiCW19, author = {Yuzhe Li and Chung Shue Chen and Wing Shing Wong}, title = {Power control for multi-sensor remote state estimation over interference channel}, journal = {Syst. Control. Lett.}, volume = {126}, pages = {1--7}, year = {2019}, url = {https://doi.org/10.1016/j.sysconle.2019.01.007}, doi = {10.1016/J.SYSCONLE.2019.01.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scl/LiCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dor/LiLNSLWXZBCCCDD19, author = {Wenhui Li and Anan Liu and Weizhi Nie and Dan Song and Yuqian Li and Weijie Wang and Shu Xiang and Heyu Zhou and Ngoc{-}Minh Bui and Yunchi Cen and Zenian Chen and Huy{-}Hoang Chung{-}Nguyen and Gia{-}Han Diep and Trong{-}Le Do and Eugeni L. Doubrovski and Anh Duc Duong and Jo M. P. Geraedts and Haobin Guo and Trung{-}Hieu Hoang and Yichen Li and Xing Liu and Zishun Liu and Duc{-}Tuan Luu and Yunsheng Ma and Vinh{-}Tiep Nguyen and Jie Nie and Tongwei Ren and Mai{-}Khiem Tran and Son{-}Thanh Tran{-}Nguyen and Minh{-}Triet Tran and The{-}Anh Vu{-}Le and Charlie C. L. Wang and Shijie Wang and Gangshan Wu and Caifei Yang and Meng Yuan and Hao Zhai and Ao Zhang and Fan Zhang and Sicheng Zhao}, editor = {Silvia Biasotti and Guillaume Lavou{\'{e}} and Remco C. Veltkamp}, title = {Monocular Image Based 3D Model Retrieval}, booktitle = {12th Eurographics Workshop on 3D Object Retrieval, 3DOR@Eurographics 2019, Genoa, Italy, May 5-6, 2019}, pages = {103--110}, publisher = {Eurographics Association}, year = {2019}, url = {https://doi.org/10.2312/3dor.20191068}, doi = {10.2312/3DOR.20191068}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dor/LiLNSLWXZBCCCDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/0010YCF19, author = {Yan Zhu and Shuai Yang and William Cheng{-}Chung Chu and Rongquan Feng}, editor = {Elisa Bertino and Carl K. Chang and Peter Chen and Ernesto Damiani and Michael Goul and Katsunori Oyama}, title = {FlashGhost: Data Sanitization with Privacy Protection Based on Frequent Colliding Hash Table}, booktitle = {2019 {IEEE} International Conference on Services Computing, {SCC} 2019, Milan, Italy, July 8-13, 2019}, pages = {90--99}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SCC.2019.00026}, doi = {10.1109/SCC.2019.00026}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEscc/0010YCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ChiuKPCSWHZPKNN19, author = {Chung{-}Cheng Chiu and Anjuli Kannan and Rohit Prabhavalkar and Zhifeng Chen and Tara N. Sainath and Yonghui Wu and Wei Han and Yu Zhang and Ruoming Pang and Sergey Kishchenko and Patrick Nguyen and Arun Narayanan and Hank Liao and Shuyuan Zhang}, title = {A Comparison of End-to-End Models for Long-Form Speech Recognition}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {889--896}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9003854}, doi = {10.1109/ASRU46091.2019.9003854}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asru/ChiuKPCSWHZPKNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HsuCWWCCKCKLLTC19, author = {Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Tai{-}Hsing Wen and Wei{-}Chen Wei and Yi{-}Ren Chen and Fu{-}Chun Chang and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A 0.5V Real-Time Computational {CMOS} Image Sensor with Programmable Kernel for Always-On Feature Extraction}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {33--34}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056945}, doi = {10.1109/A-SSCC47793.2019.9056945}, timestamp = {Wed, 06 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HsuCWWCCKCKLLTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiDCL19, author = {Shenhong Li and Mahsa Derakhshani and Chung Shue Chen and Sangarapillai Lambotharan}, title = {Outage Probability Analysis for Two-Antennas {MISO-NOMA} Downlink with Statistical {CSI}}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9014301}, doi = {10.1109/GLOBECOM38437.2019.9014301}, timestamp = {Wed, 04 Mar 2020 10:00:45 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LiDCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/00040XW19, author = {Shuai Wang and Lei Cheng and Minghua Xia and Yik{-}Chung Wu}, title = {Massive {MIMO} Multicast Beamforming via Accelerated Random Coordinate Descent}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {4494--4498}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682193}, doi = {10.1109/ICASSP.2019.8682193}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/00040XW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhangCZWK19, author = {Kaitai Zhang and Hong{-}Shuo Chen and Xinfeng Zhang and Ye Wang and C.{-}C. Jay Kuo}, title = {A Data-centric Approach to Unsupervised Texture Segmentation Using Principle Representative Patterns}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {1912--1916}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683487}, doi = {10.1109/ICASSP.2019.8683487}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhangCZWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/WangHLCP19, author = {Shu{-}Ching Wang and Wei{-}Shu Hsiung and Yi{-}Syuan Lin and Rong{-}Chung Chen and Hsin{-}Hung Pan}, title = {The Data Analysis for the Influence of Cross-cultural Training on the Adaptability of Foreign Workers}, booktitle = {{IEEE} 10th International Conference on Awareness Science and Technology, iCAST 2019, Morioka, Japan, October 23-25, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAwST.2019.8923524}, doi = {10.1109/ICAWST.2019.8923524}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icawst/WangHLCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WuHWL19, author = {Chung{-}Bin Wu and Yu{-}Cheng Hsueh and Ching{-}Shun Wang and Yen{-}Chi Lai}, title = {High Throughput Hardware Implementation for Deep Learning {AI} Accelerator}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991720}, doi = {10.1109/ICCE-TW46550.2019.8991720}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WuHWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/QiCXL0C19, author = {Xianbiao Qi and Yihao Chen and Rong Xiao and Chun{-}Guang Li and Qin Zou and Shuguang Cui}, title = {A Novel Joint Character Categorization and Localization Approach for Character-Level Scene Text Recognition}, booktitle = {Second International Workshop on Machine Learning, WML@ICDAR 2019, Sydney, Australia, September 22-25, 2019}, pages = {83--90}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDARW.2019.40086}, doi = {10.1109/ICDARW.2019.40086}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdar/QiCXL0C19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ZhangCWJK19, author = {Kaitai Zhang and Hong{-}Shuo Chen and Ye Wang and Xiangyang Ji and C.{-}C. Jay Kuo}, title = {Texture Analysis Via Hierarchical Spatial-Spectral Correlation {(HSSC)}}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {4419--4423}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803556}, doi = {10.1109/ICIP.2019.8803556}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ZhangCWJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit/FengHCT19, author = {Chung{-}Shun Feng and Tsu{-}Wu Hu and Yi{-}Ru Chen and Chu{-}Yin Tsai}, title = {Research on Improving the User Experience and Usability Evaluation of Tomato Work Method App-Using Forest App}, booktitle = {{ICIT} 2019 - The 7th International Conference on Information Technology: IoT and Smart City, Shanghai, China, December 20-23, 2019}, pages = {147--151}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3377170.3377172}, doi = {10.1145/3377170.3377172}, timestamp = {Fri, 27 Mar 2020 16:12:12 +0100}, biburl = {https://dblp.org/rec/conf/icit/FengHCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit/HuFHL19, author = {Tsu{-}Wu Hu and Chung{-}Shun Feng and Chia{-}Cheng Hsu and Cheng{-}Yu Liu}, title = {Research on Interface Improvement of English Vocabulary Learning {APP}}, booktitle = {{ICIT} 2019 - The 7th International Conference on Information Technology: IoT and Smart City, Shanghai, China, December 20-23, 2019}, pages = {165--169}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3377170.3377173}, doi = {10.1145/3377170.3377173}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icit/HuFHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChenWLZXT19, author = {Ming{-}Puu Chen and Li{-}Chun Wang and Shu{-}Yuan Lin and Di Zou and Haoran Xie and Chin{-}Chung Tsai}, title = {Enhancing Contextualized Learning via {AR}}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {286--289}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00064}, doi = {10.1109/IIAI-AAI.2019.00064}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChenWLZXT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/LinC19, author = {Shu{-}Chuan Lin and Chung{-}Hao Chen}, editor = {Leonard Barolli and Fatos Xhafa and Omar Khadeer Hussain}, title = {A Model of Chain Restaurant Organizational Achievement in Taiwan: {A} Structural Equation Modeling Approach}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 13th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2019), Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {994}, pages = {781--790}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22263-5\_75}, doi = {10.1007/978-3-030-22263-5\_75}, timestamp = {Tue, 25 Jun 2019 20:42:25 +0200}, biburl = {https://dblp.org/rec/conf/imis/LinC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/SalaunCC19, author = {Lou Salaun and Marceau Coupechoux and Chung Shue Chen}, title = {Weighted Sum-Rate Maximization in Multi-Carrier {NOMA} with Cellular Power Constraint}, booktitle = {2019 {IEEE} Conference on Computer Communications, {INFOCOM} 2019, Paris, France, April 29 - May 2, 2019}, pages = {451--459}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/INFOCOM.2019.8737495}, doi = {10.1109/INFOCOM.2019.8737495}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/SalaunCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliYPCWHLWHLWTT19, author = {Tamer A. Ali and Ramy Yousry and Henry Park and Ehung Chen and Po{-}Shuan Weng and Yi{-}Chieh Huang and Chun{-}Cheng Liu and Chien{-}Hua Wu and Shih{-}Hao Huang and Chungshi Lin and Ke{-}Chung Wu and Kun{-}Hung Tsai and Kai{-}Wen Tan and Ahmed ElShater and Kuang{-}Ren Chen and Wei{-}Hao Tsai and Huan{-}Sheng Chen and Weiyu Leng and Mazen Soliman}, title = {A 180mW 56Gb/s DSP-Based Transceiver for High Density IOs in Data Center Switches in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {118--120}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662523}, doi = {10.1109/ISSCC.2019.8662523}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliYPCWHLWHLWTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeTHCLLLLH19, author = {Shuenn{-}Yuh Lee and Chieh Tsou and Peng{-}Wei Huang and Po{-}Hao Cheng and Chi{-}Chung Liao and Zhan{-}Xien Liao and Hao{-}Yun Lee and Chou{-}Ching K. Lin and Chia{-}Hsiang Hsieh}, title = {A Programmable Wireless {EEG} Monitoring SoC with Open/Closed-Loop Optogenetic and Electrical Stimulation for Epilepsy Control}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {372--374}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662385}, doi = {10.1109/ISSCC.2019.8662385}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeTHCLLLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueCLLLLWWCCHKW19, author = {Cheng{-}Xin Xue and Wei{-}Hao Chen and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Ting{-}Wei Chang and Tung{-}Cheng Chang and Tsung{-}Yuan Huang and Hui{-}Yao Kao and Shih{-}Ying Wei and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Chung{-}Chuan Lo and Ya{-}Chin King and Chorng{-}Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel {MAC} Computing Time for {CNN} Based {AI} Edge Processors}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {388--390}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662395}, doi = {10.1109/ISSCC.2019.8662395}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueCLLLLWWCCHKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LinCHHW19, author = {Hong{-}Ling Lin and Shu{-}Meng Cheng and Dai{-}Fang Hsu and Chang{-}Chuan Huang and Ding{-}Chung Wu}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Information System Implementation Optimizes Medical Coding}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1706--1707}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190607}, doi = {10.3233/SHTI190607}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LinCHHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangCCCYLCLLCCC19, author = {Hao{-}Jen Wang and Leng{-}Rong Chen and Li{-}Wei Chen and Yi{-}Chang Chen and Shun{-}Mao Yang and Mong{-}Wei Lin and Joseph Chang and Chia{-}Chen Li and Chia{-}Yen Lee and Jin{-}Shing Chen and Yeun{-}Chung Chang and Chung{-}Ming Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Discrimination of benign and malignant pulmonary tumors in computed tomography: effective priori information of fast learning network architecture}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493B}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512846}, doi = {10.1117/12.2512846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangCCCYLCLLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/LaiYSCSC19, author = {Tzu{-}Ping Lai and Shun Yao and Wing{-}Lun Siu and Yu{-}Che Cheng and Heng{-}Yi Su and Yi{-}Chung Chen}, editor = {Jerry Chun{-}Wei Lin and I{-}Hsien Ting and Tiffany Tang and Kai Wang}, title = {An Interactive, Location-Aware Taiwanese Social Network for Both Everyday Use and Disaster Management}, booktitle = {Multidisciplinary Social Networks Research - 6th International Conference, {MISNC} 2019, Wenzhou, China, August 26-28, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1131}, pages = {155--163}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1758-7\_13}, doi = {10.1007/978-981-15-1758-7\_13}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/LaiYSCSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miwai/SongWCZLCW19, author = {Chunge Song and Lijun Wu and Zhicong Chen and Haifang Zhou and Peijie Lin and Shuying Cheng and Zhenhui Wu}, editor = {Rapeeporn Chamchong and Kok Wai Wong}, title = {Pixel-Level Crack Detection in Images Using SegNet}, booktitle = {Multi-disciplinary Trends in Artificial Intelligence - 13th International Conference, {MIWAI} 2019, Kuala Lumpur, Malaysia, November 17-19, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11909}, pages = {247--254}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33709-4\_22}, doi = {10.1007/978-3-030-33709-4\_22}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miwai/SongWCZLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmasia/ChangLCHSC19, author = {Chi{-}Rung Chang and Kuan{-}Yu Lung and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hong{-}Han Shuai and Wen{-}Huang Cheng}, editor = {Changsheng Xu and Mohan S. Kankanhalli and Kiyoharu Aizawa and Shuqiang Jiang and Roger Zimmermann and Wen{-}Huang Cheng}, title = {Stop Hiding Behind Windshield: {A} Windshield Image Enhancer Based on a Two-way Generative Adversarial Network}, booktitle = {MMAsia '19: {ACM} Multimedia Asia, Beijing, China, December 16-18, 2019}, pages = {28:1--28:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338533.3366559}, doi = {10.1145/3338533.3366559}, timestamp = {Wed, 22 Jan 2020 19:38:18 +0100}, biburl = {https://dblp.org/rec/conf/mmasia/ChangLCHSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChungCST19, author = {Sheng{-}Luen Chung and Yi{-}Shum Chen and Shun{-}Feng Su and Hsien{-}Wei Ting}, title = {Preliminary Study of Deep Learning based Speech Recognition Technique for Nursing Shift Handover Context}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {528--533}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8913954}, doi = {10.1109/SMC.2019.8913954}, timestamp = {Fri, 06 Dec 2019 14:51:38 +0100}, biburl = {https://dblp.org/rec/conf/smc/ChungCST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GuHWCH19, author = {Chen{-}Sheng Gu and Hong{-}Po Hsieh and Chung{-}Shu Wu and Ray{-}I Chang and Jan{-}Ming Ho}, title = {A Fund Selection Robo-Advisor with Deep-learning Driven Market Prediction}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2845--2850}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914183}, doi = {10.1109/SMC.2019.8914183}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/GuHWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TangWYHCXKWHLLH19, author = {Kea{-}Tiong Tang and Wei{-}Chen Wei and Zuo{-}Wei Yeh and Tzu{-}Hsiang Hsu and Yen{-}Cheng Chiu and Cheng{-}Xin Xue and Yu{-}Chun Kuo and Tai{-}Hsing Wen and Mon{-}Shu Ho and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang}, title = {Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778074}, doi = {10.23919/VLSIC.2019.8778074}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TangWYHCXKWHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ChenHCWB19, author = {Yi{-}Ting Chen and Chi{-}Hsuan Hsu and Chih{-}Han Chung and Yu{-}Shuen Wang and Sabarish V. Babu}, title = {iVRNote: Design, Creation and Evaluation of an Interactive Note-Taking Interface for Study and Reflection in {VR} Learning Environments}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2019, Osaka, Japan, March 23-27, 2019}, pages = {172--180}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VR.2019.8798338}, doi = {10.1109/VR.2019.8798338}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/vr/ChenHCWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00619, author = {Xinshi Chen and Eric Tsz Shun Chung}, title = {Parametric {FEM} for Shape Optimization applied to Golgi Stack}, journal = {CoRR}, volume = {abs/1902.00619}, year = {2019}, url = {http://arxiv.org/abs/1902.00619}, eprinttype = {arXiv}, eprint = {1902.00619}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-02447, author = {Shuai Wang and Lei Cheng and Minghua Xia and Yik{-}Chung Wu}, title = {Massive {MIMO} Multicast Beamforming Via Accelerated Random Coordinate Descent}, journal = {CoRR}, volume = {abs/1902.02447}, year = {2019}, url = {http://arxiv.org/abs/1902.02447}, eprinttype = {arXiv}, eprint = {1902.02447}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-02447.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-08295, author = {Jonathan Shen and Patrick Nguyen and Yonghui Wu and Zhifeng Chen and Mia Xu Chen and Ye Jia and Anjuli Kannan and Tara N. Sainath and Yuan Cao and Chung{-}Cheng Chiu and Yanzhang He and Jan Chorowski and Smit Hinsu and Stella Laurenzo and James Qin and Orhan Firat and Wolfgang Macherey and Suyog Gupta and Ankur Bapna and Shuyuan Zhang and Ruoming Pang and Ron J. Weiss and Rohit Prabhavalkar and Qiao Liang and Benoit Jacob and Bowen Liang and HyoukJoong Lee and Ciprian Chelba and S{\'{e}}bastien Jean and Bo Li and Melvin Johnson and Rohan Anil and Rajat Tibrewal and Xiaobing Liu and Akiko Eriguchi and Navdeep Jaitly and Naveen Ari and Colin Cherry and Parisa Haghani and Otavio Good and Youlong Cheng and Raziel Alvarez and Isaac Caswell and Wei{-}Ning Hsu and Zongheng Yang and Kuan{-}Chieh Wang and Ekaterina Gonina and Katrin Tomanek and Ben Vanik and Zelin Wu and Llion Jones and Mike Schuster and Yanping Huang and Dehao Chen and Kazuki Irie and George F. Foster and John Richardson and Klaus Macherey and Antoine Bruguier and Heiga Zen and Colin Raffel and Shankar Kumar and Kanishka Rao and David Rybach and Matthew Murray and Vijayaditya Peddinti and Maxim Krikun and Michiel Bacchiani and Thomas B. Jablin and Robert Suderman and Ian Williams and Benjamin Lee and Deepti Bhatia and Justin Carlson and Semih Yavuz and Yu Zhang and Ian McGraw and Max Galkin and Qi Ge and Golan Pundak and Chad Whipkey and Todd Wang and Uri Alon and Dmitry Lepikhin and Ye Tian and Sara Sabour and William Chan and Shubham Toshniwal and Baohua Liao and Michael Nirschl and Pat Rondon}, title = {Lingvo: a Modular and Scalable Framework for Sequence-to-Sequence Modeling}, journal = {CoRR}, volume = {abs/1902.08295}, year = {2019}, url = {http://arxiv.org/abs/1902.08295}, eprinttype = {arXiv}, eprint = {1902.08295}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-08295.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-00510, author = {Lou Sala{\"{u}}n and Marceau Coupechoux and Chung Shue Chen}, title = {Joint Subcarrier and Power Allocation in {NOMA:} Optimal and Approximate Algorithms}, journal = {CoRR}, volume = {abs/1910.00510}, year = {2019}, url = {http://arxiv.org/abs/1910.00510}, eprinttype = {arXiv}, eprint = {1910.00510}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-00510.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-01331, author = {Lou Salaun and Chung Shue Chen and Marceau Coupechoux}, title = {Optimal Joint Subcarrier and Power Allocation in {NOMA} is Strongly NP-Hard}, journal = {CoRR}, volume = {abs/1910.01331}, year = {2019}, url = {http://arxiv.org/abs/1910.01331}, eprinttype = {arXiv}, eprint = {1910.01331}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-01331.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-01546, author = {Yi{-}Ting Chen and Chi{-}Hsuan Hsu and Chih{-}Han Chung and Yu{-}Shuen Wang and Sabarish V. Babu}, title = {iVRNote: Design, Creation and Evaluation of an Interactive Note-Taking Interface for Study and Reflection in {VR} Learning Environments}, journal = {CoRR}, volume = {abs/1910.01546}, year = {2019}, url = {http://arxiv.org/abs/1910.01546}, eprinttype = {arXiv}, eprint = {1910.01546}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-01546.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-01910, author = {Lou Salaun and Marceau Coupechoux and Chung Shue Chen}, title = {Weighted Sum-Rate Maximization in Multi-Carrier {NOMA} with Cellular Power Constraint}, journal = {CoRR}, volume = {abs/1910.01910}, year = {2019}, url = {http://arxiv.org/abs/1910.01910}, eprinttype = {arXiv}, eprint = {1910.01910}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-01910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-02242, author = {Chung{-}Cheng Chiu and Wei Han and Yu Zhang and Ruoming Pang and Sergey Kishchenko and Patrick Nguyen and Arun Narayanan and Hank Liao and Shuyuan Zhang and Anjuli Kannan and Rohit Prabhavalkar and Zhifeng Chen and Tara N. Sainath and Yonghui Wu}, title = {A comparison of end-to-end models for long-form speech recognition}, journal = {CoRR}, volume = {abs/1911.02242}, year = {2019}, url = {http://arxiv.org/abs/1911.02242}, eprinttype = {arXiv}, eprint = {1911.02242}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-02242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ChenCLY18, author = {Yi{-}Wen Chen and Chen{-}Yang Cheng and Shu{-}Fen Li and Chung{-}Hsuan Yu}, title = {Location optimization for multiple types of charging stations for electric scooters}, journal = {Appl. Soft Comput.}, volume = {67}, pages = {519--528}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2018.02.038}, doi = {10.1016/J.ASOC.2018.02.038}, timestamp = {Thu, 17 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/ChenCLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenKSCHLL18, author = {Shu{-}Hwa Chen and Wen{-}Yu Kuo and Sheng{-}Yao Su and Wei{-}Chun Chung and Jen{-}Ming Ho and Henry Horng{-}Shing Lu and Chung{-}Yen Lin}, title = {A gene profiling deconvolution approach to estimating immune cell composition from complex tissues}, journal = {{BMC} Bioinform.}, volume = {19-S}, number = {4}, pages = {15--23}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2069-6}, doi = {10.1186/S12859-018-2069-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenKSCHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/ChenYLC18, author = {Patrick Shicheng Chen and David C. Yen and Shu{-}Chiung Lin and Chien Shan Chou}, title = {Toward an {IT} investment decision support model for global enterprises}, journal = {Comput. Stand. Interfaces}, volume = {59}, pages = {130--140}, year = {2018}, url = {https://doi.org/10.1016/j.csi.2018.04.001}, doi = {10.1016/J.CSI.2018.04.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csi/ChenYLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/HuangWSKLCCL19, author = {Yu{-}Chi Huang and Cheng{-}Te Wang and Ta{-}Shun Su and Kuo{-}Wei Kao and Yen{-}Jen Lin and Chao{-}Chun Chuang and Ann{-}Shyn Chiang and Chung{-}Chuan Lo}, title = {A Single-Cell Level and Connectome-Derived Computational Model of the Drosophila Brain}, journal = {Frontiers Neuroinformatics}, volume = {12}, pages = {99}, year = {2018}, url = {https://doi.org/10.3389/fninf.2018.00099}, doi = {10.3389/FNINF.2018.00099}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/HuangWSKLCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChungLL18, author = {Shun{-}Ping Chung and Yu{-}Ju Lu and Yu{-}Chen Lai}, title = {Cloud computing with single server threshold and double congestion thresholds}, journal = {{ICT} Express}, volume = {4}, number = {3}, pages = {119--123}, year = {2018}, url = {https://doi.org/10.1016/j.icte.2017.03.002}, doi = {10.1016/J.ICTE.2017.03.002}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/ChungLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/WangLCP18, author = {Shun{-}Chung Wang and Yi{-}Hua Liu and Yu{-}Shan Cheng and Bo{-}Ruei Peng}, title = {A novel modulation technique with interleaved-and-shifted shoot-through state placement for quasi-Z-source inverters}, journal = {Int. J. Circuit Theory Appl.}, volume = {46}, number = {2}, pages = {343--363}, year = {2018}, url = {https://doi.org/10.1002/cta.2390}, doi = {10.1002/CTA.2390}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/WangLCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/WuWCCL18, author = {Chin{-}Chia Wu and Dujuan Wang and Shuenn{-}Ren Cheng and I{-}Hong Chung and Win{-}Chin Lin}, title = {A two-stage three-machine assembly scheduling problem with a position-based learning effect}, journal = {Int. J. Prod. Res.}, volume = {56}, number = {9}, pages = {3064--3079}, year = {2018}, url = {https://doi.org/10.1080/00207543.2017.1401243}, doi = {10.1080/00207543.2017.1401243}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/WuWCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasss/Dunser18, author = {Martina D{\"{u}}nser}, title = {Advances in Computational Social Science: The Fourth World Congress (Agent-Based Social Systems) \emph{by Terano Takao Chen Shu-Heng and Tai Chung-Ching Yamamoto Ryuichi}}, journal = {J. Artif. Soc. Soc. Simul.}, volume = {21}, number = {3}, year = {2018}, url = {http://jasss.soc.surrey.ac.uk/21/3/reviews/1.html}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasss/Dunser18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/ChenCJ18, author = {Fuchen Chen and Eric T. Chung and Lijian Jiang}, title = {Adaptive Least-Squares Mixed Generalized Multiscale Finite Element Methods}, journal = {Multiscale Model. Simul.}, volume = {16}, number = {2}, pages = {1034--1058}, year = {2018}, url = {https://doi.org/10.1137/17M1138844}, doi = {10.1137/17M1138844}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/ChenCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLLLL18, author = {Xingtong Chen and Chunguo Liu and Shuo Liu and Bing Lyu and Donglai Li}, title = {A High Compressibility Pressure - Sensitive Structure Based on CB@PU Yarn Network}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4141}, year = {2018}, url = {https://doi.org/10.3390/s18124141}, doi = {10.3390/S18124141}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenSLMZSH18, author = {Pei{-}Yu Chen and Cho{-}Chiang Shih and Wei{-}Chen Lin and Teng Ma and Qifa Zhou and K. Kirk Shung and Chih{-}Chung Huang}, title = {High-Resolution Shear Wave Imaging of the Human Cornea Using a Dual-Element Transducer}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4244}, year = {2018}, url = {https://doi.org/10.3390/s18124244}, doi = {10.3390/S18124244}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenSLMZSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/JieAFMS18, author = {Cheng Jie and Prashanth L. A. and Michael C. Fu and Steven I. Marcus and Csaba Szepesv{\'{a}}ri}, title = {Stochastic Optimization in a Cumulative Prospect Theory Framework}, journal = {{IEEE} Trans. Autom. Control.}, volume = {63}, number = {9}, pages = {2867--2882}, year = {2018}, url = {https://doi.org/10.1109/TAC.2018.2822658}, doi = {10.1109/TAC.2018.2822658}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/JieAFMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/PengCCF18, author = {Yijie Peng and Edwin K. P. Chong and Chun{-}Hung Chen and Michael C. Fu}, title = {Ranking and Selection as Stochastic Control}, journal = {{IEEE} Trans. Autom. Control.}, volume = {63}, number = {8}, pages = {2359--2373}, year = {2018}, url = {https://doi.org/10.1109/TAC.2018.2797188}, doi = {10.1109/TAC.2018.2797188}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/PengCCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/PengCFH18, author = {Yijie Peng and Chun{-}Hung Chen and Michael C. Fu and Jian{-}Qiang Hu}, title = {Gradient-Based Myopic Allocation Policy: An Efficient Sampling Procedure in a Low-Confidence Scenario}, journal = {{IEEE} Trans. Autom. Control.}, volume = {63}, number = {9}, pages = {3091--3097}, year = {2018}, url = {https://doi.org/10.1109/TAC.2017.2776606}, doi = {10.1109/TAC.2017.2776606}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/PengCFH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ChenSLX18, author = {Shu{-}Jie Chen and Hui{-}Liang Shen and Chunguang Li and John H. Xin}, title = {Normalized Total Gradient: {A} New Measure for Multispectral Image Registration}, journal = {{IEEE} Trans. Image Process.}, volume = {27}, number = {3}, pages = {1297--1310}, year = {2018}, url = {https://doi.org/10.1109/TIP.2017.2776753}, doi = {10.1109/TIP.2017.2776753}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ChenSLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LiuCSS18, author = {Ye Liu and Chung Shue Chen and Chi Wan Sung and Chandramani Kishore Singh}, title = {Corrections to "A Game Theoretic Distributed Algorithm for FeICIC Optimization in {LTE-A} HetNets"}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {26}, number = {2}, pages = {1033}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TNET.2018.2813638}, doi = {10.1109/TNET.2018.2813638}, timestamp = {Sat, 14 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/LiuCSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChengZLCZYL18, author = {Fen Cheng and Shun Zhang and Zan Li and Yunfei Chen and Nan Zhao and F. Richard Yu and Victor C. M. Leung}, title = {{UAV} Trajectory Optimization for Data Offloading at the Edge of Multiple Cells}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {7}, pages = {6732--6736}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2811942}, doi = {10.1109/TVT.2018.2811942}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChengZLCZYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/FuSSC18, author = {Yaru Fu and Lou Salaun and Chi Wan Sung and Chung Shue Chen}, title = {Subcarrier and Power Allocation for the Downlink of Multicarrier {NOMA} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {12}, pages = {11833--11847}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2875601}, doi = {10.1109/TVT.2018.2875601}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/FuSSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiCCWZ18, author = {Yanjun Li and Yuzhe Chen and Chung Shue Chen and Zhibo Wang and Yi{-}hua Zhu}, title = {Charging While Moving: Deploying Wireless Chargers for Powering Wearable Devices}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {12}, pages = {11575--11586}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2871870}, doi = {10.1109/TVT.2018.2871870}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/LiCCWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/0010QGSC18, author = {Yan Zhu and Yao Qin and Guohua Gan and Yang Shuai and William Cheng{-}Chung Chu}, editor = {Sorel Reisman and Sheikh Iqbal Ahamed and Claudio Demartini and Thomas M. Conte and Ling Liu and William R. Claycomb and Motonori Nakamura and Edmundo Tovar and Stelvio Cimato and Chung{-}Horng Lung and Hiroki Takakura and Ji{-}Jiang Yang and Toyokazu Akiyama and Zhiyong Zhang and Kamrul Hasan}, title = {{TBAC:} Transaction-Based Access Control on Blockchain for Resource Sharing with Cryptographically Decentralized Authorization}, booktitle = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 1}, pages = {535--544}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/COMPSAC.2018.00083}, doi = {10.1109/COMPSAC.2018.00083}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/0010QGSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscloud/LeeCLCL18, author = {Cheng{-}Chi Lee and Shun{-}der Chen and Chun{-}Ta Li and Chung{-}Lun Cheng and Yan{-}Ming Lai}, editor = {Meikang Qiu}, title = {Cryptanalysis of {AN} {RFID} Ownership Transfer Protocol Based on Cloud}, booktitle = {5th {IEEE} International Conference on Cyber Security and Cloud Computing, CSCloud 2018 / 4th {IEEE} International Conference on Edge Computing and Scalable Cloud, EdgeCom 2018, Shanghai, China, June 22-24, 2018}, pages = {134--139}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CSCloud/EdgeCom.2018.00032}, doi = {10.1109/CSCLOUD/EDGECOM.2018.00032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscloud/LeeCLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SalaunCC18, author = {Lou Sala{\"{u}}n and Chung Shue Chen and Marceau Coupechoux}, title = {Optimal Joint Subcarrier and Power Allocation in {NOMA} Is Strongly NP-Hard}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422362}, doi = {10.1109/ICC.2018.8422362}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/SalaunCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/HungWLLC18, author = {Shuo{-}Hong Hung and Ina Wu and Yi{-}Chen Li and Cheng{-}Ta Li and Charlie Chung{-}Ping Chen}, title = {A Real Time {EEG} Analysis System for the Prediction of Clinical Antidepressant Responses}, booktitle = {23rd {IEEE} International Conference on Digital Signal Processing, {DSP} 2018, Shanghai, China, November 19-21, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICDSP.2018.8631867}, doi = {10.1109/ICDSP.2018.8631867}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdsp/HungWLLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/KuoYHY18, author = {Cheng{-}Chung Kuo and Shu{-}Han Yao and Chia{-}Ling Hou and Chu{-}Sing Yang}, editor = {Jeng{-}Shyang Pan and Akinori Ito and Pei{-}Wei Tsai and Lakhmi C. Jain}, title = {Design and Implementation of a Host-Based Intrusion Detection System for Linux-Based Web Server}, booktitle = {Recent Advances in Intelligent Information Hiding and Multimedia Signal Processing - Proceeding of the Fourteenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, November, 26-28, 2018, Sendai, Japan, Volume 2}, series = {Smart Innovation, Systems and Technologies}, volume = {110}, pages = {354--361}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03748-2\_44}, doi = {10.1007/978-3-030-03748-2\_44}, timestamp = {Tue, 07 Nov 2023 10:29:09 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/KuoYHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LuCHH18, author = {Tzu{-}Chuen Lu and Rong{-}Chung Chen and Guang{-}En Hsuen and Shu{-}Wen Huang}, editor = {Jeng{-}Shyang Pan and Akinori Ito and Pei{-}Wei Tsai and Lakhmi C. Jain}, title = {A Study on {CNC} Machine Training Based on Virtual Reality Technology}, booktitle = {Recent Advances in Intelligent Information Hiding and Multimedia Signal Processing - Proceeding of the Fourteenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, November, 26-28, 2018, Sendai, Japan, Volume 2}, series = {Smart Innovation, Systems and Technologies}, volume = {110}, pages = {257--265}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03748-2\_32}, doi = {10.1007/978-3-030-03748-2\_32}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/LuCHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/PangSPGWZC18, author = {Ruoming Pang and Tara N. Sainath and Rohit Prabhavalkar and Suyog Gupta and Yonghui Wu and Shuyuan Zhang and Chung{-}Cheng Chiu}, editor = {B. Yegnanarayana}, title = {Compression of End-to-End Models}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {27--31}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1025}, doi = {10.21437/INTERSPEECH.2018-1025}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/PangSPGWZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotaas/LaiLHCWL18, author = {Wen{-}Shu Lai and Yi{-}Bing Lin and Chung{-}Yun Hsiao and Li{-}Kuan Chen and Chao{-}Fan Wu and Shu{-}Min Lin}, editor = {Bo Li and Mao Yang and Hui Yuan and Zhongjiang Yan}, title = {FrameTalk: Human and Picture Frame Interaction Through the IoT Technology}, booktitle = {IoT as a Service - 4th {EAI} International Conference, IoTaaS 2018, Xi'an, China, November 17-18, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {271}, pages = {3--11}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-14657-3\_1}, doi = {10.1007/978-3-030-14657-3\_1}, timestamp = {Wed, 09 Mar 2022 12:38:32 +0100}, biburl = {https://dblp.org/rec/conf/iotaas/LaiLHCWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/ChenYWLCHLC18, author = {Li{-}Wei Chen and Shun{-}Mao Yang and Hao{-}Jen Wang and Mong{-}Wei Lin and Leng{-}Rong Chen and Fu{-}Sheng Hsu and Chia{-}Chen Li and Chung{-}Ming Chen}, editor = {Barjor Gimi and Andrzej Kr{\'{o}}l}, title = {A competing round-robin prediction model for histologic subtype prediction of lung adenocarcinomas based on thoracic computed tomography}, booktitle = {Medical Imaging 2018: Biomedical Applications in Molecular, Structural, and Functional Imaging, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10578}, pages = {105782M}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2291968}, doi = {10.1117/12.2291968}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mibam/ChenYWLCHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/ToshniwalKCWSL18, author = {Shubham Toshniwal and Anjuli Kannan and Chung{-}Cheng Chiu and Yonghui Wu and Tara N. Sainath and Karen Livescu}, title = {A Comparison of Techniques for Language Model Integration in Encoder-Decoder Speech Recognition}, booktitle = {2018 {IEEE} Spoken Language Technology Workshop, {SLT} 2018, Athens, Greece, December 18-21, 2018}, pages = {369--375}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SLT.2018.8639038}, doi = {10.1109/SLT.2018.8639038}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/slt/ToshniwalKCWSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanCCS18, author = {Yun Han and Sheng{-}Luen Chung and Sheng{-}Fang Chen and Shun{-}Feng Su}, title = {Two-Stream {LSTM} for Action Recognition with RGB-D-Based Hand-Crafted Features and Feature Combination}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {3547--3552}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00600}, doi = {10.1109/SMC.2018.00600}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HanCCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FuSSC18, author = {Yaru Fu and Lou Salaun and Chi Wan Sung and Chung Shue Chen}, title = {Distributed Power Allocation for the Downlink of a Two-Cell {MISO-NOMA} System}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCSpring.2018.8417837}, doi = {10.1109/VTCSPRING.2018.8417837}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FuSSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/GuoSCC18, author = {Qianyun Guo and Chi Wan Sung and Yi Chen and Chung Shue Chen}, title = {Power control for coordinated {NOMA} downlink with cell-edge users}, booktitle = {2018 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2018, Barcelona, Spain, April 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCNC.2018.8377121}, doi = {10.1109/WCNC.2018.8377121}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/GuoSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/PengCCF18, author = {Yijie Peng and Chun{-}Hung Chen and Edwin K. P. Chong and Michael C. Fu}, editor = {Bj{\"{o}}rn Johansson and Sanjay Jain}, title = {A Review of Static and Dynamic Optimization for Ranking and Selection}, booktitle = {2018 Winter Simulation Conference, {WSC} 2018, Gothenburg, Sweden, December 9-12, 2018}, pages = {1909--1920}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WSC.2018.8632510}, doi = {10.1109/WSC.2018.8632510}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/PengCCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-10857, author = {Shubham Toshniwal and Anjuli Kannan and Chung{-}Cheng Chiu and Yonghui Wu and Tara N. Sainath and Karen Livescu}, title = {A Comparison of Techniques for Language Model Integration in Encoder-Decoder Speech Recognition}, journal = {CoRR}, volume = {abs/1807.10857}, year = {2018}, url = {http://arxiv.org/abs/1807.10857}, eprinttype = {arXiv}, eprint = {1807.10857}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-10857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoZYCNL17, author = {Nan Zhao and Shun Zhang and F. Richard Yu and Yunfei Chen and Arumugam Nallanathan and Victor C. M. Leung}, title = {Exploiting Interference for Energy Harvesting: {A} Survey, Research Issues, and Challenges}, journal = {{IEEE} Access}, volume = {5}, pages = {10403--10421}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2705638}, doi = {10.1109/ACCESS.2017.2705638}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhaoZYCNL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuangMLZZLZLBLZ17, author = {Tao Huang and Hong Mi and Cheng{-}Yuan Lin and Ling Zhao and Linda L. D. Zhong and Fengbin Liu and Ge Zhang and Aiping Lu and Zhaoxiang Bian and Shuhai Lin and Man Zhang and Yanhong Li and Dongdong Hu and Chung{-}Wah Cheng}, title = {{MOST:} most-similar ligand based approach to target prediction}, journal = {{BMC} Bioinform.}, volume = {18}, number = {1}, pages = {165:1--165:11}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1586-z}, doi = {10.1186/S12859-017-1586-Z}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HuangMLZZLZLBLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/LiuCT17, author = {Chen{-}Chung Liu and Yu{-}Chi Chen and Shu{-}Ju Diana Tai}, title = {A social network analysis on elementary student engagement in the networked creation community}, journal = {Comput. Educ.}, volume = {115}, pages = {114--125}, year = {2017}, url = {https://doi.org/10.1016/j.compedu.2017.08.002}, doi = {10.1016/J.COMPEDU.2017.08.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/LiuCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/electronicmarkets/LinLCPC17, author = {Chung{-}Yi Lin and Shu{-}Yi Liaw and Chao{-}Chun Chen and Mao{-}Yuan Pai and Yuh{-}Min Chen}, title = {A computer-based approach for analyzing consumer demands in electronic word-of-mouth}, journal = {Electron. Mark.}, volume = {27}, number = {3}, pages = {225--242}, year = {2017}, url = {https://doi.org/10.1007/s12525-017-0262-5}, doi = {10.1007/S12525-017-0262-5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/electronicmarkets/LinLCPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/HoDC17, author = {Siu{-}Wai Ho and Jialong Duan and Chung Shue Chen}, title = {Location-based information transmission systems using visible light communications}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {28}, number = {1}, year = {2017}, url = {https://doi.org/10.1002/ett.2922}, doi = {10.1002/ETT.2922}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/HoDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HsuCLH17, author = {Ping{-}Yu Hsu and Yu{-}Cheng Chuang and Yao{-}Chung Lo and Shuang{-}Chuan He}, title = {Using contextualized activity-level duration to discover irregular process instances in business operations}, journal = {Inf. Sci.}, volume = {391}, pages = {80--98}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2016.10.027}, doi = {10.1016/J.INS.2016.10.027}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/HsuCLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/KimCX17, author = {Hyea Hyun Kim and Eric T. Chung and Chenxiao Xu}, title = {A {BDDC} algorithm with adaptive primal constraints for staggered discontinuous Galerkin approximation of elliptic problems with highly oscillating coefficients}, journal = {J. Comput. Appl. Math.}, volume = {311}, pages = {599--617}, year = {2017}, url = {https://doi.org/10.1016/j.cam.2016.08.028}, doi = {10.1016/J.CAM.2016.08.028}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcam/KimCX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/BovizCY17, author = {Dora Boviz and Chung Shue Chen and Sheng Yang}, title = {Effective Design of Multi-User Reception and Fronthaul Rate Allocation in 5G Cloud {RAN}}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {35}, number = {8}, pages = {1825--1836}, year = {2017}, url = {https://doi.org/10.1109/JSAC.2017.2710718}, doi = {10.1109/JSAC.2017.2710718}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/BovizCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChungCCLLCSC17, author = {I{-}Fang Chung and Shing{-}Jyh Chang and Chen{-}Yang Chen and Shu{-}Hsuan Liu and Chia{-}Yang Li and Chia{-}Hao Chan and Chuan{-}Chi Shih and Wei{-}Chung Cheng}, title = {YM500v3: a database for small {RNA} sequencing in human cancer research}, journal = {Nucleic Acids Res.}, volume = {45}, number = {Database-Issue}, pages = {D925--D931}, year = {2017}, url = {https://doi.org/10.1093/nar/gkw1084}, doi = {10.1093/NAR/GKW1084}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChungCCLLCSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/LinYCCK17, author = {Shih{-}Yu Lin and Jia{-}Yush Yen and Min{-}Shin Chen and Shu{-}Hau Chang and Chung{-}Yao Kao}, title = {An Adaptive Unknown Periodic Input Observer for Discrete-Time {LTI} {SISO} Systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {62}, number = {8}, pages = {4073--4079}, year = {2017}, url = {https://doi.org/10.1109/TAC.2016.2618540}, doi = {10.1109/TAC.2016.2618540}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/LinYCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/TrabelsiCARA17, author = {Nessrine Trabelsi and Chung Shue Chen and Rachid El Azouzi and Laurent Roullet and Eitan Altman}, title = {User Association and Resource Allocation Optimization in {LTE} Cellular Networks}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {14}, number = {2}, pages = {429--440}, year = {2017}, url = {https://doi.org/10.1109/TNSM.2017.2677778}, doi = {10.1109/TNSM.2017.2677778}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/TrabelsiCARA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LiuCSS17, author = {Ye Liu and Chung Shue Chen and Chi Wan Sung and Chandramani Kishore Singh}, title = {A Game Theoretic Distributed Algorithm for FeICIC Optimization in {LTE-A} HetNets}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {25}, number = {6}, pages = {3500--3513}, year = {2017}, url = {https://doi.org/10.1109/TNET.2017.2748567}, doi = {10.1109/TNET.2017.2748567}, timestamp = {Sat, 14 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/LiuCSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/HoJC17, author = {Chao Chung Ho and Yong{-}Bao Jiang and Ming{-}Shu Chen}, editor = {Qingli Li and Lipo Wang and Mei Zhou and Li Sun and Song Qiu and Hongying Liu}, title = {The healthcare quality and performance evaluation of hospitals with different ownerships-demonstrated by Taiwan hospitals}, booktitle = {10th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2017, Shanghai, China, October 14-16, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISP-BMEI.2017.8302292}, doi = {10.1109/CISP-BMEI.2017.8302292}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/HoJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HaddadHCAC17, author = {Majed Haddad and Dalia{-}Georgiana Herculea and Chung Shue Chen and Eitan Altman and V{\'{e}}ronique Capdevielle}, title = {Online mobile user speed estimation: Performance and tradeoff considerations}, booktitle = {14th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2017, Las Vegas, NV, USA, January 8-11, 2017}, pages = {974--979}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCNC.2017.7983265}, doi = {10.1109/CCNC.2017.7983265}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/HaddadHCAC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csii/ChengLWP17, author = {Yu{-}Shan Cheng and Yi{-}Hua Liu and Shun{-}Chung Wang and Bo{-}Rei Peng}, title = {A Particle Swarm Optimization Based Energy Management Strategy for Hybrid Generation System}, booktitle = {5th International Conference on Applied Computing and Information Technology, 4th International Conference on Computational Science/Intelligence and Applied Informatics, 2nd International Conference on Big Data, Cloud Computing, Data Science {\&} Engineering, {ACIT/CSII/BCD} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {104--108}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ACIT-CSII-BCD.2017.75}, doi = {10.1109/ACIT-CSII-BCD.2017.75}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/csii/ChengLWP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csii/ChengWLP17, author = {Yu{-}Shan Cheng and Shun{-}Chung Wang and Yu{-}Hua Liu and Bo{-}Ruei Peng}, title = {An Intelligent Noninvasive Taste Detection App for Watermelons}, booktitle = {5th International Conference on Applied Computing and Information Technology, 4th International Conference on Computational Science/Intelligence and Applied Informatics, 2nd International Conference on Big Data, Cloud Computing, Data Science {\&} Engineering, {ACIT/CSII/BCD} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {90--94}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ACIT-CSII-BCD.2017.74}, doi = {10.1109/ACIT-CSII-BCD.2017.74}, timestamp = {Tue, 27 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csii/ChengWLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/FuSSCC17, author = {Yaru Fu and Lou Sala{\"{u}}n and Chi Wan Sung and Chung Shue Chen and Marceau Coupechoux}, title = {Double iterative waterfilling for sum rate maximization in multicarrier {NOMA} systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996797}, doi = {10.1109/ICC.2017.7996797}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/FuSSCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/GopalasinghamHC17, author = {Aravinthan Gopalasingham and Dalia{-}Georgiana Herculea and Chung Shue Chen and Laurent Roullet}, title = {Virtualization of radio access network by Virtual Machine and Docker: Practice and performance analysis}, booktitle = {2017 {IFIP/IEEE} Symposium on Integrated Network and Service Management (IM), Lisbon, Portugal, May 8-12, 2017}, pages = {680--685}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/INM.2017.7987358}, doi = {10.23919/INM.2017.7987358}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/im/GopalasinghamHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangTWCWCLSYHKL17, author = {Li Wang and Ren{-}Wei Tsai and Shao{-}Chung Wang and Kun{-}Chih Chen and Po{-}Han Wang and Hsiang{-}Yun Cheng and Yi{-}Chung Lee and Sheng{-}Jie Shu and Chun{-}Chieh Yang and Min{-}Yih Hsu and Li{-}Chen Kan and Chao{-}Lin Lee and Tzu{-}Chieh Yu and Rih{-}Ding Peng and Chia{-}Lin Yang and Yuan{-}Shin Hwang and Jenq Kuen Lee and Shiao{-}Li Tsao and Ming Ouhyoung}, title = {Analyzing OpenCL 2.0 workloads using a heterogeneous {CPU-GPU} simulator}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {127--128}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975279}, doi = {10.1109/ISPASS.2017.7975279}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangTWCWCLSYHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenLCCLCLCLDWH17, author = {Tsung{-}Ming Chen and Yi Lu and Pang{-}Ning Chen and Yu{-}Hsien Chang and Ming{-}Chung Liu and Po{-}Yu Chang and Chia{-}Jen Liang and Yi{-}Chu Chen and Hsi{-}Liang Lu and Jian{-}Yu Ding and Chin{-}Chung Wang and YuLi Hsueh and Jen{-}Che Tsai and Min{-}Shun Hsu and Yuan{-}Hung Chung and George Chien}, title = {7.1 An 802.11ac dual-band reconfigurable transceiver supporting up to four {VHT80} spatial streams with 116fsrms-jitter frequency synthesizer and integrated {LNA/PA} delivering 256QAM 19dBm per stream achieving 1.733Gb/s {PHY} rate}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {126--127}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870293}, doi = {10.1109/ISSCC.2017.7870293}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenLCCLCLCLDWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/SaedHGC17, author = {Abdullah A. Saed and Siu{-}Wai Ho and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Minimal Noise Variance Decoder for Uncoordinated Multiple Access in {VLC}}, booktitle = {85th {IEEE} Vehicular Technology Conference, {VTC} Spring 2017, Sydney, Australia, June 4-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCSpring.2017.8108580}, doi = {10.1109/VTCSPRING.2017.8108580}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/SaedHGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/BovizCY17, author = {Dora Boviz and Chung Shue Chen and Sheng Yang}, title = {Cost-Aware Fronthaul Rate Allocation to Maximize Benefit of Multi-User Reception in {C-RAN}}, booktitle = {2017 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2017, San Francisco, CA, USA, March 19-22, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WCNC.2017.7925531}, doi = {10.1109/WCNC.2017.7925531}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/BovizCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wd/ZhanCCT17, author = {Shun{-}Cheng Zhan and Shi{-}Chung Chang and Chun{-}Ting Chou and Zsehong Tsai}, editor = {Manuel Ricardo and Rui Campos and Jos{\'{e}} Ruela and Ricardo Morla and Filipe Teixeira and Lu{\'{\i}}s M. Pessoa and Henrique M. Salgado}, title = {Spectrum Sharing Auction platform for Short-term licensed shared access}, booktitle = {2017 Wireless Days, Porto, Portugal, March 29-31, 2017}, pages = {184--187}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WD.2017.7918140}, doi = {10.1109/WD.2017.7918140}, timestamp = {Thu, 10 Jun 2021 09:33:43 +0200}, biburl = {https://dblp.org/rec/conf/wd/ZhanCCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-02619, author = {Yijie Peng and Edwin K. P. Chong and Chun{-}Hung Chen and Michael C. Fu}, title = {Ranking and Selection as Stochastic Control}, journal = {CoRR}, volume = {abs/1710.02619}, year = {2017}, url = {http://arxiv.org/abs/1710.02619}, eprinttype = {arXiv}, eprint = {1710.02619}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-02619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenZSSWLR16, author = {Bin Chen and Chunsheng Zhu and Lei Shu and Man Su and Jibo Wei and Victor C. M. Leung and Joel J. P. C. Rodrigues}, title = {Securing Uplink Transmission for Lightweight Single-Antenna UEs in the Presence of a Massive {MIMO} Eavesdropper}, journal = {{IEEE} Access}, volume = {4}, pages = {5374--5384}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2608932}, doi = {10.1109/ACCESS.2016.2608932}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenZSSWLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LinCYC16, author = {Pei{-}Chung Lin and Chun{-}Yang Cheng and Jien{-}Wei Yeh and Tsung{-}Shune Chin}, title = {Soft Magnetic Properties of High-Entropy Fe-Co-Ni-Cr-Al-Si Thin Films}, journal = {Entropy}, volume = {18}, number = {8}, pages = {308}, year = {2016}, url = {https://doi.org/10.3390/e18080308}, doi = {10.3390/E18080308}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LinCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/PengCFH16, author = {Yijie Peng and Chun{-}Hung Chen and Michael C. Fu and Jian{-}Qiang Hu}, title = {Dynamic Sampling Allocation and Design Selection}, journal = {{INFORMS} J. Comput.}, volume = {28}, number = {2}, pages = {195--208}, year = {2016}, url = {https://doi.org/10.1287/ijoc.2015.0673}, doi = {10.1287/IJOC.2015.0673}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informs/PengCFH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itsm/LinCWCJ16, author = {Shu{-}Hui Lin and Chung{-}Yu Chen and Eric Hsiao{-}Kuang Wu and Ching{-}Yao Chan and Emery Jou}, title = {A New e-Bus System Using Two-Way Government Radio Networks}, journal = {{IEEE} Intell. Transp. Syst. Mag.}, volume = {8}, number = {1}, pages = {51--60}, year = {2016}, url = {https://doi.org/10.1109/MITS.2015.2477657}, doi = {10.1109/MITS.2015.2477657}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itsm/LinCWCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ChungSTCCZCKRL16, author = {Wen{-}Yaw Chung and Angelito A. Silverio and Vincent F. S. Tsai and Cheanyeh Cheng and Shu{-}Yu Chang and Ming{-}Ying Zhou and Si{-}Yuan Chen and Chi{-}Ying Kao and Dan Arcega Rustia and Yi{-}Wen Lo}, title = {Design of a multi-frequency Bio-Impedance Spectroscopy system Analog Front-End and Digital Back-End with system on-chip implementation}, journal = {Microelectron. J.}, volume = {56}, pages = {142--155}, year = {2016}, url = {https://doi.org/10.1016/j.mejo.2016.08.011}, doi = {10.1016/J.MEJO.2016.08.011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/ChungSTCCZCKRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ChungSTCCZKCPRL16, author = {Wen{-}Yaw Chung and Angelito A. Silverio and Vincent F. S. Tsai and Cheanyeh Cheng and Shu{-}Yu Chang and Ming{-}Ying Zhou and Chi{-}Ying Kao and Si{-}Yuan Chen and Dorota G. Pijanowska and Dan Arcega Rustia and Yi{-}Wen Lo}, title = {An implementation of an electronic tongue system based on a multi-sensor potentiometric readout circuit with embedded calibration and temperature compensation}, journal = {Microelectron. J.}, volume = {57}, pages = {1--12}, year = {2016}, url = {https://doi.org/10.1016/j.mejo.2016.08.010}, doi = {10.1016/J.MEJO.2016.08.010}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/ChungSTCCZKCPRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LeeHC16, author = {Chao{-}Hsien Lee and Chung{-}Ming Huang and Wei{-}Shuang Chen}, title = {A POI-Aware Power Saving Scheme for Ubiquitous Touring Service using Mobile Devices over the Cellular and Wi-Fi Hybrid Network}, journal = {Mob. Networks Appl.}, volume = {21}, number = {2}, pages = {299--312}, year = {2016}, url = {https://doi.org/10.1007/s11036-015-0653-9}, doi = {10.1007/S11036-015-0653-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/LeeHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCSHLLYHWTTH16, author = {Chih{-}Hung Chou and Nai{-}Wen Chang and Sirjana Shrestha and Sheng{-}Da Hsu and Yu{-}Ling Lin and Wei{-}Hsiang Lee and Chi{-}Dung Yang and Hsiao{-}Chin Hong and Ting{-}Yen Wei and Siang{-}Jyun Tu and Tzi{-}Ren Tsai and Shu{-}Yi Ho and Ting{-}Yan Jian and Hsin{-}Yi Wu and Pin{-}Rong Chen and Nai{-}Chieh Lin and Hsin{-}Tzu Huang and Tzu{-}Ling Yang and Chung{-}Yuan Pai and Chun{-}San Tai and Wen{-}Liang Chen and Chia{-}Yen Huang and Chun{-}Chi Liu and Shun{-}Long Weng and Kuang{-}Wen Liao and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase 2016: updates to the experimentally validated miRNA-target interactions database}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {239--247}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1258}, doi = {10.1093/NAR/GKV1258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCSHLLYHWTTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/JiangXF16, author = {Guangxin Jiang and Chenglong Xu and Michael C. Fu}, title = {On sample average approximation algorithms for determining the optimal importance sampling parameters in pricing financial derivatives on L{\'{e}}vy processes}, journal = {Oper. Res. Lett.}, volume = {44}, number = {1}, pages = {44--49}, year = {2016}, url = {https://doi.org/10.1016/j.orl.2015.11.004}, doi = {10.1016/J.ORL.2015.11.004}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/JiangXF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/HanCKSM16, author = {Guoqi Han and Nancy Chen and Chung{-}Yen Kuo and C. K. Shum and Zhimin Ma}, title = {Interannual and Decadal Sea Surface Height Variability Over the Northwest Atlantic Slope}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {11}, pages = {5071--5078}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2584778}, doi = {10.1109/JSTARS.2016.2584778}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/HanCKSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tccn/ZhanC16, author = {Shun{-}Cheng Zhan and Shi{-}Chung Chang}, title = {Double Auction Design for Short-Interval and Heterogeneous Spectrum Sharing}, journal = {{IEEE} Trans. Cogn. Commun. Netw.}, volume = {2}, number = {1}, pages = {83--94}, year = {2016}, url = {https://doi.org/10.1109/TCCN.2016.2570799}, doi = {10.1109/TCCN.2016.2570799}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tccn/ZhanC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/PanSLCX16, author = {Zhi{-}Wei Pan and Hui{-}Liang Shen and Chunguang Li and Shu{-}Jie Chen and John H. Xin}, title = {Fast Multispectral Imaging by Spatial Pixel-Binning and Spectral Unmixing}, journal = {{IEEE} Trans. Image Process.}, volume = {25}, number = {8}, pages = {3612--3625}, year = {2016}, url = {https://doi.org/10.1109/TIP.2016.2576401}, doi = {10.1109/TIP.2016.2576401}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/PanSLCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChenCHHWS16, author = {Chi{-}Huan Chen and Hung{-}Chen Chen and Yu{-}Shyang Huang and Ping{-}Hsuan Hsieh and Ping{-}Hsien Wu and Yi{-}Chung Shu}, title = {A series-SSHI-Phi interface circuit for piezoelectric energy harvesting with 163{\%} improvement in extracted power at off-resonance}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {29--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844127}, doi = {10.1109/ASSCC.2016.7844127}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChenCHHWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausctw/BovizACR16, author = {Dora Boviz and Gopalasingham Aravinthan and Chung Shue Chen and Laurent Roullet}, title = {Physical layer split for user selective uplink joint reception in {SDN} enabled cloud-RAN}, booktitle = {2016 Australian Communications Theory Workshop, AusCTW 2016, Melbourne, Australia, January 20-22, 2016}, pages = {83--88}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/AusCTW.2016.7433654}, doi = {10.1109/AUSCTW.2016.7433654}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ausctw/BovizACR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/GopalasinghamRT16, author = {Aravinthan Gopalasingham and Laurent Roullet and Nessrine Trabelsi and Chung Shue Chen and Abdelkrim Hebbar and {\'{E}}rick Bizouarn}, title = {Generalized software defined network platform for Radio Access Networks}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {626--629}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444851}, doi = {10.1109/CCNC.2016.7444851}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/GopalasinghamRT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCHC16, author = {Tseng{-}Yi Chen and Yuan{-}Hao Chang and Chien{-}Chung Ho and Shuo{-}Han Chen}, title = {Enabling sub-blocks erase management to boost the performance of 3D {NAND} flash memory}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {92:1--92:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898018}, doi = {10.1145/2897937.2898018}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChungSRCLTCT16, author = {Wen{-}Yaw Chung and Angelito A. Silverio and Dan Jeric Arcega Rustia and Shu{-}Yu Chang and Yi{-}Wen Lo and Vincent F. S. Tsai and Cheanyeh Cheng and Joseph Demferlee Tatel}, title = {A system platform with conductometric and potentiometrie sensors for point-of-care testing of urine quality}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800453}, doi = {10.1109/GCCE.2016.7800453}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChungSRCLTCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaci/LinCYW16, author = {Shu{-}Jing Lin and Yi{-}Chung Chen and Don{-}Lin Yang and Jungpin Wu}, title = {Discovering long maximal frequent pattern}, booktitle = {Eighth International Conference on Advanced Computational Intelligence, {ICACI} 2016, Chiang Mai, Thailand, February 14-16, 2016}, pages = {136--142}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICACI.2016.7449817}, doi = {10.1109/ICACI.2016.7449817}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaci/LinCYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadiwt/ChungHHYWT16, author = {In{-}Hang Chung and Kun{-}Shu Huang and Chi{-}Kuang Hwang and Ming{-}Ching Yen and Chih{-}Hu Wang and Ching{-}Cheng Tien}, editor = {{\`{A}}ngela Nebot and Xavier Binefa and Ram{\'{o}}n L{\'{o}}pez de M{\'{a}}ntaras}, title = {Evaluation for the Probability of Collision in Framed Slotted Aloha Systems}, booktitle = {Artificial Intelligence Research and Development - Proceedings of the 19th International Conference of the Catalan Association for Artificial Intelligence, Barcelona, Catalonia, Spain, October 19-21, 2016}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {288}, pages = {19--25}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-637-8-19}, doi = {10.3233/978-1-61499-637-8-19}, timestamp = {Fri, 11 May 2018 12:42:30 +0200}, biburl = {https://dblp.org/rec/conf/icadiwt/ChungHHYWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/AJFMS16, author = {Prashanth L. A. and Cheng Jie and Michael C. Fu and Steven I. Marcus and Csaba Szepesv{\'{a}}ri}, editor = {Maria{-}Florina Balcan and Kilian Q. Weinberger}, title = {Cumulative Prospect Theory Meets Reinforcement Learning: Prediction and Control}, booktitle = {Proceedings of the 33nd International Conference on Machine Learning, {ICML} 2016, New York City, NY, USA, June 19-24, 2016}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {48}, pages = {1406--1415}, publisher = {JMLR.org}, year = {2016}, url = {http://proceedings.mlr.press/v48/la16.html}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/AJFMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/TuTC16, author = {Sheng{-}Chung Tu and David Wen{-}Shung Tai and Jr{-}Shian Chen}, title = {Construct Digital Literacy Competences Based on Fusion Fuzzy Delphi Questionnaire}, booktitle = {5th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2016, Kumamoto, Japan, July 10-14, 2016}, pages = {281--284}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IIAI-AAI.2016.70}, doi = {10.1109/IIAI-AAI.2016.70}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/TuTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/YehWLHCL16, author = {Wei{-}Chang Yeh and Siang{-}Tai Wang and Chyh{-}Ming Lai and Yen{-}Cheng Huang and Yuk Ying Chung and Jsen{-}Shung Lin}, title = {Simplified swarm optimization for repairable redundancy allocation problem in multi-state systems with bridge topology}, booktitle = {2016 International Joint Conference on Neural Networks, {IJCNN} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {3935--3941}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IJCNN.2016.7727710}, doi = {10.1109/IJCNN.2016.7727710}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/YehWLHCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/HerculeaCHC16, author = {Dalia{-}Georgiana Herculea and Chung Shue Chen and Majed Haddad and V{\'{e}}ronique Capdevielle}, editor = {Yang Chen and Michael Sirivianos}, title = {Straight: stochastic geometry and user history based mobility estimation}, booktitle = {Proceedings of the 8th {ACM} International Workshop on Hot Topics in Planet-scale mObile computing and online Social neTworking, HOTPOST@MobiHoc 2016, Paderborn, Germany, July 5, 2016}, pages = {1--6}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2944790}, timestamp = {Tue, 06 Nov 2018 11:07:07 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/HerculeaCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/GopalasinghamVR16, author = {Aravinthan Gopalasingham and Quan Pham{-}Van and Laurent Roullet and Chung Shue Chen and Eric Renault and Lionel Natarianni and Stephane De Marchi and Emmanuel Hamman}, editor = {Rajesh Krishna Balan and Archan Misra and Landon P. Cox and Yutaka Arakawa and Xia Zhou and Robert LiKamWa}, title = {Poster: {SDN} for Future Train to Ground Communication Services}, booktitle = {Proceedings of the 14th Annual International Conference on Mobile Systems, Applications, and Services Companion, Singapore, Singapore, June 25-30, 2016}, pages = {134}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2938559.2938605}, doi = {10.1145/2938559.2938605}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/GopalasinghamVR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwcn/GopalasinghamVR16, author = {Aravinthan Gopalasingham and Quan Pham{-}Van and Laurent Roullet and Chung Shue Chen and {\'{E}}ric Renault and Lionel Natarianni and Stephane De Marchi and Emmanuel Hamman}, title = {Software-Defined mobile backhaul for future Train to ground Communication services}, booktitle = {9th {IFIP} Wireless and Mobile Networking Conference, {WMNC} 2016, Colmar, France, July 11-13, 2016}, pages = {161--167}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WMNC.2016.7543984}, doi = {10.1109/WMNC.2016.7543984}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwcn/GopalasinghamVR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/HuangLCHC16, author = {Hui Ling Huang and Cheng Yuan Lee and Pei Lung Chuang and Chu Jung Hsu and Shu Chung Chen}, editor = {Walter Sermeus and Paula M. Procter and Patrick Weber}, title = {Using Model of Clinic Care Classification in Clinical Nursing Information System}, booktitle = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, series = {Studies in Health Technology and Informatics}, volume = {225}, pages = {1064--1065}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-658-3-1064}, doi = {10.3233/978-1-61499-658-3-1064}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/HuangLCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/TrabelsiCRAA16, author = {Nessrine Trabelsi and Chung Shue Chen and Laurent Roullet and Eitan Altman and Rachid El Azouzi}, editor = {Sema Oktug and Mehmet Ulema and Cicek Cavdar and Lisandro Zambenedetti Granville and Carlos Raniery Paula dos Santos}, title = {Coordinated scheduling via frequency and power allocation optimization in {LTE} cellular networks}, booktitle = {2016 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2016, Istanbul, Turkey, April 25-29, 2016}, pages = {44--51}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NOMS.2016.7502795}, doi = {10.1109/NOMS.2016.7502795}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/noms/TrabelsiCRAA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TsaiCPLCWSHKCL16, author = {Cheng{-}Ting Tsai and Shuo Chang and Chun{-}Yen Pong and Shan{-}Fong Liang and Yu{-}Chieh Chi and Chao{-}Hsin Wu and Tien{-}Tsorng Shih and Jian Jang Huang and Hao{-}Chung Kuo and Wood{-}Hi Cheng and Gong{-}Ru Lin}, title = {{RIN} suppressed multimode 850-nm {VCSEL} for 56-Gbps 16-QAM {OFDM} and 22-Gbps {PAM-4} transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537478}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/TsaiCPLCWSHKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/HuangLMCHCYCH16, author = {Mu{-}Han Huang and Yu{-}Cing Luo and Chen{-}Nien Mao and Bing{-}Liang Chen and Shih{-}Chun Huang and Jerry Chou and Shun{-}Ren Yang and Yeh{-}Ching Chung and Cheng{-}Hsin Hsu}, editor = {Jong{-}Hyouk Lee and Sangheon Pack}, title = {Performance Evaluations of Cloud Radio Access Networks}, booktitle = {Quality, Reliability, Security and Robustness in Heterogeneous Networks - 12th International Conference, QShine 2016, Seoul, Korea, July 7-8, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {199}, pages = {312--321}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-60717-7\_31}, doi = {10.1007/978-3-319-60717-7\_31}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qshine/HuangLMCHCYCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LiTYLCHLLKHCXS16, author = {Chao{-}Chieh Li and Tsung{-}Hsien Tsai and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Kenny Hsieh and Mark Chen and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A 0.034mm\({}^{\mbox{2}}\), 725fs {RMS} jitter, 1.8{\%}/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital {PLL} in 10nm FinFET {CMOS}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573551}, doi = {10.1109/VLSIC.2016.7573551}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LiTYLCHLLKHCXS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HuangSC16, author = {Linyu Huang and Chi Wan Sung and Chung Shue Chen}, title = {Joint Power Control and Scheduling for Context-Aware Unicast Cellular Networks}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VTCSpring.2016.7504312}, doi = {10.1109/VTCSPRING.2016.7504312}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HuangSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HaddadHARCCR16, author = {Majed Haddad and Dalia{-}Georgiana Herculea and Eitan Altman and Nidham Ben Rached and V{\'{e}}ronique Capdevielle and Chung Shue Chen and Frederic Ratovelomanana}, title = {Mobility state estimation in {LTE}}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2016, Doha, Qatar, April 3-6, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WCNC.2016.7564917}, doi = {10.1109/WCNC.2016.7564917}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HaddadHARCCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wincom/BovizAACD16, author = {Dora Boviz and Nivine Abbas and Gopalasingham Aravinthan and Chung Shue Chen and Mohamed Amine Dridi}, title = {Multi-cell coordination in Cloud {RAN:} Architecture and optimization}, booktitle = {2016 International Conference on Wireless Networks and Mobile Communications, {WINCOM} 2016, Fez, Morocco, October 26-29, 2016}, pages = {271--277}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WINCOM.2016.7777226}, doi = {10.1109/WINCOM.2016.7777226}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wincom/BovizAACD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/SalaunCCW16, author = {Lou Salaun and Chung Shue Chen and Yi Chen and Wing Shing Wong}, title = {Constant delivery delay protocol sequences for the collision channel without feedback}, booktitle = {19th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2016, Shenzhen, China, November 14-16, 2016}, pages = {429--434}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7954517}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/SalaunCCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/YiCC15, author = {Shu{-}Chung Yi and Yeong{-}Chin Chen and Ching{-}Haur Chang}, title = {A lane detection approach based on intelligent vision}, journal = {Comput. Electr. Eng.}, volume = {42}, pages = {23--29}, year = {2015}, url = {https://doi.org/10.1016/j.compeleceng.2015.01.002}, doi = {10.1016/J.COMPELECENG.2015.01.002}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/YiCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eeel/SuYCWLW15, author = {Chung{-}Yen Su and Li{-}An Yu and Nai{-}Kuei Chen and Jheng{-}Jyun Wang and Ying{-}Hao Liu and Shuen{-}De Wu}, title = {Sub-pixel Edge Detection of {LED} Probes Based On Partial Area Effect}, journal = {{EAI} Endorsed Trans. e Learn.}, volume = {2}, number = {7}, pages = {e3}, year = {2015}, url = {https://doi.org/10.4108/icst.iniscom.2015.258308}, doi = {10.4108/ICST.INISCOM.2015.258308}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eeel/SuYCWLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/ChenYL15, author = {Patrick S. Chen and David C. Yen and Shu{-}Chiung Lin}, title = {The Classification of Information Assets and Risk Assessment: An Exploratory Study using the Case of C-Bank}, journal = {J. Glob. Inf. Manag.}, volume = {23}, number = {4}, pages = {26--54}, year = {2015}, url = {https://doi.org/10.4018/JGIM.2015100102}, doi = {10.4018/JGIM.2015100102}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgim/ChenYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/NuwanpriyaHC15, author = {Asanka Nuwanpriya and Siu{-}Wai Ho and Chung Shue Chen}, title = {Indoor {MIMO} Visible Light Communications: Novel Angle Diversity Receivers for Mobile Users}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {33}, number = {9}, pages = {1780--1792}, year = {2015}, url = {https://doi.org/10.1109/JSAC.2015.2432514}, doi = {10.1109/JSAC.2015.2432514}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/NuwanpriyaHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungCYKLSHHLSHC15, author = {Chun{-}Hsiung Hung and Meng{-}Fan Chang and Yih{-}Shan Yang and Yao{-}Jen Kuo and Tzu{-}Neng Lai and Shin{-}Jang Shen and Jo{-}Yu Hsu and Shuo{-}Nan Hung and Hang{-}Ting Lue and Yen{-}Hao Shih and Shih{-}Lin Huang and Ti{-}Wen Chen and Tzung Shen Chen and Chung Kuang Chen and Chi{-}Yu Hung and Chih{-}Yuan Lu}, title = {Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate {BE-SONOS} {NAND} Flash Against Cross-Layer Process Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {6}, pages = {1491--1501}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413841}, doi = {10.1109/JSSC.2015.2413841}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HungCYKLSHHLSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChengCTHCWCSCCWW15, author = {Wei{-}Chung Cheng and I{-}Fang Chung and Cheng{-}Fong Tsai and Tse{-}Shun Huang and Chen{-}Yang Chen and Shao{-}Chuan Wang and Ting{-}Yu Chang and Hsing{-}Jen Sun and Jeffrey Yung{-}Chuan Chao and Cheng{-}Chung Cheng and Cheng{-}Wen Wu and Hsei{-}Wei Wang}, title = {YM500v2: a small {RNA} sequencing (smRNA-seq) database for human cancer miRNome research}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {862--867}, year = {2015}, url = {https://doi.org/10.1093/nar/gku1156}, doi = {10.1093/NAR/GKU1156}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChengCTHCWCSCCWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangCCTH15, author = {Kuo{-}Lung Huang and Chung{-}Cheng Chiu and Sheng{-}Yi Chiu and Yao{-}Jen Teng and Shu{-}Sheng Hao}, title = {Monocular Vision System for Fixed Altitude Flight of Unmanned Aerial Vehicles}, journal = {Sensors}, volume = {15}, number = {7}, pages = {16848--16865}, year = {2015}, url = {https://doi.org/10.3390/s150716848}, doi = {10.3390/S150716848}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangCCTH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ShuaiSHYCLC15, author = {Hong{-}Han Shuai and Chih{-}Ya Shen and Hsiang{-}Chun Hsu and De{-}Nian Yang and Chung{-}Kuang Chou and Jihg{-}Hong Lin and Ming{-}Syan Chen}, title = {Revenue maximization for telecommunications company with social viral marketing}, booktitle = {2015 {IEEE} International Conference on Big Data {(IEEE} BigData 2015), Santa Clara, CA, USA, October 29 - November 1, 2015}, pages = {1306--1310}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigData.2015.7363886}, doi = {10.1109/BIGDATA.2015.7363886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/ShuaiSHYCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HuynhCH15, author = {The Dang Huynh and Chung Shue Chen and Siu{-}Wai Ho}, title = {Exploiting user movement for position detection}, booktitle = {12th Annual {IEEE} Consumer Communications and Networking Conference, {CCNC} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {513--518}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCNC.2015.7158027}, doi = {10.1109/CCNC.2015.7158027}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/HuynhCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/MaoHPWCCH15, author = {Chen{-}Nien Mao and Mu{-}Han Huang and Satyajit Padhy and Shu{-}Ting Wang and Wu{-}Chun Chung and Yeh{-}Ching Chung and Cheng{-}Hsin Hsu}, title = {Minimizing Latency of Real-Time Container Cloud for Software Radio Access Networks}, booktitle = {7th {IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2015, Vancouver, BC, Canada, November 30 - December 3, 2015}, pages = {611--616}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CloudCom.2015.67}, doi = {10.1109/CLOUDCOM.2015.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/MaoHPWCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YangCHCCLC15, author = {Chao{-}Tung Yang and William C. C. Chu and Huang{-}Nan Huang and Shuo{-}Tsung Chen and Der{-}Fa Chen and Chiu{-}Chun Lin and Chih{-}Hung Chang}, editor = {Sheikh Iqbal Ahamed and Carl K. Chang and William C. Chu and Ivica Crnkovic and Pao{-}Ann Hsiung and Gang Huang and Jingwei Yang}, title = {Optimizing {PSNR} for Image Watermarking Using Summation Quantization on {DWT} Coefficients}, booktitle = {39th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2015, Taichung, Taiwan, July 1-5, 2015. Volume 1}, pages = {68--74}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/COMPSAC.2015.194}, doi = {10.1109/COMPSAC.2015.194}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YangCHCCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinCL15, author = {Shu{-}Chiang Lin and Jeng{-}Chung Chen and Wen{-}Chin Li}, editor = {Don Harris}, title = {The Analysis of Human Error Prevention Strategies in Military Aviation}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 12th International Conference, {EPCE} 2015, Held as Part of {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9174}, pages = {428--435}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20373-7\_41}, doi = {10.1007/978-3-319-20373-7\_41}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenHWYL15, author = {Xiang Chen and Jenq{-}Neng Hwang and Cheng{-}Ju Wu and Shun{-}Ren Yang and Chung{-}Nan Lee}, title = {A QoE-based {APP} layer scheduling scheme for scalable video transmissions over multi-RAT systems?}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {6779--6784}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7249406}, doi = {10.1109/ICC.2015.7249406}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenHWYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HuynhCH15, author = {The Dang Huynh and Chung Shue Chen and Siu{-}Wai Ho}, title = {Localization method for device-to-device through user movement}, booktitle = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, pages = {821--826}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCW.2015.7247279}, doi = {10.1109/ICCW.2015.7247279}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HuynhCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhanCC15, author = {Shun{-}Cheng Zhan and Chun{-}Ting Chou and Shi{-}Chung Chang}, title = {Auction-based spectrum sharing among heterogeneous secondary networks}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {2160--2165}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248645}, doi = {10.1109/ICC.2015.7248645}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ZhanCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/HuangCHT15, author = {Chen{-}Wei Huang and Yu{-}An Chung and Pei{-}Shu Huang and Shiao{-}Li Tsao}, title = {High-level energy consumption model of embedded graphic processors}, booktitle = {2015 {IEEE} International Conference on Digital Signal Processing, {DSP} 2015, Singapore, July 21-24, 2015}, pages = {105--109}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICDSP.2015.7251839}, doi = {10.1109/ICDSP.2015.7251839}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/HuangCHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/KungCC15, author = {Chung{-}Chun Kung and Shuo{-}Chieh Chang and Ti{-}Hung Chen}, editor = {Hamido Fujita and Shun{-}Feng Su}, title = {Online State-of-Charge and State-of-Health Estimation of Lithium Battery Based on Equivalent Circuit Model}, booktitle = {New Trends on System Sciences and Engineering - Proceedings of {ICSSE} 2015 [International Conference on System Science and Engineering, Morioka, Japan, July 6-8 2015]}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {276}, pages = {433--446}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-522-7-433}, doi = {10.3233/978-1-61499-522-7-433}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/KungCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienHWLHC15, author = {Ai Chien and Shuo{-}Hong Hung and Kuan{-}I Wu and Chang{-}Yi Liu and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 8.1/5.4/2.7/1.62 Gb/s receiver for DisplayPort Version 1.3 with automatic bit-rate tracking scheme}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2393--2396}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169166}, doi = {10.1109/ISCAS.2015.7169166}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienHWLHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HungKWHHC15, author = {Shuo{-}Hong Hung and Wei{-}Hao Kao and Kuan{-}I Wu and Yi{-}Wei Huang and Min{-}Han Hsieh and Charlie Chung{-}Ping Chen}, title = {A 160MHz-to-2GHz low jitter fast lock all-digital {DLL} with phase tracking technique}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {553--556}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168693}, doi = {10.1109/ISCAS.2015.7168693}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HungKWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHHC15, author = {Kuan{-}I Wu and Szu{-}Yao Hung and Shuo{-}Hong Hung and Charlie Chung{-}Ping Chen}, title = {A fast-settling high linearity auto gain control for broadband OFDM-based {PLC} system}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {2852--2855}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7169281}, doi = {10.1109/ISCAS.2015.7169281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mda/ChenYHCCH15, author = {Jui{-}Jen Chen and Hung{-}Chi Yen and Shu{-}Hua Huang and Yen{-}Hsiang Chang and Huang{-}Chung Chen and Shin{-}Chun Huang}, editor = {Petra Perner}, title = {Applying Ontology and Information Extraction Techniques to Develop a Nuclear Medicine Examination Report Auditing System in Department of Nuclear Medcine}, booktitle = {Advances in Mass Data Analysis of Images and Signals in Medicine, Biotechnology, Chemistry and Food Industry, 10th International Conference, {MDA} 2015, Hamburg, Germany, July 2015, Proceedings}, pages = {1--16}, publisher = {ibai Publishing}, year = {2015}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mda/ChenYHCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LiFSLZYTCGZX15, author = {Jianfu Li and Flavio Ferraz and Shunyao Shen and Yi{-}Fang Lo and Xiaoyan Zhang and Peng Yuan and Zhen Tang and Ken{-}Chung Chen and Jaime Gateno and Xiaobo Zhou and James J. Xia}, editor = {Nassir Navab and Joachim Hornegger and William M. Wells III and Alejandro F. Frangi}, title = {Automated Three-Piece Digital Dental Articulation}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2015 - 18th International Conference Munich, Germany, October 5-9, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9349}, pages = {488--496}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24553-9\_60}, doi = {10.1007/978-3-319-24553-9\_60}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LiFSLZYTCGZX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/HerculeaHCC15, author = {Dalia{-}Georgiana Herculea and Majed Haddad and V{\'{e}}ronique Capdevielle and Chung Shue Chen}, editor = {Serge Fdida and Giovanni Pau and Sneha Kumar Kasera and Heather Zheng}, title = {Poster: Network-Based {UE} Mobility Estimation in Mobile Networks}, booktitle = {Proceedings of the 21st Annual International Conference on Mobile Computing and Networking, MobiCom 2015, Paris, France, September 7-11, 2015}, pages = {233--235}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2789168.2795166}, doi = {10.1145/2789168.2795166}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/HerculeaHCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/HerculeaHCC15a, author = {Dalia{-}Georgiana Herculea and Majed Haddad and V{\'{e}}ronique Capdevielle and Chung Shue Chen}, editor = {Puneet Jain and Petko Georgiev}, title = {Network-Based {UE} Mobility Estimation in Mobile Networks}, booktitle = {Proceedings of the 2015 Workshop on Wireless of the Students, by the Students, {\&} for the Students, S3@MobiCom 2015, Paris, France, September 11, 2015}, pages = {1--3}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2801694.2801704}, doi = {10.1145/2801694.2801704}, timestamp = {Tue, 06 Nov 2018 16:59:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/HerculeaHCC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/ChuSCC15, author = {Slo{-}Li Chu and Sheng{-}Jie Shu and Ching{-}Chung Chen and Ching{-}Jung Chen}, title = {Camellia: {A} Novel High Performance On-Chip Network for Multicore Architectures}, booktitle = {11th International Conference on Semantics, Knowledge and Grids, {SKG} 2015, Beijing, China, August 19-21, 2015}, pages = {186--191}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SKG.2015.44}, doi = {10.1109/SKG.2015.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/skg/ChuSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LiaoSC15, author = {Chung{-}Ju Liao and Shun{-}Feng Su and Ming{-}Chang Chen}, title = {Vision-Based Hand Gesture Recognition System for a Dynamic and Complicated Environment}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {2891--2895}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.503}, doi = {10.1109/SMC.2015.503}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LiaoSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsa/ChenYCCC15, author = {Yong{-}Lun Chen and Chao{-}Tung Yang and Shuo{-}Tsung Chen and Kuang{-}Chin Chang and William C. C. Chu}, title = {Environment Virtualized Distributed Storage System Deployment and Effectiveness Analysis}, booktitle = {2015 Second International Conference on Trustworthy Systems and Their Applications, {TSA} 2015, Hualien, Taiwan, July 8-9, 2015}, pages = {94--99}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TSA.2015.24}, doi = {10.1109/TSA.2015.24}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tsa/ChenYCCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChenCY15, author = {Ming{-}Shuan Chen and Mau{-}Chung Frank Chang and Chih{-}Kong Ken Yang}, title = {A low-PDP and low-area repeater using passive {CTLE} for on-chip interconnects}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {244}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231273}, doi = {10.1109/VLSIC.2015.7231273}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChenCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LiuCS15, author = {Ye Liu and Chung Shue Chen and Chi Wan Sung}, title = {Distributed Enhanced Inter-Cell Interference Coordination (eICIC) in LTE-Advanced HetNets: {A} Potential Game Approach}, booktitle = {{IEEE} 81st Vehicular Technology Conference, {VTC} Spring 2015, Glasgow, United Kingdom, 11-14 May, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCSpring.2015.7145784}, doi = {10.1109/VTCSPRING.2015.7145784}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LiuCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/LiuCS15, author = {Ye Liu and Chung Shue Chen and Chi Wan Sung}, title = {Joint optimization on inter-cell interference management and user attachment in {LTE-A} HetNets}, booktitle = {13th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, WiOpt 2015, Mumbai, India, May 25-29, 2015}, pages = {62--69}, publisher = {{IEEE}}, year = {2015}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2015/LiuCS15.pdf}, doi = {10.1109/WIOPT.2015.7151054}, timestamp = {Thu, 09 Dec 2021 12:24:09 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/LiuCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/JiangFX15, author = {Guangxin Jiang and Michael C. Fu and Chenglong Xu}, title = {Optimal importance sampling for simulation of l{\'{e}}vy processes}, booktitle = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, pages = {3813--3824}, publisher = {{IEEE/ACM}}, year = {2015}, url = {https://doi.org/10.1109/WSC.2015.7408538}, doi = {10.1109/WSC.2015.7408538}, timestamp = {Thu, 10 Jun 2021 22:19:03 +0200}, biburl = {https://dblp.org/rec/conf/wsc/JiangFX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/PengCFH15, author = {Yijie Peng and Chun{-}Hung Chen and Michael C. Fu and Jian{-}Qiang Hu}, title = {Non-monotonicity of probability of correct selection}, booktitle = {Proceedings of the 2015 Winter Simulation Conference, Huntington Beach, CA, USA, December 6-9, 2015}, pages = {3678--3689}, publisher = {{IEEE/ACM}}, year = {2015}, url = {https://doi.org/10.1109/WSC.2015.7408526}, doi = {10.1109/WSC.2015.7408526}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/PengCFH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ACFM15, author = {Prashanth L. A. and Cheng Jie and Michael C. Fu and Steven I. Marcus}, title = {Cumulative Prospect Theory Meets Reinforcement Learning: Estimation and Control}, journal = {CoRR}, volume = {abs/1506.02632}, year = {2015}, url = {http://arxiv.org/abs/1506.02632}, eprinttype = {arXiv}, eprint = {1506.02632}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ACFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/NguyenBTC15, author = {Van Minh Nguyen and Fran{\c{c}}ois Baccelli and Laurent Thomas and Chung Shue Chen}, title = {Best Signal Quality in Cellular Networks: Asymptotic Properties and Applications to Mobility Management in Small Cell Networks}, journal = {CoRR}, volume = {abs/1502.00794}, year = {2015}, url = {http://arxiv.org/abs/1502.00794}, eprinttype = {arXiv}, eprint = {1502.00794}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/NguyenBTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/NguyenCT15, author = {Van Minh Nguyen and Chung Shue Chen and Laurent Thomas}, title = {A Unified Stochastic Model of Handover Measurement in Mobile Networks}, journal = {CoRR}, volume = {abs/1502.00407}, year = {2015}, url = {http://arxiv.org/abs/1502.00407}, eprinttype = {arXiv}, eprint = {1502.00407}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/NguyenCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/LinKC14, author = {Chun{-}Cheng Lin and Jia{-}Rong Kang and Shu{-}Hsing Chung}, title = {Modeling the Material Allocation System for {TFT-LCD} Module Factories Based on Make-to-Stock Production}, journal = {Asia Pac. J. Oper. Res.}, volume = {31}, number = {6}, year = {2014}, url = {https://doi.org/10.1142/S021759591450047X}, doi = {10.1142/S021759591450047X}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/LinKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ChinCWHKL14, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Hsin{-}Hung Wu and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {cytoHubba: identifying hub objects and sub-networks from complex interactome}, journal = {{BMC} Syst. Biol.}, volume = {8}, number = {{S-4}}, pages = {S11}, year = {2014}, url = {https://doi.org/10.1186/1752-0509-8-S4-S11}, doi = {10.1186/1752-0509-8-S4-S11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ChinCWHKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cga/WongHCMJ14, author = {Pak Chung Wong and Zhenyu Huang and Yousu Chen and Patrick Mackey and Shuangshuang Jin}, title = {Visual Analytics for Power Grid Contingency Analysis}, journal = {{IEEE} Computer Graphics and Applications}, volume = {34}, number = {1}, pages = {42--51}, year = {2014}, url = {https://doi.org/10.1109/MCG.2014.17}, doi = {10.1109/MCG.2014.17}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cga/WongHCMJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/GarciaCZS14, author = {Virgile Garcia and Chung Shue Chen and Yiqing Zhou and Jinglin Shi}, title = {Gibbs sampling based distributed {OFDMA} resource allocation}, journal = {Sci. China Inf. Sci.}, volume = {57}, number = {4}, pages = {1--12}, year = {2014}, url = {https://doi.org/10.1007/s11432-014-5076-x}, doi = {10.1007/S11432-014-5076-X}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/GarciaCZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cse/DuKCL14, author = {Yi{-}Chun Du and Chung{-}Dann Kan and Wei{-}Ling Chen and Chia{-}Hung Lin}, title = {Estimating Residual Stenosis for an Arteriovenous Shunt Using a Flexible Fuzzy Classifier}, journal = {Comput. Sci. Eng.}, volume = {16}, number = {6}, pages = {80--91}, year = {2014}, url = {https://doi.org/10.1109/MCSE.2014.56}, doi = {10.1109/MCSE.2014.56}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cse/DuKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/ChenCW14, author = {Chung{-}Yang Chen and Chun{-}Shuo Chen and Xiang{-}Ting Wang}, title = {Cloud Service Capability Maturity Model (Cs-Cmm): {A} Preliminary Study on Its Conceptual Design}, journal = {Int. J. Electron. Bus. Manag.}, volume = {12}, number = {3}, year = {2014}, url = {http://ijebm-ojs.ie.nthu.edu.tw/IJEBM\_OJS/index.php/IJEBM/article/view/662}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijebm/ChenCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivs/LinCHC14, author = {Chao{-}Hung Lin and Jyun{-}Yuan Chen and Shun{-}Siang Hsu and Yun{-}Huan Chung}, title = {Automatic tourist attraction and representative icon determination for tourist map generation}, journal = {Inf. Vis.}, volume = {13}, number = {1}, pages = {18--28}, year = {2014}, url = {https://doi.org/10.1177/1473871612472177}, doi = {10.1177/1473871612472177}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ivs/LinCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ChenTLGHSS14, author = {Fu{-}Ming Chen and Jason Sheng{-}Hong Tsai and Ying Ting Liao and Shu{-}Mei Guo and Ming{-}Chung Ho and Fu{-}Zen Shaw and Leang{-}San Shieh}, title = {An improvement on the transient response of tracking for the sampled-data system based on an improved PD-type iterative learning control}, journal = {J. Frankl. Inst.}, volume = {351}, number = {2}, pages = {1130--1150}, year = {2014}, url = {https://doi.org/10.1016/j.jfranklin.2013.10.014}, doi = {10.1016/J.JFRANKLIN.2013.10.014}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/ChenTLGHSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/ChengDHZF14, author = {Hai Cheng and Qun Ding and Chunguang Huang and Shuxia Zhai and Lianzhong Fang}, title = {Improvements of {SM4} Algorithm and Application in Ethernet Encryption System Based on {FPGA}}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {5}, number = {3}, pages = {518--526}, year = {2014}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2014/vol5/JIH-MSP-2014-03-018.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/ChengDHZF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenHLHCWL14, author = {Shuo{-}Tsung Chen and Pei{-}Kai Hung and Muh{-}Shi Lin and Chao{-}Yu Huang and Chung{-}Ming Chen and Tzung{-}Dau Wang and Wen{-}Jeng Lee}, title = {DWT-Based Segmentation Method for Coronary Arteries}, journal = {J. Medical Syst.}, volume = {38}, number = {6}, pages = {55}, year = {2014}, url = {https://doi.org/10.1007/s10916-014-0055-8}, doi = {10.1007/S10916-014-0055-8}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenHLHCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/KuoKCSC14, author = {Chung{-}Hsien Kuo and Yu{-}Chen Kuo and Ting{-}Shuo Chen and Yu{-}Ping Shen and Chia{-}Che Cheng}, title = {Petri-net-based implementations for {FIRA} weightlifting and sprint games with a humanoid robot}, journal = {Robotics Auton. Syst.}, volume = {62}, number = {3}, pages = {282--293}, year = {2014}, url = {https://doi.org/10.1016/j.robot.2013.08.012}, doi = {10.1016/J.ROBOT.2013.08.012}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/KuoKCSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChenKLC14, author = {Wei{-}Ling Chen and Chung{-}Dann Kan and Chia{-}Hung Lin and Tainsong Chen}, title = {A Rule-Based Decision-Making Diagnosis System to Evaluate Arteriovenous Shunt Stenosis for Hemodialysis Treatment of Patients Using Fuzzy Petri Nets}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {2}, pages = {703--713}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2279595}, doi = {10.1109/JBHI.2013.2279595}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/ChenKLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/NguyenCT14, author = {Van Minh Nguyen and Chung Shue Chen and Laurent Thomas}, title = {A Unified Stochastic Model of Handover Measurement in Mobile Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {22}, number = {5}, pages = {1559--1576}, year = {2014}, url = {https://doi.org/10.1109/TNET.2013.2283577}, doi = {10.1109/TNET.2013.2283577}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/NguyenCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ZhanCLL14, author = {Shun{-}Cheng Zhan and Shi{-}Chung Chang and Peter B. Luh and Hao{-}Huai Lieu}, title = {Truthful Auction Mechanism Design for Short-Interval Secondary Spectrum Access Market}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {13}, number = {3}, pages = {1471--1481}, year = {2014}, url = {https://doi.org/10.1109/TWC.2014.012314.130766}, doi = {10.1109/TWC.2014.012314.130766}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ZhanCLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChungHC14, author = {Yu{-}Fang Chung and Tsung{-}Chih Hsiao and Shu{-}Chen Chen}, title = {The Application of {RFID} Monitoring Technology to Patrol Management System in Petrochemical Industry}, journal = {Wirel. Pers. Commun.}, volume = {79}, number = {2}, pages = {1063--1088}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-1918-5}, doi = {10.1007/S11277-014-1918-5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ChungHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/TsaiWCCCCCH14, author = {Yueh{-}Lin Tsai and Ming{-}Liang Wei and Yu{-}Chen Chang{-}Chien and Yi{-}Ling Chung and Chao{-}Ming Cheng and Shu{-}Ling Cho and Hsueh{-}Chih Chen and Jon{-}Fan Hu}, editor = {Paul Bello and Marcello Guarini and Marjorie McShane and Brian Scassellati}, title = {Probing the structure and typicality of Chinese emotion words using Neural Networks}, booktitle = {Proceedings of the 36th Annual Meeting of the Cognitive Science Society, CogSci 2014, Quebec City, Canada, July 23-26, 2014}, publisher = {cognitivesciencesociety.org}, year = {2014}, url = {https://escholarship.org/uc/item/9kc448tz}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/TsaiWCCCCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/ZhanC14, author = {Shun{-}Cheng Zhan and Shi{-}Chung Chang}, title = {Design of truthful double auction for dynamic spectrum sharing}, booktitle = {{IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2014, McLean, VA, USA, April 1-4, 2014}, pages = {439--448}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DySPAN.2014.6817827}, doi = {10.1109/DYSPAN.2014.6817827}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/ZhanC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecc/ChengHDC14, author = {Hai Cheng and Chunguang Huang and Qun Ding and Shu{-}Chuan Chu}, editor = {Jeng{-}Shyang Pan and V{\'{a}}clav Sn{\'{a}}sel and Emilio Corchado and Ajith Abraham and Shyue{-}Liang Wang}, title = {An Efficient Image Encryption Scheme Based on {ZUC} Stream Cipher and Chaotic Logistic Map}, booktitle = {Intelligent Data analysis and its Applications, Volume {II} - Proceeding of the First Euro-China Conference on Intelligent Data Analysis and Applications, June 13-15, 2014, Shenzhen, China}, series = {Advances in Intelligent Systems and Computing}, volume = {298}, pages = {301--310}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07773-4\_30}, doi = {10.1007/978-3-319-07773-4\_30}, timestamp = {Wed, 07 Dec 2022 23:12:48 +0100}, biburl = {https://dblp.org/rec/conf/ecc/ChengHDC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWLCCCWCHYCLWCT14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chung{-}Hung Tsai}, title = {A 0.2nJ/pixel 4K 60fps Main-10 {HEVC} decoder with multi-format capabilities for {UHD-TV} applications}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {195--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942055}, doi = {10.1109/ESSCIRC.2014.6942055}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWLCCCWCHYCLWCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NuwanpriyaHC14, author = {Asanka Nuwanpriya and Siu{-}Wai Ho and Chung Shue Chen}, title = {Angle diversity receiver for indoor {MIMO} visible light communications}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {444--449}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063472}, doi = {10.1109/GLOCOMW.2014.7063472}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/NuwanpriyaHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WeiMZYLC14, author = {Kun Wei and Guoqiang Mao and Wuxiong Zhang and Yang Yang and Zihuai Lin and Chung Shue Chen}, title = {Optimal microcell deployment for effective mobile device energy saving in heterogeneous networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {4048--4053}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6883954}, doi = {10.1109/ICC.2014.6883954}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/WeiMZYLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeCCTY14, author = {Wei Ting Lee and Yung Chi Chung and Chu Yu Chen and Jing{-}Jou Tang and Chung Shun Yang}, title = {Prediction of the {BCI} results For {CAN} bus {ECU} using incident wave excitation method}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2014, Taipei, Taiwan, May 26-28, 2014}, pages = {175--176}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE-TW.2014.6904045}, doi = {10.1109/ICCE-TW.2014.6904045}, timestamp = {Thu, 25 Nov 2021 16:44:13 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeCCTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccoms/HuangSC14, author = {Linyu Huang and Chi Wan Sung and Chung Shue Chen}, title = {Context-aware wireless broadcast for next generation cellular networks}, booktitle = {{IEEE} International Conference on Communication Systems, {ICCS} 2014, Macau, China, November 19-21, 2014}, pages = {349--353}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCS.2014.7024823}, doi = {10.1109/ICCS.2014.7024823}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/iccoms/HuangSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/PengKCLC14, author = {Chun{-}Chieh Peng and Yu{-}Hsien Kao and Hou{-}Chung Chou and Si{-}Cheng Lin and Ta{-}Shun Chu}, title = {A scalable microradio receiver used in radiation pattern measurement technology}, booktitle = {21st {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014}, pages = {29--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICECS.2014.7049913}, doi = {10.1109/ICECS.2014.7049913}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/PengKCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuLLWHKHLK14, author = {Yi{-}Wen Liu and Hang{-}Ming Liang and Shung{-}You Lao and Chenwei Wu and Hung{-}Kuang Hao and Fan{-}Jie Kung and Yu{-}Tse Ho and Pei{-}Yi Lee and Shih{-}Chung Kang}, title = {Developing "voice care": Real-time methods for event recognition and localization based on acoustic cues}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, Chengdu, China, July 14-18, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICMEW.2014.6890676}, doi = {10.1109/ICMEW.2014.6890676}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiuLLWHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChuangLCLCLHW14, author = {Chung Heng Chuang and Tsung{-}Hsing Lin and Liang{-}Bi Chen and Tung{-}Lin Lee and Chaio Hsuan Chuang and Katherine Shu{-}Min Li and Chih{-}Lin Hung and Chao{-}Wen Wu}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {A Hybrid Multi-functions Digital Public Address System with Earthquake Early Warning}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {171--174}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.49}, doi = {10.1109/IIH-MSP.2014.49}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChuangLCLCLHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehDL14, author = {Cheng{-}Han Hsieh and Chung{-}Yen Du and Shuenn{-}Yuh Lee}, title = {Power management with energy harvesting from a headphone jack}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1989--1992}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865553}, doi = {10.1109/ISCAS.2014.6865553}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHSHHC14, author = {Kuan{-}I Wu and Shuo{-}Hong Hung and Shang{-}Yu Shieh and Bor{-}Tsang Hwang and Szu{-}Yao Hung and Charlie Chung{-}Ping Chen}, title = {Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {950--953}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865294}, doi = {10.1109/ISCAS.2014.6865294}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHSHHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/ChenSHL14, author = {Yu{-}Chen Chen and Rong{-}An Shang and Shuan{-}Yeh Huang and Chung{-}Kuang Lin}, editor = {Keng Siau and Qing Li and Xunhua Guo}, title = {The effects of Characteristics of Corporate Blog on Tourists' lodging Intention: an Example of bed and Breakfast}, booktitle = {18th Pacific Asia Conference on Information Systems, {PACIS} 2014, Chengdu, China, June 24-28, 2014}, pages = {108}, year = {2014}, url = {http://aisel.aisnet.org/pacis2014/108}, timestamp = {Tue, 25 Nov 2014 12:58:45 +0100}, biburl = {https://dblp.org/rec/conf/pacis/ChenSHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/DuhKCL14, author = {Der{-}Jyh Duh and Cheng{-}Chung Kan and Shu{-}Yuan Chen and Chia{-}Ming Lu}, editor = {Wen{-}Chih Peng and Haixun Wang and James Bailey and Vincent S. Tseng and Tu Bao Ho and Zhi{-}Hua Zhou and Arbee L. P. Chen}, title = {Human Action Recognition in Video Under Clutter and Moving Background}, booktitle = {Trends and Applications in Knowledge Discovery and Data Mining - {PAKDD} 2014 International Workshops: DANTH, BDM, MobiSocial, BigEC, CloudSD, MSMV-MBI, SDA, DMDA-Health, ALSIP, SocNet, DMBIH, BigPMA,Tainan, Taiwan, May 13-16, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8643}, pages = {722--734}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13186-3\_64}, doi = {10.1007/978-3-319-13186-3\_64}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/DuhKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/HuangCHT14, author = {Pei{-}Shu Huang and Quan{-}Chung Chen and Chen{-}Wei Huang and Shiao{-}Li Tsao}, title = {An efficient thermal estimation scheme for microprocessors}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910526}, doi = {10.1109/RTCSA.2014.6910526}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/HuangCHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungLCJCTCHKHLK14, author = {Chao{-}Kuei Chung and Chien{-}Yu Lu and Zhi{-}Hao Chang and Shyh{-}Jye Jou and Ching{-}Te Chuang and Ming{-}Hsien Tu and Yu{-}Hsian Chen and Yong{-}Jyun Hu and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 40nm 256kb 6T {SRAM} with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {455--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948972}, doi = {10.1109/SOCC.2014.6948972}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungLCJCTCHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wcss/2012, editor = {Shu{-}Heng Chen and Takao Terano and Ryuichi Yamamoto and Chung{-}Ching Tai}, title = {Advances in Computational Social Science, The Fourth World Congress [Post-Conference Proceedings of the World Congress on Social Simulation, {WCSS} 2012, Taipei, Taiwan, Sepemtember 4-7, 2012]}, series = {Agent-Based Social Systems}, volume = {11}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-4-431-54847-8}, doi = {10.1007/978-4-431-54847-8}, isbn = {978-4-431-54846-1}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcss/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/LiuTCCL13, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Wei{-}Hung Chen and Sherry Y. Chen and Baw{-}Jhiune Liu}, title = {The effects of a Creative Commons approach on collaborative learning}, journal = {Behav. Inf. Technol.}, volume = {32}, number = {1}, pages = {37--51}, year = {2013}, url = {https://doi.org/10.1080/0144929X.2011.572184}, doi = {10.1080/0144929X.2011.572184}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/LiuTCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bell/LingCCV13, author = {Jonathan Ling and Dmitry Chizhik and Chung Shue Chen and Reinaldo A. Valenzuela}, title = {Capacity Growth of Heterogeneous Cellular Networks}, journal = {Bell Labs Tech. J.}, volume = {18}, number = {1}, pages = {27--40}, year = {2013}, url = {https://doi.org/10.1002/bltj.21591}, doi = {10.1002/BLTJ.21591}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bell/LingCCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/MaLLTBL13, author = {Cheng{-}Yu Ma and Shu{-}Hsi Lin and Chi{-}Ching Lee and Chuan Yi Tang and Bonnie Berger and Chung{-}Shou Liao}, title = {Reconstruction of phyletic trees by global alignment of multiple metabolic networks}, journal = {{BMC} Bioinform.}, volume = {14}, number = {{S-2}}, pages = {S12}, year = {2013}, url = {https://doi.org/10.1186/1471-2105-14-S2-S12}, doi = {10.1186/1471-2105-14-S2-S12}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/MaLLTBL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/LinYCL13, author = {Shu{-}Chiung Lin and David C. Yen and Patrick S. Chen and Wei{-}Kuo Lin}, title = {Coding behavior of authentication code on the internet}, journal = {Comput. Hum. Behav.}, volume = {29}, number = {5}, pages = {2090--2099}, year = {2013}, url = {https://doi.org/10.1016/j.chb.2013.04.005}, doi = {10.1016/J.CHB.2013.04.005}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/LinYCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ZhangCCRLHY13, author = {Jian A. Zhang and Iain B. Collings and Chung Shue Chen and Laurent Roullet and Lin Luo and Siu{-}Wai Ho and Jinhong Yuan}, title = {Evolving small-cell communications towards mobile-over-FTTx networks}, journal = {{IEEE} Commun. Mag.}, volume = {51}, number = {12}, pages = {92--101}, year = {2013}, url = {https://doi.org/10.1109/MCOM.2013.6685763}, doi = {10.1109/MCOM.2013.6685763}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/ZhangCCRLHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/ShuCLTW13, author = {Jia Shu and Mabel C. Chou and Qizhang Liu and Chung{-}Piaw Teo and I{-}Lin Wang}, title = {Models for Effective Deployment and Redistribution of Bicycles Within Public Bicycle-Sharing Systems}, journal = {Oper. Res.}, volume = {61}, number = {6}, pages = {1346--1359}, year = {2013}, url = {https://doi.org/10.1287/opre.2013.1215}, doi = {10.1287/OPRE.2013.1215}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/ShuCLTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/HouC13, author = {I{-}Hong Hou and Chung Shue Chen}, title = {An Energy-Aware Protocol for Self-Organizing Heterogeneous {LTE} Systems}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {5}, pages = {937--946}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.130512}, doi = {10.1109/JSAC.2013.130512}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/HouC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChengCHCSTLWW13, author = {Wei{-}Chung Cheng and I{-}Fang Chung and Tse{-}Shun Huang and Shih{-}Ting Chang and Hsing{-}Jen Sun and Cheng{-}Fong Tsai and Muh{-}Lii Liang and Tai{-}Tong Wong and Hsei{-}Wei Wang}, title = {{YM500:} a small {RNA} sequencing (smRNA-seq) database for microRNA research}, journal = {Nucleic Acids Res.}, volume = {41}, number = {Database-Issue}, pages = {285--294}, year = {2013}, url = {https://doi.org/10.1093/nar/gks1238}, doi = {10.1093/NAR/GKS1238}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChengCHCSTLWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/PengCFH13, author = {Yijie Peng and Chun{-}Hung Chen and Michael C. Fu and Jian{-}Qiang Hu}, title = {Efficient Simulation Resource Sharing and Allocation for Selecting the Best}, journal = {{IEEE} Trans. Autom. Control.}, volume = {58}, number = {4}, pages = {1017--1023}, year = {2013}, url = {https://doi.org/10.1109/TAC.2012.2215533}, doi = {10.1109/TAC.2012.2215533}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/PengCFH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ShenC0CK13, author = {Meie Shen and Wei{-}neng Chen and Jun Zhang and Henry Shu{-}Hung Chung and Okyay Kaynak}, title = {Optimal Selection of Parameters for Nonuniform Embedding of Chaotic Time Series Using Ant Colony Optimization}, journal = {{IEEE} Trans. Cybern.}, volume = {43}, number = {2}, pages = {790--802}, year = {2013}, url = {https://doi.org/10.1109/TSMCB.2012.2219859}, doi = {10.1109/TSMCB.2012.2219859}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ShenC0CK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/ChenZLCZCLS13, author = {Wei{-}neng Chen and Jun Zhang and Ying Lin and Ni Chen and Zhi{-}hui Zhan and Henry Shu{-}Hung Chung and Yun Li and Yu{-}hui Shi}, title = {Particle Swarm Optimization With an Aging Leader and Challengers}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {17}, number = {2}, pages = {241--258}, year = {2013}, url = {https://doi.org/10.1109/TEVC.2011.2173577}, doi = {10.1109/TEVC.2011.2173577}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tec/ChenZLCZCLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KuoC13, author = {Chung{-}Hsien Kuo and Ting{-}Shuo Chen}, title = {Controllable Petri Net-Based Implementation Approach for Motor Control Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {60}, number = {10}, pages = {4599--4612}, year = {2013}, url = {https://doi.org/10.1109/TIE.2012.2216244}, doi = {10.1109/TIE.2012.2216244}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KuoC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TsengLCW13, author = {Shu{-}Ming Tseng and Hung{-}Pin Lin and Chih{-}Hao Chen and Yung{-}Chung Wang}, title = {Throughput Analysis of {DS} CDMA/Unslotted {ALOHA} Wireless Networks with Fixed Packet Length in Rayleigh Fading Finite-State Markov Channel Model}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {4}, pages = {3091--3104}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0992-9}, doi = {10.1007/S11277-012-0992-9}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TsengLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChienYLLCZCLHCFLSKLL13, author = {Chun{-}Hsien Chien and Hsun Yu and Ching{-}Kuan Lee and Yu{-}Min Lin and Ren{-}Shin Cheng and Chau{-}Jie Zhan and Peng{-}Shu Chen and Chang{-}Chih Liu and Chao{-}Kai Hsu and Hsiang{-}Hung Chang and Huan{-}Chun Fu and Yuan{-}Chang Lee and Wen{-}Wei Shen and Cheng{-}Ta Ko and Wei{-}Chung Lo and Yung Jean Lu}, title = {Performance and process characteristic of glass interposer with through-glass-via(TGV)}, booktitle = {2013 {IEEE} International 3D Systems Integration Conference (3DIC), San Francisco, CA, USA, October 2-4, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/3DIC.2013.6702380}, doi = {10.1109/3DIC.2013.6702380}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChienYLLCZCLHCFLSKLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/HuangCC13, author = {Yea{-}Shuan Huang and Yu{-}Chung Chen and Fang{-}Hsuan Cheng}, title = {Hand gesture detection and extraction}, booktitle = {2013 {IEEE} China Summit and International Conference on Signal and Information Processing, ChinaSIP 2013, Beijing, China, July 6-10, 2013}, pages = {669--673}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ChinaSIP.2013.6625426}, doi = {10.1109/CHINASIP.2013.6625426}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/HuangCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LiuC13, author = {Shu{-}Chuan Liu and Chung{-}Hao Chen}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Balanced Scorecard in Hospitality}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {667--672}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.120}, doi = {10.1109/CISIS.2013.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LiuC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChiangWCCH13, author = {Yu{-}Shu Chiang and Hsin{-}Hsuan Wu and Yi{-}Ling Chung and Hsueh{-}Chih Chen and Jon{-}Fan Hu}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {Word Repetition Priming for Chinese Emotional Words}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0797/index.html}, timestamp = {Wed, 17 Apr 2024 12:44:07 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChiangWCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChungCWHHCTC13, author = {Yi{-}Ling Chung and Yu{-}Shu Chiang and Hsin{-}Hsuan Wu and Jon{-}Fan Hu and Chiu{-}Hua Huang and Hsueh{-}Chih Chen and Chien{-}Chih Tseng and Li{-}Yun Chang}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {Orthography and configuration on Chinese literacy acquisition: evidence from eye movement}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0726/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChungCWHHCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChungWCHHCTC13, author = {Yi{-}Ling Chung and Hsin{-}Hsuan Wu and Yu{-}Shu Chiang and Jon{-}Fan Hu and Chiu{-}Hua Huang and Hsueh{-}Chih Chen and Chien{-}Chih Tseng and Li{-}Yun Chang}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {The roles of configuration and orthography in Chinese recognition: a developmental approach}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0725/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChungWCHHCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChowCCC13, author = {Jeff P. W. Chow and Nan Chen and Henry S. H. Chung and Leanne Lai Hang Chan}, title = {Misalignment tolerable coil structure for biomedical applications with wireless power transfer}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {775--778}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609615}, doi = {10.1109/EMBC.2013.6609615}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChowCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/WuCJL13, author = {Eric Hsiao{-}Kuang Wu and Chung{-}Yu Chen and Ming{-}Hui Jin and Shu{-}Hui Lin}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Innovative Wireless Dedicated Network for e-Bus}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1247--1256}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_141}, doi = {10.1007/978-94-007-7262-5\_141}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/WuCJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/ChenCYWHHL13, author = {Hung{-}Shuen Chen and Jr{-}Yuan Chiou and Cheng{-}yan Yang and Yi{-}jui Wu and Wei{-}Chung Hwang and Hao{-}Chien Hung and Shih{-}wei Liao}, title = {Design and implementation of high-level compute on Android systems}, booktitle = {The 11th {IEEE} Symposium on Embedded Systems for Real-time Multimedia, Montreal, QC, Canada, October 3-4, 2013}, pages = {96--104}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESTIMedia.2013.6704508}, doi = {10.1109/ESTIMEDIA.2013.6704508}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/ChenCYWHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/TsaiYCH13, author = {Yu{-}Shuen Tsai and Ueng{-}Cheng Yang and I{-}Fang Chung and Chuen{-}Der Huang}, title = {A comparison of mutual and fuzzy-mutual information-based feature selection strategies}, booktitle = {{FUZZ-IEEE} 2013, {IEEE} International Conference on Fuzzy Systems, Hyderabad, India, 7-10 July, 2013, Proceedings}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FUZZ-IEEE.2013.6622533}, doi = {10.1109/FUZZ-IEEE.2013.6622533}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/TsaiYCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LiangKC13, author = {Sheau{-}Farn Max Liang and Yi{-}Chung Kuo and Shu{-}Chin Chen}, editor = {Pei{-}Luen Patrick Rau}, title = {Identifying Usability Problems in a Smart {TV} Music Service}, booktitle = {Cross-Cultural Design. Methods, Practice, and Case Studies - 5th International Conference, {CCD} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8023}, pages = {306--312}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39143-9\_34}, doi = {10.1007/978-3-642-39143-9\_34}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LiangKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LingCCV13, author = {Jonathan Ling and Dmitry Chizhik and Chung Shue Chen and Reinaldo A. Valenzuela}, title = {Simple optimizations for the growth of heterogeneous networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013}, pages = {5425--5430}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICC.2013.6655452}, doi = {10.1109/ICC.2013.6655452}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LingCCV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHHHC13, author = {Wei{-}Sheng Cheng and Min{-}Han Hsieh and Shuo{-}Hong Hung and Szu{-}Yao Hung and Charlie Chung{-}Ping Chen}, title = {A 10-bit current-steering {DAC} for HomePlug {AV2} powerline communication system in 90nm {CMOS}}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2034--2037}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572271}, doi = {10.1109/ISCAS.2013.6572271}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHHHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Shun{-}Ting Chang and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Sheng{-}Fu Liang and Tzu{-}Chieh Chien and Sih{-}Yen Wu and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Jin{-}Chern Chiou and Chih{-}Wei Chang and Lei{-}Chun Chou and Chung{-}Yu Wu}, title = {A fully integrated 8-channel closed-loop neural-prosthetic SoC for real-time epileptic seizure control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {286--287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487737}, doi = {10.1109/ISSCC.2013.6487737}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanSCKSYSYLS13, author = {Chun{-}Geik Tan and Fei Song and Tieng Yi Choke and Ming Kong and De{-}Cheng Song and Chee{-}Hong Yong and Weimin Shu and Zong Hua You and Yi{-}Hsien Lin and Osama Shana'a}, title = {A universal {GNSS} (GPS/Galileo/Glonass/Beidou) SoC with a 0.25mm\({}^{\mbox{2}}\) radio in 40nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {334--335}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487758}, doi = {10.1109/ISSCC.2013.6487758}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TanSCKSYSYLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/WangCSLLGSYLCLXS13, author = {Li Wang and Ken{-}Chung Chen and Feng Shi and Shu Liao and Gang Li and Yaozong Gao and Steve G. Shen and Jin Yan and Philip K. M. Lee and Ben Chow and Nancy X. Liu and James J. Xia and Dinggang Shen}, editor = {Kensaku Mori and Ichiro Sakuma and Yoshinobu Sato and Christian Barillot and Nassir Navab}, title = {Automated Segmentation of {CBCT} Image Using Spiral {CT} Atlases and Convex Optimization}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2013 - 16th International Conference, Nagoya, Japan, September 22-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8151}, pages = {251--258}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40760-4\_32}, doi = {10.1007/978-3-642-40760-4\_32}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/WangCSLLGSYLCLXS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/LeeW13, author = {Chien{-}Cheng Lee and Chung{-}Shun Wei}, editor = {Ren{\'{e}} Mayrhofer and Luke Chen and Matthias Steinbauer and Gabriele Kotsis and Ismail Khalil}, title = {Gender Recognition Based On Combining Facial and Hair Features}, booktitle = {The 11th International Conference on Advances in Mobile Computing {\&} Multimedia, MoMM '13, Vienna, Austria, December 2-4, 2013}, pages = {537}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2536853.2536933}, doi = {10.1145/2536853.2536933}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/LeeW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChenLCCYH13, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Kuo{-}Yi Chen and Yuan{-}Hao Chang and Pen{-}Chung Yew and Chien{-}Chung Ho}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {A systematic methodology for {OS} benchmark characterization}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {404--409}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513234}, doi = {10.1145/2513228.2513234}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ChenLCCYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangTLWCC13, author = {Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Shou{-}Chuang Lin and Shun{-}Chung Wang and Ching{-}Lin Chen and Jen{-}Hsiang Chou}, title = {Design and Implementation of a Single-Stage High-Efficacy {LED} Driver with Dynamic Voltage Regulation}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {1438--1443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.248}, doi = {10.1109/SMC.2013.248}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangTLWCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/ChenCLYC13, author = {Kuo{-}Yi Chen and Yuan{-}Hao Chang and Pei{-}Shu Liao and Pen{-}Chung Yew and Sheng{-}Wei Cheng}, title = {Selective Profiling for {OS} Scalability Study on Multicore Systems}, booktitle = {2013 {IEEE} 6th International Conference on Service-Oriented Computing and Applications, Koloa, HI, USA, December 16-18, 2013}, pages = {174--181}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SOCA.2013.28}, doi = {10.1109/SOCA.2013.28}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soca/ChenCLYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/softcom/HuangLC13, author = {Chung{-}Ming Huang and Chao{-}Hsien Lee and Wei{-}Shuang Chen}, title = {A power efficient pedestrian touring scheme based on sensor-assisted positioning and prioritized caching for smart mobile devices}, booktitle = {21st International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2013, Split-Primosten, Croatia, September 18-20, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SoftCOM.2013.6671848}, doi = {10.1109/SOFTCOM.2013.6671848}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/softcom/HuangLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/teletraffic/SinghC13, author = {Chandramani Kishore Singh and Chung Shue Chen}, title = {Distributed downlink resource allocation in cellular networks through spatial adaptive play}, booktitle = {25th International Teletraffic Congress, {ITC} 2013, Shanghai, China, September 10-12, 2013}, pages = {1--9}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ITC.2013.6662949}, doi = {10.1109/ITC.2013.6662949}, timestamp = {Sat, 12 Nov 2022 12:58:29 +0100}, biburl = {https://dblp.org/rec/conf/teletraffic/SinghC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/PengFCH13, author = {Yijie Peng and Michael C. Fu and Chun{-}Hung Chen and Jian{-}Qiang Hu}, title = {A dynamic framework for statistical selection problems}, booktitle = {Winter Simulations Conference: Simulation Making Decisions in a Complex World, {WSC} 2013, Washington, DC, USA, December 8-11, 2013}, pages = {908--921}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WSC.2013.6721482}, doi = {10.1109/WSC.2013.6721482}, timestamp = {Thu, 10 Jun 2021 22:18:53 +0200}, biburl = {https://dblp.org/rec/conf/wsc/PengFCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-3085, author = {I{-}Hong Hou and Chung Shue Chen}, title = {An Energy-Aware Protocol for Self-Organizing Heterogeneous {LTE} Systems}, journal = {CoRR}, volume = {abs/1302.3085}, year = {2013}, url = {http://arxiv.org/abs/1302.3085}, eprinttype = {arXiv}, eprint = {1302.3085}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-3085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ChenHTCLCC12, author = {Shu{-}Fang Chen and Chi{-}Ren Huang and Nai{-}Wen Tsai and Chiung{-}Chih Chang and Cheng{-}Hsien Lu and Yao{-}Chung Chuang and Wen{-}Neng Chang}, title = {Ultrasonographic assessment of carpal tunnel syndrome of mild and moderate severity in diabetic patients by using an 8-point measurement of median nerve cross-sectional areas}, journal = {{BMC} Medical Imaging}, volume = {12}, pages = {15}, year = {2012}, url = {https://doi.org/10.1186/1471-2342-12-15}, doi = {10.1186/1471-2342-12-15}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ChenHTCLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChenSTCH12, author = {Chaang{-}Ray Chen and Wun{-}Yi Shu and Min{-}Lung Tsai and Wei{-}Chung Cheng and Ian C. Hsu}, title = {{THEME:} {A} web tool for loop-design microarray data analysis}, journal = {Comput. Biol. Medicine}, volume = {42}, number = {2}, pages = {228--234}, year = {2012}, url = {https://doi.org/10.1016/j.compbiomed.2011.11.012}, doi = {10.1016/J.COMPBIOMED.2011.11.012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChenSTCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/ChenHLCH12, author = {Hsing{-}Chung Chen and Yung{-}Fa Huang and Shu{-}Hong Lee and Chi{-}Tung Chen and Ho{-}Lung Hung}, title = {A mobile location-based with time-constraint {RBAC} associated database management model}, journal = {Comput. Syst. Sci. Eng.}, volume = {27}, number = {6}, year = {2012}, timestamp = {Tue, 05 Aug 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/ChenHLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ChenB12, author = {Chung Shue Chen and Fran{\c{c}}ois Baccelli}, title = {Gibbsian Method for the Self-Optimization of Cellular Networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {273}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-273}, doi = {10.1186/1687-1499-2012-273}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ChenB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChoYCC12, author = {Chung{-}Wen Cho and Ting{-}Kuang Yeh and Shu{-}Wen Cheng and Chun{-}Yen Chang}, title = {The Searching Effectiveness of Social Tagging in Museum Websites}, journal = {J. Educ. Technol. Soc.}, volume = {15}, number = {4}, pages = {126--136}, year = {2012}, url = {http://www.ifets.info/download\_pdf.php?j\_id=57\&a\_id=1290}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChoYCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChungC12, author = {Shun{-}Ping Chung and Yu{-}Wei Chen}, title = {Performance Analysis of Call Admission Control in SFR-Based {LTE} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {7}, pages = {1014--1017}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2012.051512.120206}, doi = {10.1109/LCOMM.2012.051512.120206}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChungC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/ChenLSC12, author = {Min Chen and Victor C. M. Leung and Lei Shu and Han{-}Chieh Chao}, title = {On multipath balancing and expanding for wireless multimedia sensor networks}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {9}, number = {2}, pages = {95--103}, year = {2012}, url = {https://doi.org/10.1504/IJAHUC.2012.045551}, doi = {10.1504/IJAHUC.2012.045551}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/ChenLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijthi/ChaoWCLLGW12, author = {Li{-}Ling Chao and Yu{-}Der Wen and Pin{-}Chen Chen and Chung{-}Chi Lin and Shu{-}Hua Lin and Chorng{-}Jee Guo and Wei{-}Lung Wang}, title = {The Development and Learning Effectiveness of a Teaching Module for the Algal Fuel Cell: {A} Renewable and Sustainable Battery}, journal = {Int. J. Technol. Hum. Interact.}, volume = {8}, number = {4}, pages = {1--15}, year = {2012}, url = {https://doi.org/10.4018/jthi.2012100101}, doi = {10.4018/JTHI.2012100101}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijthi/ChaoWCLLGW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/HuangCHYL12, author = {Deng{-}Yuan Huang and Chao{-}Ho Chen and Wu{-}Chih Hu and Shu{-}Chung Yi and Yu{-}Feng Lin}, title = {Feature-Based Vehicle Flow Analysis and Measurement for a Real-Time Traffic Surveillance System}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {3}, number = {3}, pages = {282--296}, year = {2012}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2012/vol3/JIH-MSP-2012-03-005.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/HuangCHYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YuSDCWLTJALHCL12, author = {Hwan{-}Jeu Yu and Chia{-}Ping Shen and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Jin{-}Ming Wu and Mei{-}Shu Lai and Ching{-}Ting Tan and Chinburen Jigjidsuren and Erdenebaatar Altangerel and Hung{-}Chang Lee and Chih{-}Wen Hsueh and Yu{-}Fang Chung and Feipei Lai}, title = {A Physician Order Category-Based Clinical Guideline Comparison System}, journal = {J. Medical Syst.}, volume = {36}, number = {6}, pages = {3741--3753}, year = {2012}, url = {https://doi.org/10.1007/s10916-012-9847-x}, doi = {10.1007/S10916-012-9847-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YuSDCWLTJALHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuLCGC12, author = {Chih{-}Chung Su and Chen{-}Hung Li and Neng{-}Kai Chang and Feng Gao and Shuo{-}Hung Chang}, title = {Fabrication of High Sensitivity Carbon Microcoil Pressure Sensors}, journal = {Sensors}, volume = {12}, number = {8}, pages = {10034--10041}, year = {2012}, url = {https://doi.org/10.3390/s120810034}, doi = {10.3390/S120810034}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SuLCGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeHY12, author = {Shuenn{-}Yuh Lee and Cheng{-}Han Hsieh and Chung{-}Min Yang}, title = {Wireless Front-End With Power Management for an Implantable Cardiac Microstimulator}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {6}, number = {1}, pages = {28--38}, year = {2012}, url = {https://doi.org/10.1109/TBCAS.2011.2162409}, doi = {10.1109/TBCAS.2011.2162409}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/UengLYCLC12, author = {Yeong{-}Luh Ueng and Chen{-}Yap Leong and Chung{-}Jay Yang and Chung{-}Chao Cheng and Kuo{-}Hsuan Liao and Shu{-}Wei Chen}, title = {An Efficient Layered Decoding Architecture for Nonbinary {QC-LDPC} Codes}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {2}, pages = {385--398}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2011.2163889}, doi = {10.1109/TCSI.2011.2163889}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/UengLYCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangHLC12, author = {Yu{-}Shun Wang and Min{-}Han Hsieh and James Chien{-}Mo Li and Charlie Chung{-}Ping Chen}, title = {An At-Speed Test Technique for High-Speed High-order Adder by a 6.4-GHz 64-bit Domino Adder Example}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {8}, pages = {1644--1655}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2012.2206503}, doi = {10.1109/TCSI.2012.2206503}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChenHGC12, author = {Yu{-}Mei Chen and Fu{-}Chung Huang and Shuen{-}Huei Guan and Bing{-}Yu Chen}, title = {Animating Lip-Sync Characters With Dominated Animeme Models}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {22}, number = {9}, pages = {1344--1353}, year = {2012}, url = {https://doi.org/10.1109/TCSVT.2012.2201672}, doi = {10.1109/TCSVT.2012.2201672}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/ChenHGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/GongZCCZLS12, author = {Yue{-}jiao Gong and Jun Zhang and Henry Shu{-}Hung Chung and Wei{-}neng Chen and Zhi{-}hui Zhan and Yun Li and Yu{-}hui Shi}, title = {An Efficient Resource Allocation Scheme Using Particle Swarm Optimization}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {16}, number = {6}, pages = {801--816}, year = {2012}, url = {https://doi.org/10.1109/TEVC.2012.2185052}, doi = {10.1109/TEVC.2012.2185052}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/GongZCCZLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HongSWSCCW12, author = {Cheng{-}Hao Hong and Hsiao{-}Husan Shen and Huan{-}Chung Wu and Husan Shen and Chiao{-}Wen Cheng and Ta{-}Shun Chu and Jen{-}Ming Wu}, title = {Fast selection of time-interleaved samples for wireless healthcare monitoring with pulse radar}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {45--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418473}, doi = {10.1109/BIOCAS.2012.6418473}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HongSWSCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AkoumCDH12, author = {Salam Akoum and Chung Shue Chen and M{\'{e}}rouane Debbah and Robert W. Heath Jr.}, title = {Data sharing coordination and blind interference alignment for cellular networks}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4273--4277}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503789}, doi = {10.1109/GLOCOM.2012.6503789}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/AkoumCDH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LuoCH12, author = {Lin Luo and Chung Shue Chen and Siu{-}Wai Ho}, title = {On the peak-to-average power ratio of pre-equalized base-field Hartley {OFDM}}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2012, 3-7 December 2012, Anaheim, California, {USA}}, pages = {631--636}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOMW.2012.6477647}, doi = {10.1109/GLOCOMW.2012.6477647}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LuoCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HouC12, author = {I{-}Hong Hou and Chung Shue Chen}, title = {Self-organized resource allocation in {LTE} systems with weighted proportional fairness}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {5348--5353}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364444}, doi = {10.1109/ICC.2012.6364444}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HouC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehLWCC12, author = {Min{-}Han Hsieh and Bing{-}Feng Lin and Yu{-}Shun Wang and Hao{-}Huei Chang and Charlie Chung{-}Ping Chen}, title = {A 2 - 8 GHz multi-phase distributed {DLL} using phase insertion in 90 nm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2015--2018}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271675}, doi = {10.1109/ISCAS.2012.6271675}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehLWCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/ZhanCLL12, author = {Shun{-}Cheng Zhan and Shi{-}Chung Chang and Peter B. Luh and Hao{-}Huai Lieu}, title = {Truthful auction mechanism design for short-interval secondary spectrum access market}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {140--145}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425152}, doi = {10.1109/ITST.2012.6425152}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/ZhanCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/KaoLC12, author = {Wen{-}Chung Kao and Shu{-}Cheng Liu and Wei{-}Te Chang}, title = {Signal processing for playing videos on electrophoretic displays}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {872--875}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292159}, doi = {10.1109/MWSCAS.2012.6292159}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/KaoLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/GuanCHC12, author = {Shuen{-}Huei Guan and Yu{-}Mei Chen and Fu{-}Chung Huang and Bing{-}Yu Chen}, editor = {Zhengyou Zhang and Zhengguo Li}, title = {Lip-synced character speech animation with dominated animeme models}, booktitle = {{SIGGRAPH} Asia 2012 Technical Briefs, Singapore, November 28 - December 1, 2012}, pages = {26:1--26:4}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2407746.2407772}, doi = {10.1145/2407746.2407772}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/GuanCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KungCC12, author = {Chung{-}Chun Kung and Shuo{-}Chieh Chang and Ti{-}Hung Chen}, title = {The fuzzy c-regression model for lithium battery}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2012, Seoul, Korea (South), October 14-17, 2012}, pages = {1675--1680}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSMC.2012.6377978}, doi = {10.1109/ICSMC.2012.6377978}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/KungCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taros/KuoKC12, author = {Chung{-}Hsien Kuo and Yu{-}Chen Kuo and Ting{-}Shuo Chen}, editor = {Guido Herrmann and Matthew Studley and Martin J. Pearson and Andrew T. Conn and Chris Melhuish and Mark Witkowski and Jong{-}Hwan Kim and Prahlad Vadakkepat}, title = {Process Modeling and Task Execution of {FIRA} Weight-Lifting Games with a Humanoid Robot}, booktitle = {Advances in Autonomous Robotics - Joint Proceedings of the 13th Annual {TAROS} Conference and the 15th Annual {FIRA} RoboWorld Congress, Bristol, UK, August 20-23, 2012}, series = {Lecture Notes in Computer Science}, volume = {7429}, pages = {354--365}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32527-4\_32}, doi = {10.1007/978-3-642-32527-4\_32}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/taros/KuoKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LaiTYCHLCYWHCHC12, author = {Chang{-}Ming Lai and Kai{-}Wen Tan and Liu{-}Yuan Yu and Yen{-}Ju Chen and Jun{-}Wei Huang and Shr{-}Chau Lai and Feng{-}Hsu Chung and Chia{-}Fung Yen and Jen{-}Ming Wu and Po{-}Chiun Huang and Keh{-}Jeng Chang and Shi{-}Yu Huang and Ta{-}Shun Chu}, title = {A {UWB} {IR} timed-array radar using time-shifted direct-sampling architecture}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {54--55}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243786}, doi = {10.1109/VLSIC.2012.6243786}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LaiTYCHLCYWHCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenNT12, author = {Chung Shue Chen and Van Minh Nguyen and Laurent Thomas}, title = {On Small Cell Network Deployment: {A} Comparative Study of Random and Grid Topologies}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VTCFall.2012.6398953}, doi = {10.1109/VTCFALL.2012.6398953}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChenNT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12, author = {Po{-}Lung Chen and Chen{-}Tse Tsai and Yao{-}Nan Chen and Ku{-}Chun Chou and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Yu{-}Cheng Chou and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Rong{-}Bing Chiu and Chieh{-}Yen Lin and Chien{-}Chih Wang and Po{-}Wei Wang and Wei{-}Lun Su and Chen{-}Hung Wu and Tsung{-}Ting Kuo and Todd G. McKenzie and Ya{-}Hsuan Chang and Chun{-}Sung Ferng and Chia{-}Mau Ni and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {A Linear Ensemble of Individual and Blended Models for Music Rating Prediction}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {21--60}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/chen12a.html}, timestamp = {Wed, 29 May 2019 08:41:47 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12, author = {Todd G. McKenzie and Chun{-}Sung Ferng and Yao{-}Nan Chen and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Ya{-}Hsuan Chang and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Chieh{-}Yen Lin and Po{-}Wei Wang and Chia{-}Mau Ni and Wei{-}Lun Su and Tsung{-}Ting Kuo and Chen{-}Tse Tsai and Po{-}Lung Chen and Rong{-}Bing Chiu and Ku{-}Chun Chou and Yu{-}Cheng Chou and Chien{-}Chih Wang and Chen{-}Hung Wu and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {Novel Models and Ensemble Techniques to Discriminate Favorite Items from Unrated Ones for Personalized Music Recommendation}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {101--135}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/mckenzie12a.html}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1207-3704, author = {Chung Shue Chen and Fran{\c{c}}ois Baccelli}, title = {Gibbsian Method for the Self-Optimization of Cellular Networks}, journal = {CoRR}, volume = {abs/1207.3704}, year = {2012}, url = {http://arxiv.org/abs/1207.3704}, eprinttype = {arXiv}, eprint = {1207.3704}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1207-3704.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LiKCC11, author = {Sheng{-}Tun Li and Shu{-}Ching Kuo and Yi{-}Chung Cheng and Chih{-}Chuan Chen}, title = {A vector forecasting model for fuzzy time series}, journal = {Appl. Soft Comput.}, volume = {11}, number = {3}, pages = {3125--3134}, year = {2011}, url = {https://doi.org/10.1016/j.asoc.2010.12.015}, doi = {10.1016/J.ASOC.2010.12.015}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LiKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ChenLHCTCC11, author = {Shu{-}Fang Chen and Cheng{-}Hsien Lu and Chi{-}Ren Huang and Yao{-}Chung Chuang and Nai{-}Wen Tsai and Chiung{-}Chih Chang and Wen{-}Neng Chang}, title = {Ultrasonographic median nerve cross-section areas measured by 8-point "inching test" for idiopathic carpal tunnel syndrome: a correlation of nerve conduction study severity and duration of clinical symptoms}, journal = {{BMC} Medical Imaging}, volume = {11}, pages = {22}, year = {2011}, url = {https://doi.org/10.1186/1471-2342-11-22}, doi = {10.1186/1471-2342-11-22}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ChenLHCTCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/ZhangZLCGZCLS11, author = {Jun Zhang and Zhi{-}hui Zhan and Ying Lin and Ni Chen and Yue{-}jiao Gong and Jing{-}Hui Zhong and Henry Shu{-}Hung Chung and Yun Li and Yu{-}hui Shi}, title = {Evolutionary Computation Meets Machine Learning: {A} Survey}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {6}, number = {4}, pages = {68--75}, year = {2011}, url = {https://doi.org/10.1109/MCI.2011.942584}, doi = {10.1109/MCI.2011.942584}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/ZhangZLCGZCLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/ChenLSW11, author = {Kun{-}Chih Chen and Shu{-}Yen Lin and Wen{-}Chung Shen and An{-}Yeu Wu}, title = {A scalable built-in self-recovery {(BISR)} {VLSI} architecture and design methodology for 2D-mesh based on-chip networks}, journal = {Des. Autom. Embed. Syst.}, volume = {15}, number = {2}, pages = {111--132}, year = {2011}, url = {https://doi.org/10.1007/s10617-011-9074-6}, doi = {10.1007/S10617-011-9074-6}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/ChenLSW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinSCL11, author = {Kun{-}Hsiang Lin and Li{-}Hsing Shih and Yueh{-}Te Cheng and Shun{-}Chung Lee}, title = {Fuzzy product line design model while considering preference uncertainty: {A} case study of notebook computer industry in Taiwan}, journal = {Expert Syst. Appl.}, volume = {38}, number = {3}, pages = {1789--1797}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.07.106}, doi = {10.1016/J.ESWA.2010.07.106}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinSCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/ChenSS11, author = {Chung Shue Chen and Kenneth W. Shum and Chi Wan Sung}, title = {Round-robin power control for the weighted sum rate maximisation of wireless networks over multiple interfering links}, journal = {Eur. Trans. Telecommun.}, volume = {22}, number = {8}, pages = {458--470}, year = {2011}, url = {https://doi.org/10.1002/ett.1496}, doi = {10.1002/ETT.1496}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/ChenSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcbdd/HungLCCHTL11, author = {Che{-}Lun Hung and Chun{-}Yuan Lin and Shih{-}Cheng Chang and Yeh{-}Ching Chung and Shu Ju Hsieh and Chuan Yi Tang and Yaw{-}Ling Lin}, title = {Multiple genome sequences alignment algorithm based on coding regions}, journal = {Int. J. Comput. Biol. Drug Des.}, volume = {4}, number = {2}, pages = {165--178}, year = {2011}, url = {https://doi.org/10.1504/IJCBDD.2011.041009}, doi = {10.1504/IJCBDD.2011.041009}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcbdd/HungLCCHTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LuCLCWHH11, author = {Chun{-}Chang Lu and Kuei{-}Shu Chang{-}Liao and Chun{-}Yuan Lu and Shih{-}Cheng Chang and Tien{-}Ko Wang and Fu{-}Chung Hou and Yao{-}Tung Hsu}, title = {Tunneling component suppression in charge pumping measurement and reliability study for high-k gated MOSFETs}, journal = {Microelectron. Reliab.}, volume = {51}, number = {12}, pages = {2110--2114}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2011.04.021}, doi = {10.1016/J.MICROREL.2011.04.021}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LuCLCWHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ShenWCLHS11, author = {Sun{-}Ju Shen and Sheng{-}Hung Wu and Jen{-}Hao Chi and Chen{-}Chung Lin and Jao{-}Jia Horng and Chi{-}Min Shu}, title = {Simulation of solid thermal explosion and liquid thermal explosion of dicumyl peroxide using calorimetric technique}, journal = {Simul. Model. Pract. Theory}, volume = {19}, number = {4}, pages = {1251--1257}, year = {2011}, url = {https://doi.org/10.1016/j.simpat.2010.11.001}, doi = {10.1016/J.SIMPAT.2010.11.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/ShenWCLHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeSLCHYLLF11, author = {Shuenn{-}Yuh Lee and Mario YuCheng Su and Ming{-}Chun Liang and You{-}Yin Chen and Cheng{-}Han Hsieh and Chung{-}Min Yang and Hsin{-}Yi Lai and Jou{-}Wei Lin and Qiang Fang}, title = {A Programmable Implantable Microstimulator SoC With Wireless Telemetry: Application in Closed-Loop Endocardial Stimulation for Cardiac Pacemaker}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {5}, number = {6}, pages = {511--522}, year = {2011}, url = {https://doi.org/10.1109/TBCAS.2011.2177661}, doi = {10.1109/TBCAS.2011.2177661}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeSLCHYLLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenYLC11, author = {Jau{-}Horng Chen and Hao{-}Shun Yang and Hou{-}Chung Lin and Yi{-}Jan Emery Chen}, title = {A Polar-Transmitter Architecture Using Multiphase Pulsewidth Modulation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {2}, pages = {244--252}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2071918}, doi = {10.1109/TCSI.2010.2071918}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenYLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/KoHCCHFHCTCLC11, author = {Cheng{-}Ta Ko and Zhi{-}Cheng Hsiao and Y. J. Chang and Peng{-}Shu Chen and J. H. Huang and Hsin{-}Chia Fu and Y. J. Huang and C. W. Chiang and W. L. Tsat and Y. H. Chen and Wei{-}Chung Lo and Kuan{-}Neng Chen}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Wafer-level 3D integration with Cu {TSV} and micro-bump/adhesive hybrid bonding technologies}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6262949}, doi = {10.1109/3DIC.2012.6262949}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/KoHCCHFHCTCLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenLHHLC11, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Ching{-}Chou Hsieh and Chih{-}Tsun Huang and Jing{-}Jia Liou and Yeh{-}Ching Chung}, title = {TurboVG: {A} {HW/SW} co-designed multi-core OpenVG accelerator for vector graphics applications with embedded power profiler}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {97--98}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722315}, doi = {10.1109/ASPDAC.2011.5722315}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenLHHLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KaoZCHWLWWC11, author = {Yu{-}Ting Kao and Shun{-}Cheng Zhan and Shi{-}Chung Chang and Jen{-}Hsuan Ho and Peng Wang and Peter B. Luh and Simon Wang and Fenix Wang and Joey Chang}, title = {Near optimal furnace tool allocation with batching and waiting time constraints}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2011, Trieste, Italy, Aug. 24-27, 2011}, pages = {108--113}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CASE.2011.6042507}, doi = {10.1109/CASE.2011.6042507}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/KaoZCHWLWWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KuoSTC11, author = {Chung{-}Hsien Kuo and Yao{-}Sheng Syu and Tsung{-}Chin Tsai and Ting{-}Shuo Chen}, title = {An embedded robotic wheelchair control architecture with reactive navigations}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2011, Trieste, Italy, Aug. 24-27, 2011}, pages = {810--815}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CASE.2011.6042445}, doi = {10.1109/CASE.2011.6042445}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KuoSTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WangHLLLC11, author = {Yu{-}Shun Wang and Min{-}Han Hsieh and Chia{-}Ming Liu and Chi{-}Wei Liu and James Chien{-}Mo Li and Charlie Chung{-}Ping Chen}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {An at-speed self-testable technique for the high speed domino adder}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055417}, doi = {10.1109/CICC.2011.6055417}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WangHLLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csee2/TsaiTC11, author = {Chang{-}Shu Tsai and Chung{-}Hung Tsai and Chih{-}Sheng Chen}, editor = {Song Lin and Xiong Huang}, title = {By Using Medical Imaging of Radiographic Simulation System for Radiologic Education Program - The Example of Skeleton System}, booktitle = {Advances in Computer Science, Environment, Ecoinformatics, and Education - International Conference, {CSEE} 2011, Wuhan, China, August 21-22, 2011, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {216}, pages = {333--338}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23345-6\_62}, doi = {10.1007/978-3-642-23345-6\_62}, timestamp = {Wed, 17 May 2017 14:24:31 +0200}, biburl = {https://dblp.org/rec/conf/csee2/TsaiTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/ChenHLCL11, author = {Fu{-}Hao Chen and Kuo{-}Chung Huang and Lang{-}Chin Lin and Yi{-}Heng Chou and Kuen Lee}, editor = {Andrew J. Woods and Nicolas S. Holliman and Neil A. Dodgson}, title = {System crosstalk measurement of a time-sequential 3D display using ideal shutter glasses}, booktitle = {Stereoscopic Displays and Applications XXII, San Francisco Airport, California, USA, January 23-27, 2011}, series = {{SPIE} Proceedings}, volume = {7863}, pages = {78632E}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.876739}, doi = {10.1117/12.876739}, timestamp = {Tue, 08 Aug 2023 11:10:38 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/ChenHLCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KungCCC11, author = {Chung{-}Chun Kung and Ti{-}Hung Chen and Shuo{-}Chieh Chang and Chih{-}Chieh Chen}, title = {Estimation of the asympototic stability region of uncertain fuzzy systems with bounded controllers using variable structure system design approach}, booktitle = {{FUZZ-IEEE} 2011, {IEEE} International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings}, pages = {643--648}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FUZZY.2011.6007403}, doi = {10.1109/FUZZY.2011.6007403}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KungCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChenCYHCKYK11, author = {Jing Chen and Da{-}Wei Chang and Chung{-}Ping Young and Guan{-}Ying Huang and Su{-}Lin Chu and Chung{-}Yuan Ke and Shih{-}Tun Yen and Tsang{-}Shuo Kuo}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Building a Multi-kernel Embedded System with High Performance {IPC} Mechanism}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {506--511}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.72}, doi = {10.1109/HPCC.2011.72}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/ChenCYHCKYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/NguyenCT11, author = {Van Minh Nguyen and Chung Shue Chen and Laurent Thomas}, title = {Handover Measurement in Mobile Cellular Networks: Analysis and Applications to {LTE}}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2011, Kyoto, Japan, 5-9 June, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/icc.2011.5962984}, doi = {10.1109/ICC.2011.5962984}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/NguyenCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiLK11, author = {Shujun Li and Chengqing Li and C.{-}C. Jay Kuo}, title = {On the security of a secure Lempel-Ziv-Welch {(LZW)} algorithm}, booktitle = {Proceedings of the 2011 {IEEE} International Conference on Multimedia and Expo, {ICME} 2011, 11-15 July, 2011, Barcelona, Catalonia, Spain}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICME.2011.6011939}, doi = {10.1109/ICME.2011.6011939}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/KuoCTL11, author = {Wen{-}Chung Kuo and Yi{-}Lin Chen and Shuen{-}Chih Tsai and Jung{-}Shian Li}, editor = {Xiamu Niu and Mingchu Li and Y{\^{o}}iti Suzuki and Jeng{-}Shyang Pan and Lakhmi C. Jain}, title = {Single-Packet {IP} Traceback with Less Logging}, booktitle = {Seventh International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2011, Dalian, China, October 14-16, 2011}, pages = {97--100}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IIHMSP.2011.89}, doi = {10.1109/IIHMSP.2011.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/KuoCTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHLWLCC11, author = {Yu{-}Shun Wang and Min{-}Han Hsieh and Chia{-}Ming Liu and Yi{-}Chi Wu and Bing{-}Feng Lin and Hsien{-}Chen Chiu and Charlie Chung{-}Ping Chen}, title = {A 1.2V 6.4GHz 181ps 64-bit {CD} domino adder with {DLL} measurement technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1423--1426}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937840}, doi = {10.1109/ISCAS.2011.5937840}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHLWLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHWLCLC11, author = {Yu{-}Shun Wang and Min{-}Han Hsieh and Yi{-}Chi Wu and Chia{-}Ming Liu and Hsien{-}Chen Chiu and Bing{-}Feng Lin and Charlie Chung{-}Ping Chen}, title = {A 12 Gb/s chip-to-chip {AC} coupled transceiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937907}, doi = {10.1109/ISCAS.2011.5937907}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHWLCLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/UengYCW11, author = {Yeong{-}Luh Ueng and Chung{-}Jay Yang and Shu{-}Wei Chen and Wei{-}Xuan Wu}, title = {A selective-input non-binary {LDPC} decoder architecture}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {40--43}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138641}, doi = {10.1109/ISOCC.2011.6138641}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/UengYCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSLHHYCLLF11, author = {Shuenn{-}Yuh Lee and Yu{-}Cheng Su and Ming{-}Chun Liang and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Chung{-}Min Yang and You{-}Yin Chen and Hsin{-}Yi Lai and Jou{-}Wei Lin and Qiang Fang}, title = {A programmable implantable micro-stimulator SoC with wireless telemetry: Application in closed-loop endocardial stimulation for cardiac pacemaker}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {44--45}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746212}, doi = {10.1109/ISSCC.2011.5746212}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSLHHYCLLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuTTCLHLCSCCSCC11, author = {Chia{-}Hsin Wu and Wen{-}Chieh Tsai and Chun{-}Geik Tan and Chun{-}Nan Chen and Kuan{-}I Li and Jui{-}Lin Hsu and Chi{-}Lun Lo and Hsin{-}Hua Chen and Sheng{-}Yuan Su and Kun{-}Tso Chen and Min Chen and Osama Shana'a and Shu{-}Hung Chou and George Chien}, title = {A GPS/Galileo SoC with adaptive in-band blocker cancellation in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {462--464}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746398}, doi = {10.1109/ISSCC.2011.5746398}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WuTTCLHLCSCCSCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChungCW11, author = {Shu{-}Ru Chung and Hong{-}Shou Chen and Kuan{-}Wen Wang}, title = {Emission properties of white light of nanocrystals}, booktitle = {6th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2011, Kaohsiung, Taiwan, February 20-23, 2011}, pages = {445--448}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NEMS.2011.6017388}, doi = {10.1109/NEMS.2011.6017388}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChungCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/GarciaCLG11, author = {Virgile Garcia and Chung Shue Chen and Nikolai Lebedev and Jean{-}Marie Gorce}, editor = {Kaveh Pahlavan and Shahrokh Valaee and Elvino Silveira Sousa}, title = {Self-optimized precoding and power control in cellular networks}, booktitle = {{IEEE} 22nd International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2011, Toronto, ON, Canada, September 11-14, 2011}, pages = {81--85}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/PIMRC.2011.6140081}, doi = {10.1109/PIMRC.2011.6140081}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/GarciaCLG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sasp/ChenLWCHC11, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Hsin{-}Wen Wei and Yi{-}Cheng Chen and Chih{-}Tsun Huang and Yeh{-}Ching Chung}, title = {Hardware/software co-designed accelerator for vector graphics applications}, booktitle = {{IEEE} 9th Symposium on Application Specific Processors, {SASP} 2011, San Diego, CA, USA, June 5-6, 2011}, pages = {108--114}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SASP.2011.5941088}, doi = {10.1109/SASP.2011.5941088}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sasp/ChenLWCHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/HwangLLLLHCYWCC11, author = {Flora W. H. Hwang and Gage Lin and Yu{-}Shu Liu and Chung{-}Kuei Li and Yaya Lin and Jeremy Huang and Yu{-}Hsin Chien and Zheng{-}Hao Yang and Tuffkid Wu and Shih{-}Yao Chang and Way Chang and Benny Chung and Crazy Dog and Kyle Wen and Yi{-}Ping Chueh and Moody Cheng and Nadia Chang and Joe Chiang and Chen{-}Hung Chuang and Ea Wu}, editor = {Eric Liu}, title = {Opuesto}, booktitle = {{SIGGRAPH} Asia 2011 Computer Animation Festival, Hong Kong, December 12-15, 2011}, pages = {28:1}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077356.2425744}, doi = {10.1145/2077356.2425744}, timestamp = {Thu, 11 Mar 2021 13:45:50 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/HwangLLLLHCYWCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KuoCCK11, author = {Chung{-}Hsien Kuo and Chun{-}Tzu Chen and Ting{-}Shuo Chen and Yu{-}Chen Kuo}, title = {A wireless sensor network approach for rehabilitation data collections}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {579--584}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6083773}, doi = {10.1109/ICSMC.2011.6083773}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/KuoCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/TsaiCLLSYHLHJ11, author = {Chih{-}Yang Tsai and Yu{-}Fan Chen and Hsu{-}Cheng Lu and Chi{-}Hung Lin and Jyh{-}Cherng Shieh and Chung{-}Wei Yen and Jeng{-}Lung Huang and Yung{-}Shun Lin and Ching{-}Lu Hsieh and Joe{-}Air Jiang}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jianhua Ma and Chunsheng Zhu}, title = {An Application of the Wireless Sensor Network Technology for Foehn Monitoring in Real Time}, booktitle = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6905}, pages = {12--24}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23641-9\_4}, doi = {10.1007/978-3-642-23641-9\_4}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/TsaiCLLSYHLHJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenBR11, author = {Chung Shue Chen and Fran{\c{c}}ois Baccelli and Laurent Roullet}, title = {Joint Optimization of Radio Resources in Small and Macro Cell Networks}, booktitle = {Proceedings of the 73rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2011, 15-18 May 2011, Budapest, Hungary}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VETECS.2011.5956746}, doi = {10.1109/VETECS.2011.5956746}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenBR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChengTCHCSLWHLH10, author = {Wei{-}Chung Cheng and Min{-}Lung Tsai and Cheng{-}Wei Chang and Ching{-}Lung Huang and Chaang{-}Ray Chen and Wun{-}Yi Shu and Yun{-}Shien Lee and Tzu{-}Hao Wang and Ji{-}Hong Hong and Chia{-}Yang Li and Ian C. Hsu}, title = {Microarray meta-analysis database (M\({}^{\mbox{2}}\)DB): a uniformly pre-processed, quality controlled, and manually curated human clinical microarray database}, journal = {{BMC} Bioinform.}, volume = {11}, pages = {421}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-421}, doi = {10.1186/1471-2105-11-421}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChengTCHCSLWHLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChinCHKL10, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {A hub-attachment based method to detect functional modules from confidence-scored protein interactions and expression profiles}, journal = {{BMC} Bioinform.}, volume = {11}, number = {{S-1}}, pages = {25}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-S1-S25}, doi = {10.1186/1471-2105-11-S1-S25}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChinCHKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NguyenBTC10, author = {Van Minh Nguyen and Fran{\c{c}}ois Baccelli and Laurent Thomas and Chung Shue Chen}, title = {Best Signal Quality in Cellular Networks: Asymptotic Properties and Applications to Mobility Management in Small Cell Networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/690161}, doi = {10.1155/2010/690161}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejwcn/NguyenBTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/LiKCC10, author = {Sheng{-}Tun Li and Shu{-}Ching Kuo and Yi{-}Chung Cheng and Chih{-}Chuan Chen}, title = {Deterministic vector long-term forecasting for fuzzy time series}, journal = {Fuzzy Sets Syst.}, volume = {161}, number = {13}, pages = {1852--1870}, year = {2010}, url = {https://doi.org/10.1016/j.fss.2009.10.028}, doi = {10.1016/J.FSS.2009.10.028}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/LiKCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijista/HwangWC10, author = {Cheng{-}Neng Hwang and Shun{-}Min Wang and Chung{-}Tao Chang}, title = {The design of H\({}_{\mbox{infinity}}\) control methodology for non-linear systems to guarantee the tracking behaviour in the sense of input - output spheres}, journal = {Int. J. Intell. Syst. Technol. Appl.}, volume = {9}, number = {1}, pages = {34--48}, year = {2010}, url = {https://doi.org/10.1504/IJISTA.2010.033895}, doi = {10.1504/IJISTA.2010.033895}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijista/HwangWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/HoCLC10, author = {Li{-}Hsing Ho and Cheng{-}Wei Chung and Shu{-}Ping Lin and Lu{-}Fang Chen}, title = {Visitors' involvement, perceived value, satisfaction and behavioural intention on tourist express trains in Taiwan}, journal = {Int. J. Serv. Technol. Manag.}, volume = {13}, number = {3/4}, pages = {305--322}, year = {2010}, url = {https://doi.org/10.1504/IJSTM.2010.032084}, doi = {10.1504/IJSTM.2010.032084}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/HoCLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcit/ChenKC10, author = {Bae{-}Ling Chen and Wen{-}Chung Kuo and Yu{-}Shuan Chu}, title = {Weaknesses of a Secure Dynamic {ID} Based Remote User Authentication Scheme}, journal = {J. Convergence Inf. Technol.}, volume = {5}, number = {4}, pages = {84--89}, year = {2010}, url = {http://www.aicit.org/jcit/ppl/Binder6\_Part9.pdf}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcit/ChenKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/ChiuWC10a, author = {Deng{-}Yiv Chiu and Chen{-}Shu Wang and Tien{-}Tsun Chung}, title = {Attacking and Defending Perspective of E-Crime Behavior and Psychology: {A} Systemic Dynamic Simulation Approach}, journal = {J. Softw.}, volume = {5}, number = {12}, pages = {1349--1354}, year = {2010}, url = {https://doi.org/10.4304/jsw.5.12.1349-1354}, doi = {10.4304/JSW.5.12.1349-1354}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/ChiuWC10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scp/ChenWWKC10, author = {Kung Chen and Shu{-}Chun Weng and Meng Wang and Siau{-}Cheng Khoo and Chung{-}Hsin Chen}, title = {Type-directed weaving of aspects for polymorphically typed functional languages}, journal = {Sci. Comput. Program.}, volume = {75}, number = {11}, pages = {1048--1076}, year = {2010}, url = {https://doi.org/10.1016/j.scico.2010.04.001}, doi = {10.1016/J.SCICO.2010.04.001}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scp/ChenWWKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KaoHWCWC10, author = {Chung{-}Lan Kao and Wan{-}Ling Hsieh and Shuu{-}Jiun Wang and Shih{-}Jen Chen and Shun{-}Hwa Wei and Rai{-}Chi Chan}, title = {Efficacy of a Computerized Sensor System for Evaluation and Training of Dizzy Patients}, journal = {Sensors}, volume = {10}, number = {8}, pages = {7602--7620}, year = {2010}, url = {https://doi.org/10.3390/s100807602}, doi = {10.3390/S100807602}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KaoHWCWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChenHF10, author = {Min Chen and Jian{-}Qiang Hu and Michael C. Fu}, title = {Perturbation Analysis of a Dynamic Priority Call Center}, journal = {{IEEE} Trans. Autom. Control.}, volume = {55}, number = {5}, pages = {1191--1196}, year = {2010}, url = {https://doi.org/10.1109/TAC.2010.2041979}, doi = {10.1109/TAC.2010.2041979}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChenHF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/UengYWC10, author = {Yeong{-}Luh Ueng and Chung{-}Jay Yang and Kuan{-}Chieh Wang and Chun{-}Jung Chen}, title = {A Multimode Shuffled Iterative Decoder Architecture for High-Rate {RS-LDPC} Codes}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {10}, pages = {2790--2803}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2010.2046964}, doi = {10.1109/TCSI.2010.2046964}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/UengYWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/Chen0CZWS10, author = {Wei{-}neng Chen and Jun Zhang and Henry Shu{-}Hung Chung and Wen{-}liang Zhong and Wei{-}Gang Wu and Yu{-}hui Shi}, title = {A Novel Set-Based Particle Swarm Optimization Method for Discrete Optimization Problems}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {14}, number = {2}, pages = {278--300}, year = {2010}, url = {https://doi.org/10.1109/TEVC.2009.2030331}, doi = {10.1109/TEVC.2009.2030331}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/Chen0CZWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ShumWC10, author = {Kenneth W. Shum and Wing Shing Wong and Chung Shue Chen}, title = {A general upper bound on the size of constant-weight conflict-avoiding codes}, journal = {{IEEE} Trans. Inf. Theory}, volume = {56}, number = {7}, pages = {3265--3276}, year = {2010}, url = {https://doi.org/10.1109/TIT.2010.2048508}, doi = {10.1109/TIT.2010.2048508}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/ShumWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomacs/HeLCFW10, author = {Donghai He and Loo Hay Lee and Chun{-}Hung Chen and Michael C. Fu and Segev Wasserkrug}, title = {Simulation optimization using the cross-entropy method with optimal computing budget allocation}, journal = {{ACM} Trans. Model. Comput. Simul.}, volume = {20}, number = {1}, pages = {4:1--4:22}, year = {2010}, url = {https://doi.org/10.1145/1667072.1667076}, doi = {10.1145/1667072.1667076}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomacs/HeLCFW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ChenZCHL10, author = {Wei{-}neng Chen and Jun Zhang and Henry Shu{-}Hung Chung and Rui{-}zhang Huang and Ou Liu}, title = {Optimizing Discounted Cash Flows in Project Scheduling - An Ant Colony Optimization Approach}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {C}}, volume = {40}, number = {1}, pages = {64--77}, year = {2010}, url = {https://doi.org/10.1109/TSMCC.2009.2027335}, doi = {10.1109/TSMCC.2009.2027335}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ChenZCHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeYHF10, author = {Shuenn{-}Yuh Lee and Chung{-}Min Yang and Cheng{-}Han Hsieh and John Q. Fang}, title = {A wireless front-end for implantable cardiac micro-stimulator}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {438--441}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774844}, doi = {10.1109/APCCAS.2010.5774844}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeYHF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChungCCC10, author = {Chen{-}I Chung and Shuo{-}Wen Chang and Feng{-}Tso Chien and Ching{-}Hwa Cheng}, title = {Fine resolution double edge clipping with calibration technique for built-in at-speed delay testing}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {367--368}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419860}, doi = {10.1109/ASPDAC.2010.5419860}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChungCCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HungLCCHTL10, author = {Che{-}Lun Hung and Chun{-}Yuan Lin and Shih{-}Cheng Chang and Yeh{-}Ching Chung and Shu Ju Hsieh and Chuan Yi Tang and Yaw{-}Ling Lin}, title = {{CORAL-M:} Heuristic coding region alignment method for multiple genome sequences}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2010, Hong Kong, December 18, 2010}, pages = {223--228}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BIBMW.2010.5703803}, doi = {10.1109/BIBMW.2010.5703803}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HungLCCHTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdes/ChungLC10, author = {Shen{-}Ming Chung and Shun{-}Chieh Lin and Yi{-}Chen Chung}, editor = {Hamid R. Arabnia and Ashu M. G. Solo}, title = {A Dynamic {ECC} Scheme for Lengthening the Lifetime of Flash Memory}, booktitle = {Proceedings of the 2010 International Conference on Computer Design, {CDES} 2010, July 12-15, 2010, Las Vegas Nevada, {USA}}, pages = {133--139}, publisher = {{CSREA} Press}, year = {2010}, timestamp = {Mon, 06 Dec 2010 14:34:36 +0100}, biburl = {https://dblp.org/rec/conf/cdes/ChungLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChenTCPHYCHLLFYWCWWP10, author = {Wei{-}Chih Chen and Chien{-}Chun Tsai and Chih{-}Hsien Chang and Yung{-}Chow Peng and Fu{-}Lung Hsueh and Tsung{-}Hsin Yu and Jinn{-}Yeh Chien and Wen{-}Hung Huang and Chi{-}Chang Lu and Mu{-}Shan Lin and Chin{-}Ming Fu and Shu{-}Chun Yang and Chung{-}Wing Wong and Wan{-}Te Chen and Chin{-}Hua Wen and Li Yueh Wang and Chiang Pu}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A 2.5-8Gb/s transceiver with 5-tap {DFE} and Second order {CDR} against 28-inch channel and 5000ppm {SSC} in 40nm {CMOS} technology}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617469}, doi = {10.1109/CICC.2010.5617469}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChenTCPHYCHLLFYWCWWP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChenLHCC10, author = {Wei{-}Te Chen and Su{-}Chu Lin and Shu{-}Ling Huang and You{-}Shan Chung and Keh{-}Jiann Chen}, title = {E-HowNet and Automatic Construction of a Lexical Ontology}, booktitle = {{COLING} 2010, 23rd International Conference on Computational Linguistics, Demonstrations Volume, 23-27 August 2010, Beijing, China}, pages = {45--48}, publisher = {Demonstrations Volume}, year = {2010}, url = {https://aclanthology.org/C10-3012/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChenLHCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChenLC10, author = {Yaw{-}Chung Chen and Shang{-}Shu Li and Kuan{-}Teng Chen}, editor = {Sheikh Iqbal Ahamed and Doo{-}Hwan Bae and Sung Deok Cha and Carl K. Chang and Rajesh Subramanyan and W. Eric Wong and Hen{-}I Yang}, title = {An Efficient Source Allocation Approach for QoS Support in {P2PTV} Systems}, booktitle = {Proceedings of the 34th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2010, Seoul, Korea, 19-23 July 2010}, pages = {509--514}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/COMPSAC.2010.77}, doi = {10.1109/COMPSAC.2010.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChenLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenB10b, author = {Chung Shue Chen and Fran{\c{c}}ois Baccelli}, title = {Self-Optimization in Mobile Cellular Networks: Power Control and User Association}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2010, Cape Town, South Africa, 23-27 May 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICC.2010.5501928}, doi = {10.1109/ICC.2010.5501928}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenB10b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChengHCHWLSK10, author = {Kai{-}chien Cheng and Cheinway Hwang and Yu{-}Hsiang Chung and Ching{-}Yao Huang and Shiang{-}Hung Wei and Hyongki Lee and C. K. Shum and Chung{-}yen Kuo}, title = {Solid earth deformation monitoring using satellite altimetry in southwestern coasts in Taiwan}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {2163--2166}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5652104}, doi = {10.1109/IGARSS.2010.5652104}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChengHCHWLSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot/LiuWYLCHH10, author = {Hsien{-}Wen Liu and Chung{-}Hsun Weng and Chang{-}Fa Yang and Yu{-}Shu Lin and Fu{-}Syuan Chen and Yu{-}Cheng Huang and Che{-}Wei Hsu}, editor = {Florian Michahelles and Jin Mitsugi}, title = {Design of {RFID} near-field focusing circular patch array antenna at 2.4GHz with applications}, booktitle = {2010 Internet of Things (IOT), IoT for a green Planet, Tokyo, Japan, November 29 - December 1, 2010. Proceedings}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IOT.2010.5678442}, doi = {10.1109/IOT.2010.5678442}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iot/LiuWYLCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JinHCCFW10, author = {Shuangshuang Jin and Zhenyu Huang and Yousu Chen and Daniel G. Chavarr{\'{\i}}a{-}Miranda and John Feo and Pak Chung Wong}, title = {A novel application of parallel betweenness centrality to power grid contingency analysis}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference Proceedings}, pages = {1--7}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPS.2010.5470400}, doi = {10.1109/IPDPS.2010.5470400}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JinHCCFW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/ChenYCHKYK10, author = {Jing Chen and Chung{-}Ping Young and Da{-}Wei Chang and Guan{-}Ying Huang and Chung{-}Yuan Ke and Shih{-}Tun Yen and Tsang{-}Shuo Kuo}, editor = {Ji Wang and W. K. Chan and Fei{-}Ching Kuo}, title = {Building Multi-kernel Embedded System on {PAC} Multi-core Platform}, booktitle = {Proceedings of the 10th International Conference on Quality Software, {QSIC} 2010, Zhangjiajie, China, 14-15 July 2010}, pages = {465--472}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/QSIC.2010.65}, doi = {10.1109/QSIC.2010.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/ChenYCHKYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenKC10, author = {Ting{-}Shuo Chen and Chung{-}Hsien Kuo and Po{-}Chun Chia}, title = {Development of a wireless sensor node based pushrim activated wheelchair controller}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Istanbul, Turkey, 10-13 October 2010}, pages = {1611--1616}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSMC.2010.5642376}, doi = {10.1109/ICSMC.2010.5642376}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChengCT10, author = {Shun{-}Lung Cheng and Yao{-}Liang Chung and Zsehong Tsai}, title = {A Study of User-Profile Based Dynamic Channel Allocation in the Dual-Band Environment}, booktitle = {Proceedings of the 71st {IEEE} Vehicular Technology Conference, {VTC} Spring 2010, 16-19 May 2010, Taipei, Taiwan}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VETECS.2010.5493614}, doi = {10.1109/VETECS.2010.5493614}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChengCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/ChangKC09, author = {Han{-}Shuo Chang and Shih{-}Chung Kang and Po{-}Han Chen}, title = {Systematic procedure of determining an ideal color scheme on 4D models}, journal = {Adv. Eng. Informatics}, volume = {23}, number = {4}, pages = {463--473}, year = {2009}, url = {https://doi.org/10.1016/j.aei.2009.05.002}, doi = {10.1016/J.AEI.2009.05.002}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/ChangKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/TsaiLSLC09, author = {Kun{-}Nan Tsai and Shu{-}Hung Lin and Shin{-}Ru Shih and Jhih{-}Siang Lai and Chung{-}Ming Chen}, title = {Genomic splice site prediction algorithm based on nucleotide sequence pattern for {RNA} viruses}, journal = {Comput. Biol. Chem.}, volume = {33}, number = {2}, pages = {171--175}, year = {2009}, url = {https://doi.org/10.1016/j.compbiolchem.2008.08.002}, doi = {10.1016/J.COMPBIOLCHEM.2008.08.002}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candc/TsaiLSLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/YangWHCHJL09, author = {Sheng{-}Chih Yang and Chuin{-}Mu Wang and Hsian{-}He Hsu and Pau{-}Choo Chung and Giu{-}Cheng Hsu and Chun{-}Jung Juan and Chien{-}Shun Lo}, title = {Contrast enhancement and tissues classification of breast {MRI} using Kalman filter-based linear mixing method}, journal = {Comput. Medical Imaging Graph.}, volume = {33}, number = {3}, pages = {187--196}, year = {2009}, url = {https://doi.org/10.1016/j.compmedimag.2008.12.001}, doi = {10.1016/J.COMPMEDIMAG.2008.12.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/YangWHCHJL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/WuKFWPS09, author = {Jin{-}Jei Wu and Li{-}Yuan Kuo and Shih{-}Hung Fan and Shuo{-}Sian Wei and Cheng{-}Chung Peng and Yuhren Shen}, title = {Optical bounce in a multi-domain vertical aligned liquid crystal display}, journal = {Displays}, volume = {30}, number = {4-5}, pages = {199--201}, year = {2009}, url = {https://doi.org/10.1016/j.displa.2009.06.001}, doi = {10.1016/J.DISPLA.2009.06.001}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/WuKFWPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenWYY09, author = {An Sing Chen and Jyun{-}Cheng Wang and Shu Ching Yang and David C. Yen}, title = {The forecasting ability of Internet-based virtual futures market}, journal = {Expert Syst. Appl.}, volume = {36}, number = {10}, pages = {12578--12584}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2009.05.035}, doi = {10.1016/J.ESWA.2009.05.035}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenWYY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiaoCHH09, author = {Shu{-}Hsien Liao and Chyuan{-}Meei Chen and Chia{-}Lin Hsieh and Shih{-}Chung Hsiao}, title = {Mining information users' knowledge for one-to-one marketing on information appliance}, journal = {Expert Syst. Appl.}, volume = {36}, number = {3}, pages = {4967--4979}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.06.020}, doi = {10.1016/J.ESWA.2008.06.020}, timestamp = {Fri, 19 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LiaoCHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiCSWS09, author = {Yanjun Li and Chung Shue Chen and Yeqiong Song and Zhi Wang and Youxian Sun}, title = {Enhancing Real-Time Delivery in Wireless Sensor Networks with Two-Hop Information}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {5}, number = {2}, pages = {113--122}, year = {2009}, url = {https://doi.org/10.1109/TII.2009.2017938}, doi = {10.1109/TII.2009.2017938}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiCSWS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ShumCSW09, author = {Kenneth W. Shum and Chung Shue Chen and Chi Wan Sung and Wing Shing Wong}, title = {Shift-invariant protocol sequences for the collision channel without feedback}, journal = {{IEEE} Trans. Inf. Theory}, volume = {55}, number = {7}, pages = {3312--3322}, year = {2009}, url = {https://doi.org/10.1109/TIT.2009.2021335}, doi = {10.1109/TIT.2009.2021335}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/ShumCSW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiLSC09, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Chauchin Su and Jwu E. Chen}, title = {A Unified Detection Scheme for Crosstalk Effects in Interconnection Bus}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {2}, pages = {306--311}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2004548}, doi = {10.1109/TVLSI.2008.2004548}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdes/ChungLLLC09, author = {Shen{-}Ming Chung and Shun{-}Chieh Lin and Chun{-}Yi Li and Hsiao{-}Hui Lee and Chi{-}Chun Chen}, editor = {Hamid R. Arabnia and Ashu M. G. Solo}, title = {Implementation and Analysis of an Efficient {TCP/IP} Offload Engine}, booktitle = {Proceedings of the 2009 International Conference on Computer Design, {CDES} 2009, July 13-16, 2009, Las Vegas Nevada, {USA}}, pages = {178--183}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Fri, 30 Oct 2009 13:41:31 +0100}, biburl = {https://dblp.org/rec/conf/cdes/ChungLLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cifer/ChenT09, author = {Shu{-}Heng Chen and Chung{-}Ching Tai}, title = {Modeling intelligence of learning agents in an artificial double auction market}, booktitle = {2009 {IEEE} Symposium on Computational Intelligence for Financial Engineering, CIFEr 2009, Nashville, TN, USA, March 30 - April 2, 2009}, pages = {36--42}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CIFER.2009.4937500}, doi = {10.1109/CIFER.2009.4937500}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cifer/ChenT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscl/LiuCT09, author = {Chen{-}Chung Liu and Chen{-}Wei Chung and Shu{-}Yuan Tao}, editor = {Claire O'Malley and Daniel D. Suthers and Peter Reimann and Angelique Dimitracopoulou}, title = {Making classrooms socio-technical environments for supporting collaborative learning: the role of personal devices and boundary objects}, booktitle = {Proceedings of the 8th International Conference on Computer Supported Collaborative Learning, CSCL'09, Rhodes, Greece, June 8-13, 2009, Volume 1}, pages = {320--324}, publisher = {International Society of the Learning Sciences}, year = {2009}, url = {https://repository.isls.org/handle/1/2965}, timestamp = {Tue, 27 Apr 2021 17:11:22 +0200}, biburl = {https://dblp.org/rec/conf/cscl/LiuCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurogp/ChenT09, author = {Shu{-}Heng Chen and Chung{-}Ching Tai}, editor = {Leonardo Vanneschi and Steven M. Gustafson and Alberto Moraglio and Ivanoe De Falco and Marc Ebner}, title = {Modeling Social Heterogeneity with Genetic Programming in an Artificial Double Auction Market}, booktitle = {Genetic Programming, 12th European Conference, EuroGP 2009, T{\"{u}}bingen, Germany, April 15-17, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5481}, pages = {171--182}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01181-8\_15}, doi = {10.1007/978-3-642-01181-8\_15}, timestamp = {Mon, 05 Feb 2024 20:31:28 +0100}, biburl = {https://dblp.org/rec/conf/eurogp/ChenT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ChenLSC09, author = {Min Chen and Victor C. M. Leung and Lei Shu and Han{-}Chieh Chao}, editor = {Dominik Slezak and Tai{-}Hoon Kim and Alan Chin{-}Chen Chang and Thanos Vasilakos and Mingchu Li and Kouichi Sakurai}, title = {On Multipath Balancing and Expanding for Wireless Multimedia Sensor Networks}, booktitle = {Communication and Networking - International Conference, {FGCN/ACN} 2009, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2009, Jeju Island, Korea, December 10-12, 2009. Proceedings}, series = {Communications in Computer and Information Science}, volume = {56}, pages = {350--359}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10844-0\_42}, doi = {10.1007/978-3-642-10844-0\_42}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ChenLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/ChenL09, author = {Rong{-}Chung Chen and Shu{-}Chen Liu}, editor = {Ge Yu and Mario K{\"{o}}ppen and Shyi{-}Ming Chen and Xiamu Niu}, title = {Applying Concept Mapping on the Influent Learning in Virtual Classroom}, booktitle = {9th International Conference on Hybrid Intelligent Systems {(HIS} 2009), August 12-14, 2009, Shenyang, China}, pages = {266--270}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HIS.2009.60}, doi = {10.1109/HIS.2009.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/ChenL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ShenLCLWL09, author = {Shuan{-}Yu Shen and Cheng{-}Hsin Li and Chih{-}Chung Cheng and Jau{-}Ching Lu and Shao{-}Fan Wang and Pei{-}Chun Lin}, title = {Design of a leg-wheel hybrid mobile platform}, booktitle = {2009 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, {USA}}, pages = {4682--4687}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/IROS.2009.5353958}, doi = {10.1109/IROS.2009.5353958}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ShenLCLWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UengYC09, author = {Yeong{-}Luh Ueng and Chung{-}Jay Yang and Chun{-}Jung Chen}, title = {A Shuffled Message-passing Decoding Method for Memory-based {LDPC} Decoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {892--895}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117900}, doi = {10.1109/ISCAS.2009.5117900}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UengYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/WongSCS09, author = {Wing Shing Wong and Kenneth W. Shum and Chung Shue Chen and Chi Wan Sung}, title = {Design and construction of protocol sequences: Shift invariance and user irrepressibility}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2009, June 28 - July 3, 2009, Seoul, Korea, Proceedings}, pages = {1368--1372}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISIT.2009.5205912}, doi = {10.1109/ISIT.2009.5205912}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/WongSCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/ChungLLLL09, author = {Shen{-}Ming Chung and Chun{-}Yi Li and Shun{-}Chieh Lin and Hsu{-}Cheng Lin and Hsiao{-}Hui Lee}, title = {An Efficient {TCP/IP} Offload Embedded System with Deterministic Buffering Processes}, booktitle = {2009 {IEEE} International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, {ISORC} 2009, Tokyo, Japan, 17-20 March 2009}, pages = {211--215}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISORC.2009.10}, doi = {10.1109/ISORC.2009.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/ChungLLLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChungCC09, author = {Chen{-}I Chung and Shuo{-}Wen Chang and Ching{-}Hwa Cheng}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Fine resolution double edge clipping with calibration technique for built-in at-speed delay testing}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355907}, doi = {10.1109/TEST.2009.5355907}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChungCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mabs/ChenTW09, author = {Shu{-}Heng Chen and Chung{-}Ching Tai and Shu G. Wang}, editor = {Gennaro di Tosto and H. Van Dyke Parunak}, title = {Does Cognitive Capacity Matter When Learning Using Genetic Programming in Double Auction Markets?}, booktitle = {Multi-Agent-Based Simulation X, International Workshop, {MABS} 2009, Budapest, Hungary, May 11-12, 2009 Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5683}, pages = {37--48}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-13553-8\_4}, doi = {10.1007/978-3-642-13553-8\_4}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/mabs/ChenTW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeLHLLF09, author = {Chi{-}Yuan Lee and Shuo{-}Jen Lee and Yuh{-}Chung Hu and Chen{-}Hen Lin and Yu{-}Ming Lee and Wei{-}Yuan Fan}, title = {Integration of micro temperature sensor and metal foil as gas diffusion layer for micro fuel cell}, booktitle = {4th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {IEEE-NEMS} 2009, Shenzhen, China, January 5-8, 2009}, pages = {168--171}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NEMS.2009.5068551}, doi = {10.1109/NEMS.2009.5068551}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/LeeLHLLF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeLSLCC09, author = {Chi{-}Yuan Lee and Shuo{-}Jen Lee and Wen{-}Pin Shih and Chen{-}Hen Lin and Chi{-}Chung Chang and Pei{-}Zen Chang}, title = {Fabrication of micro temperature sensor and heater in a stainless steel-based micro reformer}, booktitle = {4th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {IEEE-NEMS} 2009, Shenzhen, China, January 5-8, 2009}, pages = {172--175}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NEMS.2009.5068552}, doi = {10.1109/NEMS.2009.5068552}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/LeeLSLCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChenSS09, author = {Chung Shue Chen and Kenneth W. Shum and Chi Wan Sung}, title = {A power control algorithm for the sum rate maximization of wireless networks}, booktitle = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, pages = {1512--1516}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/PIMRC.2009.5450265}, doi = {10.1109/PIMRC.2009.5450265}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChenSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HuangCWCG09, author = {Fu{-}Chung Huang and Yu{-}Mei Chen and Tse{-}Hsien Wang and Bing{-}Yu Chen and Shuen{-}Huei Guan}, title = {Animating lip-sync speech faces by dominated animeme models}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2009, New Orleans, Louisiana, USA, August 3-7, 2009, Poster Proceedings}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1599301.1599303}, doi = {10.1145/1599301.1599303}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/HuangCWCG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0910-5073, author = {Kenneth W. Shum and Wing Shing Wong and Chung Shue Chen}, title = {A General Upper Bound on the Size of Constant-Weight Conflict-Avoiding Codes}, journal = {CoRR}, volume = {abs/0910.5073}, year = {2009}, url = {http://arxiv.org/abs/0910.5073}, eprinttype = {arXiv}, eprint = {0910.5073}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0910-5073.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/LiuTN08, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Jinu{-}Ning Nee}, title = {Bridging the gap between students and computers: supporting activity awareness for network collaborative learning with {GSM} network}, journal = {Behav. Inf. Technol.}, volume = {27}, number = {2}, pages = {127--137}, year = {2008}, url = {https://doi.org/10.1080/01449290601054772}, doi = {10.1080/01449290601054772}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/LiuTN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenLTHL08, author = {Shu{-}Hwa Chen and Chen{-}Zen Lo and Ming{-}Chi Tsai and Chao A. Hsiung and Chung{-}Yen Lin}, title = {The unique probe selector: a comprehensive web service for probe design and oligonucleotide arrays}, journal = {{BMC} Bioinform.}, volume = {9}, number = {{S-1}}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-S1-S8}, doi = {10.1186/1471-2105-9-S1-S8}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenLTHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HsiehLLCTL08, author = {Hung{-}Yun Hsieh and Chung{-}Wei Li and Shuo{-}Wei Liao and Yu{-}Wen Chen and Tsung{-}Lin Tsai and Hsiao{-}Pu Lin}, title = {Moving toward end-to-end support for handoffs across heterogeneous telephony systems on dual-mode mobile devices}, journal = {Comput. Commun.}, volume = {31}, number = {11}, pages = {2726--2738}, year = {2008}, url = {https://doi.org/10.1016/j.comcom.2007.03.009}, doi = {10.1016/J.COMCOM.2007.03.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/HsiehLLCTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiaoCW08, author = {Shu{-}Hsien Liao and Chyuan{-}Meei Chen and Chung{-}Hsin Wu}, title = {Mining customer knowledge for product line and brand extension in retailing}, journal = {Expert Syst. Appl.}, volume = {34}, number = {3}, pages = {1763--1776}, year = {2008}, url = {https://doi.org/10.1016/j.eswa.2007.01.036}, doi = {10.1016/J.ESWA.2007.01.036}, timestamp = {Fri, 19 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LiaoCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcpol/ChiangHC08, author = {John Y. Chiang and Chung{-}Hsun Hsieh and Shuenn{-}Ren Cheng}, title = {A Vectorized Chinese Font Personalizing System}, journal = {Int. J. Comput. Process. Orient. Lang.}, volume = {21}, number = {3}, pages = {259--278}, year = {2008}, url = {https://doi.org/10.1142/S1793840608001895}, doi = {10.1142/S1793840608001895}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcpol/ChiangHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/ChenHFL08, author = {Chun{-}Hung Chen and Donghai He and Michael C. Fu and Loo Hay Lee}, title = {Efficient Simulation Budget Allocation for Selecting an Optimal Subset}, journal = {{INFORMS} J. Comput.}, volume = {20}, number = {4}, pages = {579--595}, year = {2008}, url = {https://doi.org/10.1287/ijoc.1080.0268}, doi = {10.1287/IJOC.1080.0268}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informs/ChenHFL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LinCWCHK08, author = {Chung{-}Yen Lin and Chia{-}Hao Chin and Hsin{-}Hung Wu and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko}, title = {Hubba: hub objects analyzer - a framework of interactome hubs identification for network biology}, journal = {Nucleic Acids Res.}, volume = {36}, number = {Web-Server-Issue}, pages = {438--443}, year = {2008}, url = {https://doi.org/10.1093/nar/gkn257}, doi = {10.1093/NAR/GKN257}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LinCWCHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenWS08, author = {Chung Shue Chen and Wing Shing Wong and Yeqiong Song}, title = {Constructions of Robust Protocol Sequences for Wireless Sensor and Ad hoc Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {57}, number = {5}, pages = {3053--3063}, year = {2008}, url = {https://doi.org/10.1109/TVT.2007.914478}, doi = {10.1109/TVT.2007.914478}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuCCSLCC08, author = {Chung{-}Ti Hsu and Shu{-}Chuan Chen and Yen{-}Hsien Chen and Yu{-}Ti Su and Ming{-}Fang Lai and Che{-}Hung Chen and Po{-}An Chen}, title = {Cumulative electrostatic discharge induced degradation of power-rail {ESD} clamp device in high-voltage {CMOS/DMOS} technologies}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {49--52}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745957}, doi = {10.1109/APCCAS.2008.4745957}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuCCSLCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/SunCCCL08, author = {Hung{-}Min Sun and Shuai{-}Min Chen and Yao{-}Hsin Chen and Heng{-}Jeng Chung and I{-}Hung Lin}, title = {Secure and Efficient Handover Schemes for Heterogeneous Networks}, booktitle = {Proceedings of the 3rd {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2008, Yilan, Taiwan, 9-12 December 2008}, pages = {205--210}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/APSCC.2008.194}, doi = {10.1109/APSCC.2008.194}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/SunCCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/WangDWZZWL08, author = {Xiumei Wang and Wei Du and Yan Wang and Chen Zhang and Chunguang Zhou and Shuqin Wang and Yanchun Liang}, title = {The Application of Support Vector Machine to Operon Prediction}, booktitle = {International Conference on Bio-Science and Bio-Technology, {BSBT} 2008, part of the Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 3, Hainan Island, China, December 13-15, 2008}, pages = {59--62}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FGCN.2008.189}, doi = {10.1109/FGCN.2008.189}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/WangDWZZWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LinYC08, author = {Chien{-}Hung Lin and Shu{-}Chung Yi and Jin{-}Jia Chen}, editor = {Jeng{-}Shyang Pan and Xiamu Niu and Hsiang{-}Cheh Huang and Lakhmi C. Jain}, title = {Low Power Adders Design for Portable Video Terminal}, booktitle = {4th International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2008), Harbin, China, 15-17 August 2008, Proceedings}, pages = {651--654}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IIH-MSP.2008.278}, doi = {10.1109/IIH-MSP.2008.278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LinYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/ChenO08, author = {Chung Shue Chen and Geir E. {\O}ien}, title = {Optimal power allocation for two-cell sum rate maximization under minimum rate constraints}, booktitle = {Proceedings of the 2008 5th International Symposium on Wireless Communication Systems, {ISWCS} 2008, 21-24 October 2008, Reykjav{\'{\i}}k, Iceland}, pages = {396--400}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISWCS.2008.4726085}, doi = {10.1109/ISWCS.2008.4726085}, timestamp = {Fri, 07 May 2021 15:32:09 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/ChenO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/ChengHC08, author = {Shu{-}Chen Cheng and Kao{-}Pin Huang and Yun{-}Chung Chen}, editor = {Yueh{-}Min Huang and Changsheng Xu and Kuo{-}Sheng Cheng and Jar{-}Ferr Yang and M. N. S. Swamy and Shipeng Li and Jen{-}Wen Ding}, title = {The Search Engine for Articles and Multimedia in Blogs}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2008, 9th Pacific Rim Conference on Multimedia, Tainan, Taiwan, December 9-13, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5353}, pages = {758--767}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89796-5\_78}, doi = {10.1007/978-3-540-89796-5\_78}, timestamp = {Mon, 23 Nov 2020 15:58:17 +0100}, biburl = {https://dblp.org/rec/conf/pcm/ChengHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HuangCCG08, author = {Fu{-}Chung Huang and Bing{-}Yu Chen and Yung{-}Yu Chuang and Shuen{-}Huei Guan}, title = {Lips-sync 3D speech animation}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2008, Los Angeles, California, USA, August 11-15, 2008, Poster Proceedings}, pages = {6}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1400885.1400892}, doi = {10.1145/1400885.1400892}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/HuangCCG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenLLHC08, author = {Chao{-}Hung Chen and Hsiung{-}Cheng Lin and Ying{-}Chu Liu and Wei{-}Chung Hsu and Shin{-}Ming Chang}, title = {Sufficient sunlight supply for home care using local closed-loop shutter control system}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {2270--2275}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811631}, doi = {10.1109/ICSMC.2008.4811631}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenLLHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KungCC08, author = {Chung{-}Chun Kung and Shuo{-}Chieh Chang and Yu{-}Ren Chen}, title = {Observer-based robust adaptive fuzzy sliding mode control for unknown nonlinear dynamical systems with dead-zone input}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {950--955}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811403}, doi = {10.1109/ICSMC.2008.4811403}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/KungCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsaiCSL08, author = {Ching{-}Chih Tsai and Cheng{-}Kain Chan and Sen{-}Chung Shih and Shui{-}Chun Lin}, title = {Adaptive nonlinear control using {RBFNN} for an electric unicycle}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {2343--2348}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811643}, doi = {10.1109/ICSMC.2008.4811643}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/TsaiCSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swws/HungTLCCL08, author = {Shu{-}Hui Hung and Chung{-}Chen Tseng and Shin{-}Hung Lin and Jen{-}Hsin Chen and Huang{-}Shen Chang and Wen{-}Te Liu}, editor = {Hamid R. Arabnia and Andy Marsh}, title = {A Novel Grid-based Platform for the Care of Taiwan's Lung Cancer Patients}, booktitle = {Proceedings of the 2008 International Conference on Semantic Web {\&} Web Services, {SWWS} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {153--154}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Wed, 11 Feb 2009 13:14:45 +0100}, biburl = {https://dblp.org/rec/conf/swws/HungTLCCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcss/ChenT08, author = {Shu{-}Heng Chen and Chung{-}Ching Tai}, editor = {Keiki Takadama and Claudio Cioffi{-}Revilla and Guillaume Deffuant}, title = {The Agent-Based Double Auction Markets: 15 Years On}, booktitle = {Simulating Interacting Agents and Social Phenomena, The Second World Congress [Post-Conference Proceedings of the World Congress on Social Simulation, {WCSS} 2008, George Mason University, Fairfax, VA, USA, 14-17 July 2008]}, series = {Agent-Based Social Systems}, volume = {7}, pages = {119--136}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-4-431-99781-8\_9}, doi = {10.1007/978-4-431-99781-8\_9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcss/ChenT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/TaoHCLL06, author = {Shu{-}Yuan Tao and Kuang{-}Wen Ho and Chen{-}Wei Chung and Baw{-}Jhiune Liu and Chen{-}Chung Liu}, title = {Designing a Groupware with Handheld Devices for Learning Mathematics}, booktitle = {Proceedings of the Fifth {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2008, Beijing, China, March 23-26, 2008}, pages = {216--218}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WMUTE.2008.23}, doi = {10.1109/WMUTE.2008.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/TaoHCLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/FuCS08, author = {Michael C. Fu and Chun{-}Hung Chen and Leyuan Shi}, editor = {Scott J. Mason and Raymond R. Hill and Lars M{\"{o}}nch and Oliver Rose and Thomas Jefferson and John W. Fowler}, title = {Some topics for simulation optimization}, booktitle = {Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, {WSC} 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008}, pages = {27--38}, publisher = {{WSC}}, year = {2008}, url = {https://doi.org/10.1109/WSC.2008.4736053}, doi = {10.1109/WSC.2008.4736053}, timestamp = {Thu, 10 Jun 2021 22:19:17 +0200}, biburl = {https://dblp.org/rec/conf/wsc/FuCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0805-0856, author = {Zhen{-}Zhun Shu and Ming{-}Li Ke and Guan{-}Wei Chen and Ray Hua Horng and Chao{-}Chih Chang and Jean{-}Yih Tsai and Chung{-}Ching Lai and Ji{-}Liang Chen}, title = {Design And Fabrication of Condenser Microphone Using Wafer Transfer And Micro-electroplating Technique}, journal = {CoRR}, volume = {abs/0805.0856}, year = {2008}, url = {http://arxiv.org/abs/0805.0856}, eprinttype = {arXiv}, eprint = {0805.0856}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0805-0856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/LiLSEC07, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Chauchin Su and Jwu E. Chen}, title = {{IEEE} Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection}, journal = {J. Electron. Test.}, volume = {23}, number = {4}, pages = {341--355}, year = {2007}, url = {https://doi.org/10.1007/s10836-007-0759-5}, doi = {10.1007/S10836-007-0759-5}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/LiLSEC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/ChenTWQ07, author = {Yanfeng Chen and Chi Kong Tse and Siu Chung Wong and Shui{-}Sheng Qiu}, title = {Interaction of Fast-Scale and Slow-Scale bifurcations in Current-Mode Controlled {DC/DC} converters}, journal = {Int. J. Bifurc. Chaos}, volume = {17}, number = {5}, pages = {1609--1622}, year = {2007}, url = {https://doi.org/10.1142/S021812740701794X}, doi = {10.1142/S021812740701794X}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/ChenTWQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/FuHCX07, author = {Michael C. Fu and Jian{-}Qiang Hu and Chun{-}Hung Chen and Xiaoping Xiong}, title = {Simulation Allocation for Determining the Best Design in the Presence of Correlated Sampling}, journal = {{INFORMS} J. Comput.}, volume = {19}, number = {1}, pages = {101--111}, year = {2007}, url = {https://doi.org/10.1287/ijoc.1050.0141}, doi = {10.1287/IJOC.1050.0141}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informs/FuHCX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcis/YangWWC07, author = {Ming{-}Hsien Yang and Ji{-}Tsung Ben Wu and Sung{-}Shun Weng and Ming{-}Chung Chen}, title = {The Organizational Transformation of Securities Brokerage Firms under Electronic Commerce Environment}, journal = {J. Comput. Inf. Syst.}, volume = {47}, number = {3}, pages = {111--117}, year = {2007}, url = {https://www.tandfonline.com/doi/abs/10.1080/08874417.2007.11645972}, doi = {10.1080/08874417.2007.11645972}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcis/YangWWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/ChanCP07, author = {Po{-}Kwok Chan and Shuk Han Cheng and Ting{-}Chung Poon}, title = {Automated segmentation in confocal images using a density clustering method}, journal = {J. Electronic Imaging}, volume = {16}, number = {4}, pages = {043003}, year = {2007}, url = {https://doi.org/10.1117/1.2804279}, doi = {10.1117/1.2804279}, timestamp = {Sun, 01 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/ChanCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChangCUCK07, author = {Chia{-}Hung Chang and Yu{-}Chung Chang and Anthony Underwood and Chien{-}Shun Chiou and Cheng{-}Yan Kao}, title = {{VNTRDB:} a bacterial variable number tandem repeat locus database}, journal = {Nucleic Acids Res.}, volume = {35}, number = {Database-Issue}, pages = {416--421}, year = {2007}, url = {https://doi.org/10.1093/nar/gkl872}, doi = {10.1093/NAR/GKL872}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChangCUCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeKCC07, author = {Jiann{-}Shu Lee and Yung{-}Ming Kuo and Pau{-}Choo Chung and E{-}Liang Chen}, title = {Naked image detection based on adaptive and extensible skin color model}, journal = {Pattern Recognit.}, volume = {40}, number = {8}, pages = {2261--2270}, year = {2007}, url = {https://doi.org/10.1016/j.patcog.2006.11.016}, doi = {10.1016/J.PATCOG.2006.11.016}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeeKCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiCLSC07, author = {Katherine Shu{-}Min Li and Yao{-}Wen Chang and Chung{-}Len Lee and Chauchin Su and Jwu E. Chen}, title = {Multilevel Full-Chip Routing With Testability and Yield Enhancement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {9}, pages = {1625--1636}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.895587}, doi = {10.1109/TCAD.2007.895587}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LiCLSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhouYCZHCSCSC07, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Michael D. Hutton and Truman Collins and Sridhar Srinivasan and Nan{-}Chi Chou and Peter Suaris and Chung{-}Kuan Cheng}, title = {Efficient Timing Analysis With Known False Paths Using Biclique Covering}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {5}, pages = {959--969}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.8361588}, doi = {10.1109/TCAD.2007.8361588}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZhouYCZHCSCSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenWS07, author = {Chung Shue Chen and Wing Shing Wong and Yeqiong Song}, title = {The Design and Analysis of Protocol Sequences for Robust Wireless Accessing}, booktitle = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, pages = {3666--3671}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/GLOCOM.2007.696}, doi = {10.1109/GLOCOM.2007.696}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenWS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ShukranCC07, author = {Mohd Afizi Mohd Shukran and Yuk Ying Chung and Xiaoming Chen}, editor = {Julie A. Jacko}, title = {Implementation of a New {H.264} Video Watermarking Algorithm with Usability Test}, booktitle = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4552}, pages = {963--970}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73110-8\_106}, doi = {10.1007/978-3-540-73110-8\_106}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ShukranCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LiuTHLH07, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Kuang{-}Wen Ho and Baw{-}Jhiune Liu and Ching{-}Chi Hsu}, editor = {J. Michael Spector and Demetrios G. Sampson and Toshio Okamoto and Kinshuk and Stefano A. Cerri and Maomi Ueno and Akihiro Kashihara}, title = {Constructing an {MCSCL} Groupware to Improve the Problem-solving Experience of Mathematics for Hearing-impaired Students}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, pages = {345--347}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICALT.2007.104}, doi = {10.1109/ICALT.2007.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LiuTHLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/BaeCLCS07, author = {Changseok Bae and Yuk Ying Chung and Siqi Li and Xiaoming Chen and Mohd Afizi Mohd Shukran}, title = {A New Optimized Error-Resilient Coding for Video Applications}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {731--734}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284754}, doi = {10.1109/ICME.2007.4284754}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/BaeCLCS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/YehCLCTS07, author = {Ken Yeh and Cheng{-}Wu Chen and Shu{-}Hao Lin and Chen{-}Yuan Chen and Chung{-}Hung Tsai and Jine{-}Lih Shen}, editor = {Hiroshi G. Okuno and Moonis Ali}, title = {Stability Analysis for Nonlinear Systems Subjected to External Force}, booktitle = {New Trends in Applied Artificial Intelligence, 20th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2007, Kyoto, Japan, June 26-29, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4570}, pages = {493--500}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73325-6\_49}, doi = {10.1007/978-3-540-73325-6\_49}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/YehCLCTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/HuangLYC07, author = {Shun{-}He Huang and Chien{-}Hung Lin and Shu{-}Chung Yi and Jin{-}Jia Chen}, editor = {Bin{-}Yih Liao and Jeng{-}Shyang Pan and Lakhmi C. Jain and Mark Liao and Hideki Noda and Anthony T. S. Ho}, title = {A Chinese Abacus {DAC} for Video Applications}, booktitle = {3rd International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2007), Kaohsiung, Taiwan, 26-28 November 2007, Proceedings}, pages = {507--510}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IIH-MSP.2007.6}, doi = {10.1109/IIH-MSP.2007.6}, timestamp = {Fri, 24 Mar 2023 08:33:27 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/HuangLYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LiuLYC07, author = {De{-}Ji Liu and Chien{-}Hung Lin and Shu{-}Chung Yi and Jin{-}Jia Chen}, editor = {Bin{-}Yih Liao and Jeng{-}Shyang Pan and Lakhmi C. Jain and Mark Liao and Hideki Noda and Anthony T. S. Ho}, title = {A Resistor String {DAC} for Video Processing}, booktitle = {3rd International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2007), Kaohsiung, Taiwan, 26-28 November 2007, Proceedings}, pages = {503--506}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IIH-MSP.2007.56}, doi = {10.1109/IIH-MSP.2007.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LiuLYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imecs/LinLZXCY07, author = {Yi{-}Chieh Lin and Chien{-}Hung Lin and Zi{-}Yi Zhao and Yu{-}Zhi Xie and Yen{-}Ju Chen and Shu{-}Chung Yi}, editor = {Sio Iong Ao and Oscar Castillo and Craig Douglas and David Dagan Feng and Jeong{-}A Lee}, title = {A Novel High Speed Chinese Abacus Multiplier}, booktitle = {Proceedings of the International MultiConference of Engineers and Computer Scientists 2007, {IMECS} 2007, March 21-23, 2007, Hong Kong, China}, series = {Lecture Notes in Engineering and Computer Science}, pages = {510--513}, publisher = {Newswood Limited}, year = {2007}, timestamp = {Wed, 12 Sep 2018 01:05:12 +0200}, biburl = {https://dblp.org/rec/conf/imecs/LinLZXCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/DuWWWSZZHL07, author = {Wei Du and Yan Wang and Shuqin Wang and Xiumei Wang and Fangxun Sun and Chen Zhang and Chunguang Zhou and Chengquan Hu and Yanchun Liang}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {Operon Prediction Using Neural Network Based on Multiple Information of Log-Likelihoods}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4491}, pages = {652--657}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72383-7\_77}, doi = {10.1007/978-3-540-72383-7\_77}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/DuWWWSZZHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ZouHWHLZ07, author = {Shu{-}Xue Zou and Yanxin Huang and Yan Wang and Chengquan Hu and Yanchun Liang and Chunguang Zhou}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {A Novel Method for Prediction of Protein Domain Using Distance-Based Maximal Entropy}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4492}, pages = {1264--1272}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72393-6\_149}, doi = {10.1007/978-3-540-72393-6\_149}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ZouHWHLZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChungHC07, author = {You{-}Shan Chung and Shu{-}Ling Huang and Keh{-}Jiann Chen}, editor = {Hee{-}Rahk Chae and Jae{-}Woong Choe and Jong Sup Jun and Youngchul Jun and Eun{-}Jung Yoo}, title = {Modality and Modal Sense Representation in E-HowNet}, booktitle = {Proceedings of the 21st Pacific Asia Conference on Language, Information and Computation, {PACLIC} 21, Seoul, Korea, November 1-3, 2007}, publisher = {The Korean Society for Language and Information / {ACL}}, year = {2007}, url = {https://aclanthology.org/Y07-1013/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ChungHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prib/OuCSH07, author = {Yu{-}Yen Ou and Shu{-}An Chen and Chung{-}Lu Shao and Hao{-}Geng Hung}, editor = {Jagath C. Rajapakse and Bertil Schmidt and L. Gwenn Volkert}, title = {Using Efficient {RBF} Network to Identify Interface Residues Based on {PSSM} Profiles and Biochemical Properties}, booktitle = {Pattern Recognition in Bioinformatics, Second {IAPR} International Workshop, {PRIB} 2007, Singapore, October 1-2, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4774}, pages = {132--141}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75286-8\_13}, doi = {10.1007/978-3-540-75286-8\_13}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/prib/OuCSH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangCSC07, author = {Shu{-}Ling Huang and You{-}Shan Chung and Yueh{-}Yin Shih and Keh{-}Jiann Chen}, title = {Knowledge Representation for Interrogatives in E-HowNet}, booktitle = {Proceedings of the 19th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2007, Taipei, Taiwan, ROC, September 6-7, 2007}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2007}, url = {https://aclanthology.org/O07-1012/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangCSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas/ChenWWKC07, author = {Kung Chen and Shu{-}Chun Weng and Meng Wang and Siau{-}Cheng Khoo and Chung{-}Hsin Chen}, editor = {Hanne Riis Nielson and Gilberto Fil{\'{e}}}, title = {A Compilation Model for Aspect-Oriented Polymorphically Typed Functional Languages}, booktitle = {Static Analysis, 14th International Symposium, {SAS} 2007, Kongens Lyngby, Denmark, August 22-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4634}, pages = {34--51}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74061-2\_3}, doi = {10.1007/978-3-540-74061-2\_3}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/sas/ChenWWKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/HsuC07, author = {Shuo{-}Yan Hsu and William C. Chu}, title = {An Intelligent Agent of Automatically Notify Services}, booktitle = {Proceedings of the Nineteenth International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2007), Boston, Massachusetts, USA, July 9-11, 2007}, pages = {541--545}, publisher = {Knowledge Systems Institute Graduate School}, year = {2007}, timestamp = {Thu, 12 Mar 2020 11:30:50 +0100}, biburl = {https://dblp.org/rec/conf/seke/HsuC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LinCCCLLCLH06, author = {Chung{-}Yen Lin and Shu{-}Hwa Chen and Chi{-}Shiang Cho and Chia{-}Ling Chen and Fan{-}Kai Lin and Chieh Hua Lin and Pao{-}Yang Chen and Chen{-}Zen Lo and Chao A. Hsiung}, title = {Fly-DPI: database of protein interactomes for \emph{D. melanogaster }in the approach of systems biology}, journal = {{BMC} Bioinform.}, volume = {7}, number = {{S-5}}, year = {2006}, url = {https://doi.org/10.1186/1471-2105-7-S5-S18}, doi = {10.1186/1471-2105-7-S5-S18}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LinCCCLLCLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LiouCHHCHC06, author = {Wan{-}Rone Liou and Chin{-}Ying Chen and Jyh{-}Jier Ho and Chao{-}Kuei Hsu and Chung{-}Cheng Chang and Robert Y. Hsiao and Shun{-}Hsyung Chang}, title = {An improved alignment layer grown by oblique evaporation for liquid crystal devices}, journal = {Displays}, volume = {27}, number = {2}, pages = {69--72}, year = {2006}, url = {https://doi.org/10.1016/j.displa.2005.11.001}, doi = {10.1016/J.DISPLA.2005.11.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LiouCHHCHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ChungWDSH06, author = {Korris Fu{-}Lai Chung and Shitong Wang and Zhaohong Deng and Chen Shu and Dewen Hu}, title = {Clustering Analysis of Gene Expression Data based on Semi-supervised Visual Clustering Algorithm}, journal = {Soft Comput.}, volume = {10}, number = {11}, pages = {981--993}, year = {2006}, url = {https://doi.org/10.1007/s00500-005-0025-7}, doi = {10.1007/S00500-005-0025-7}, timestamp = {Fri, 14 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/ChungWDSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChenHF06, author = {Chun{-}Hung Chen and Donghai He and Michael C. Fu}, title = {Efficient Dynamic Simulation Allocation in Ordinal Optimization}, journal = {{IEEE} Trans. Autom. Control.}, volume = {51}, number = {12}, pages = {2005--2009}, year = {2006}, url = {https://doi.org/10.1109/TAC.2006.884993}, doi = {10.1109/TAC.2006.884993}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChenHF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiSCLC06, author = {Katherine Shu{-}Min Li and Chauchin Su and Yao{-}Wen Chang and Chung{-}Len Lee and Jwu E. Chen}, title = {{IEEE} Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {11}, pages = {2513--2525}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2006.881330}, doi = {10.1109/TCAD.2006.881330}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LiSCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dica/YuanCZCLFW06, author = {Shu Yuan and Ronald Chung and Tan Zheng and Jun Cheng and Edmund Y. Lam and Kenneth S. M. Fung and Fan Wang}, editor = {Brian D. Corner and Peng Li and Matthew Tocheri}, title = {A novel design of grating projecting system for 3D reconstruction of wafer bumps}, booktitle = {Proceedings of the Conference on Three-Dimensional Image Capture and Applications VII, San Jose, CA, USA, January 15, 2006}, series = {{SPIE} Proceedings}, volume = {6056}, pages = {605601}, publisher = {{SPIE}}, year = {2006}, url = {https://doi.org/10.1117/12.650023}, doi = {10.1117/12.650023}, timestamp = {Tue, 07 Apr 2020 16:20:49 +0200}, biburl = {https://dblp.org/rec/conf/3dica/YuanCZCLFW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiCSLC06, author = {Katherine Shu{-}Min Li and Yao{-}Wen Chang and Chauchin Su and Chung{-}Len Lee and Jwu E. Chen}, editor = {Fumiyasu Hirose}, title = {{IEEE} standard 1500 compatible interconnect diagnosis for delay and crosstalk faults}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {366--371}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594710}, doi = {10.1109/ASPDAC.2006.1594710}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiCSLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouYCZCH06, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Chung{-}Kuan Cheng and Michael D. Hutton}, editor = {Fumiyasu Hirose}, title = {Efficient static timing analysis using a unified framework for false paths and multi-cycle paths}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {73--78}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594648}, doi = {10.1109/ASPDAC.2006.1594648}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouYCZCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/YiLCL06, author = {Shu{-}Chung Yi and Kun{-}Tse Lee and Jin{-}Jia Chen and Chien{-}Hung Lin}, title = {A Low-Power Efficient Direct Digital Frequency Synthesizer Based on New Two-Level Lookup Table}, booktitle = {Proceedings of the Canadian Conference on Electrical and Computer Engineering, {CCECE} 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada}, pages = {963--966}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CCECE.2006.277378}, doi = {10.1109/CCECE.2006.277378}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccece/YiLCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenCK06, author = {Shi{-}Han Chen and Shun{-}Ju Chen and Chih{-}Chung Kuo}, title = {Perceptual Distortion Analysis And Quality Estimation Of Prosody-Modified Speech For Td-Psola}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {861--864}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1660157}, doi = {10.1109/ICASSP.2006.1660157}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouZHGHC06, author = {Shuo Zhou and Yi Zhu and Yuanfang Hu and Ronald L. Graham and Mike Hutton and Chung{-}Kuan Cheng}, editor = {Soha Hassoun}, title = {Timing model reduction for hierarchical timing analysis}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {415--422}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233584}, doi = {10.1145/1233501.1233584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhouZHGHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imsa/KuoCWHC06, author = {Lun{-}Chia Kuo and Fu{-}Gui Chang and Chung{-}Yi Wu and Shun{-}Min Hsu and Kuang{-}Hung Cheng}, editor = {Jenq{-}Neng Hwang}, title = {A media-on-demand system with scalable media formats}, booktitle = {Proceedings of the Tenth {IASTED} International Conference on Internet and Multimedia Systems and Applications {(IMSA} 2006), Honolulu, Hawaii, USA, August 14-16, 2006}, pages = {148--153}, publisher = {{IASTED/ACTA} Press}, year = {2006}, timestamp = {Tue, 27 Oct 2015 19:30:05 +0100}, biburl = {https://dblp.org/rec/conf/imsa/KuoCWHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/WangLYC06, author = {Chuen{-}Ching Wang and Yih{-}Chuan Lin and Shu{-}Chung Yi and Po{-}Yu Chen}, editor = {Hamid R. Arabnia}, title = {Digital Authentication and Verification in {MPEG-4} Fine-Granular Scalability Video Using Bit-Plane Watermarking}, booktitle = {Proceedings of the 2006 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 1}, pages = {16--21}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Mon, 11 Dec 2006 15:44:46 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/WangLYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/YiLCLWHL06, author = {Shu{-}Chung Yi and Kun{-}Tse Lee and Jin{-}Jia Chen and Chien{-}Hung Lin and Chuen{-}Ching Wang and Chin{-}Fa Hsieh and Chih{-}Yung Lu}, title = {The new architecture of radix-4 Chinese abacus adder}, booktitle = {36th {IEEE} International Symposium on Multiple-Valued Logic {(ISMVL} 2006), 17-20 May 2006, Singapore}, pages = {12}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISMVL.2006.41}, doi = {10.1109/ISMVL.2006.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismvl/YiLCLWHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/LiTKC06, author = {Sheng{-}Tun Li and Fu{-}Ching Tsai and Shu{-}Ching Kuo and Yi{-}Chung Cheng}, title = {A Knowledge Discovery Approach to Supporting Crime Prevention}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.146}, doi = {10.2991/JCIS.2006.146}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/LiTKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/ShihLCCS06, author = {Bih{-}Yaw Shih and Wan{-}I Lee and Yi{-}Shun Chung and Ai{-}Wei Chen and Yichaio Sui}, title = {Recognition of Profitable Customers for Dental Services Marketing - a Case of Dental Clinics in Taiwan}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.151}, doi = {10.2991/JCIS.2006.151}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/ShihLCCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LoCLH06, author = {Chien{-}Shun Lo and Pau{-}Choo Chung and San{-}Kan Lee and Giu{-}Cheng Hsu}, title = {Fractal Based {JPEG2000} {ROI} Coding}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Taipei, Taiwan, October 8-11, 2006}, pages = {553--555}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICSMC.2006.384442}, doi = {10.1109/ICSMC.2006.384442}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LoCLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/LiuCTTCJL06, author = {Chen{-}Chung Liu and Wen{-}Chi Chiou and Shu{-}Ju Tai and Chin{-}Chung Tsai and Gwo{-}Dong Chen and Chen{-}Wei Jong and Baw{-}Jhiune Liu}, title = {Wristbands as Interaction Devices: a Vision-Based Interaction Space for Facilitating Full-Body Learning}, booktitle = {4th {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2006, Athens, Greece, November 16-17, 2006}, pages = {171--173}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/WMTE.2006.261370}, doi = {10.1109/WMTE.2006.261370}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/LiuCTTCJL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/TungHCS05, author = {Kuan{-}Yeh Tung and Ing{-}Chung Huang and Shu{-}Ling Chen and Chih{-}Ting Shih}, title = {Mining the Generation Xers' job attitudes by artificial neural network and decision tree - empirical evidence in Taiwan}, journal = {Expert Syst. Appl.}, volume = {29}, number = {4}, pages = {783--794}, year = {2005}, url = {https://doi.org/10.1016/j.eswa.2005.06.012}, doi = {10.1016/J.ESWA.2005.06.012}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/TungHCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangCCH05, author = {Pi{-}Chung Wang and Hung{-}Yi Chang and Chia{-}Tai Chan and Shuo{-}Cheng Hu}, title = {Scalable Packet Classification Using Condensate Bit Vector}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {4}, pages = {1440--1447}, year = {2005}, url = {https://doi.org/10.1093/ietcom/e88-b.4.1440}, doi = {10.1093/IETCOM/E88-B.4.1440}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangCCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenL05, author = {Shu{-}Heng Chen and Chung{-}Chih Liao}, title = {Agent-based computational modeling of the stock price-volume relation}, journal = {Inf. Sci.}, volume = {170}, number = {1}, pages = {75--100}, year = {2005}, url = {https://doi.org/10.1016/j.ins.2003.03.026}, doi = {10.1016/J.INS.2003.03.026}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChenL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LinLLLHCH05, author = {Chung{-}Yen Lin and Fan{-}Kai Lin and Chieh Hua Lin and Li{-}Wei Lai and Hsiu{-}Jun Hsu and Shu{-}Hwa Chen and Chao A. Hsiung}, title = {{POWER:} PhylOgenetic WEb Repeater - an integrated and user-optimized framework for biomolecular phylogenetic analysis}, journal = {Nucleic Acids Res.}, volume = {33}, number = {Web-Server-Issue}, pages = {553--556}, year = {2005}, url = {https://doi.org/10.1093/nar/gki494}, doi = {10.1093/NAR/GKI494}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LinLLLHCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LinSHC05, author = {Chien{-}Yuan Lin and Shu{-}Wei Sun and Chung{-}Yi Hong and Chen Chang}, title = {Unsupervised identification of white matter tracts in a mouse brain using a directional correlation-based region growing {(DCRG)} algorithm}, journal = {NeuroImage}, volume = {28}, number = {2}, pages = {380--388}, year = {2005}, url = {https://doi.org/10.1016/j.neuroimage.2005.06.009}, doi = {10.1016/J.NEUROIMAGE.2005.06.009}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LinSHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ChenCSCL05, author = {Song{-}Shyong Chen and Yuan{-}Chang Chang and Shun{-}Feng Su and Sheng{-}Luen Chung and Tsu{-}Tian Lee}, title = {Robust static output-feedback stabilization for nonlinear discrete-time systems with time delay via fuzzy control approach}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {13}, number = {2}, pages = {263--272}, year = {2005}, url = {https://doi.org/10.1109/TFUZZ.2004.839673}, doi = {10.1109/TFUZZ.2004.839673}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/ChenCSCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhangCW05, author = {Huanshui Zhang and Chung Shue Chen and Wing Shing Wong}, title = {Distributed power control for time varying systems: performance and convergence analysis}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {54}, number = {5}, pages = {1896--1904}, year = {2005}, url = {https://doi.org/10.1109/TVT.2005.853470}, doi = {10.1109/TVT.2005.853470}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ZhangCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChenW05, author = {Chung Shue Chen and Wing Shing Wong}, title = {Bandwidth allocation for wireless multimedia systems with most regular sequences}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {4}, number = {2}, pages = {635--645}, year = {2005}, url = {https://doi.org/10.1109/TWC.2004.843069}, doi = {10.1109/TWC.2004.843069}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ChenW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apbc/LinLCLC05, author = {Tsun{-}Chen Lin and Ru{-}Sheng Liu and Shu{-}Yuan Chen and Chen{-}Chung Liu and Chien{-}Yu Chen}, editor = {Yi{-}Ping Phoebe Chen and Limsoon Wong}, title = {Genetic algorithms and silhouette measures applied to microarray data classification}, booktitle = {Proceedings of 3rd Asia-Pacific Bioinformatics Conference, 17-21 January 2005, Singapore}, pages = {229--238}, publisher = {Imperial College Press, London}, year = {2005}, url = {http://www.comp.nus.edu.sg/\&\#126;wongls/psZ/apbc2005/camera-ready/248.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apbc/LinLCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiLSC05, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Chauchin Su and Jwu E. Chen}, editor = {Tingao Tang}, title = {Oscillation ring based interconnect test scheme for {SOC}}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {184--187}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120800}, doi = {10.1145/1120725.1120800}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiLSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouYLC05, author = {Shuo Zhou and Bo Yao and Jianhua Liu and Chung{-}Kuan Cheng}, editor = {Tingao Tang}, title = {Integrated algorithmic logical and physical design of integer multiplier}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {1014--1017}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120780}, doi = {10.1145/1120725.1120780}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouYLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiLJSC05, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Tagin Jiang and Chauchin Su and Jwu E. Chen}, title = {Finite State Machine Synthesis for At-Speed Oscillation Testability}, booktitle = {14th Asian Test Symposium {(ATS} 2005), 18-21 December 2005, Calcutta, India}, pages = {360--365}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ATS.2005.60}, doi = {10.1109/ATS.2005.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiLJSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhangCW05, author = {Huanshui Zhang and Chung Shue Chen and Wing Shing Wong}, title = {A distributed fixed-step power control for time-varying systems}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {2754--2758}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1494849}, doi = {10.1109/ICC.2005.1494849}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ZhangCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouYCZCHCSCS05, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Chung{-}Kuan Cheng and Michael D. Hutton and Truman Collins and Sridhar Srinivasan and Nan{-}Chi Chou and Peter Suaris}, title = {Improving the efficiency of static timing analysis with false paths}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {527--531}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560123}, doi = {10.1109/ICCAD.2005.1560123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhouYCZCHCSCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmens/ChungC05, author = {Hsing{-}Yi Chung and Rongshun Chen}, title = {Characterization and Analysis of Vertically Comb-driven Micromirrors}, booktitle = {2005 International Conference on MEMS, NANO, and Smart Systems {(ICMENS} 2005), 24-27 July 2005, Banff, Alberta, Canada}, pages = {335--340}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICMENS.2005.34}, doi = {10.1109/ICMENS.2005.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmens/ChungC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCHL05, author = {Shuenn{-}Yuh Lee and Chung{-}Han Cheng and Ming{-}Feng Huang and Shyh{-}Chyang Lee}, title = {A 1-V 2.4-GHz low-power fractional-N frequency synthesizer with sigma-delta modulator controller}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {2811--2814}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465211}, doi = {10.1109/ISCAS.2005.1465211}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/GanLHWCTCKC05, author = {Kwang{-}Jow Gan and Dong{-}Shong Liang and Chung{-}Chih Hsiao and Shih{-}Yu Wang and Feng{-}Chang Chiang and Cher{-}Shiung Tsai and Yaw{-}Hwang Chen and Shun{-}Huo Kuo and Chi{-}Pin Chen}, title = {Logic Circuit Design Based on {MOS-NDR} Devices and Circuits Fabricated by {CMOS} Process}, booktitle = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff, Alberta, Canada}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWSOC.2005.80}, doi = {10.1109/IWSOC.2005.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/GanLHWCTCKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/LiangGHTCWKCS05, author = {Dong{-}Shong Liang and Kwang{-}Jow Gan and Chung{-}Chih Hsiao and Cher{-}Shiung Tsai and Yaw{-}Hwang Chen and Shih{-}Yu Wang and Shun{-}Huo Kuo and Feng{-}Chang Chiang and Long{-}Xian Su}, title = {Novel Voltage-Controlled Oscillator Design by {MOS-NDR} Devices and Circuits}, booktitle = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff, Alberta, Canada}, pages = {372--375}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWSOC.2005.87}, doi = {10.1109/IWSOC.2005.87}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/LiangGHTCWKCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/LiangGSCHTCWKC05, author = {Dong{-}Shong Liang and Kwang{-}Jow Gan and Long{-}Xian Su and Chi{-}Pin Chen and Chung{-}Chih Hsiao and Cher{-}Shiung Tsai and Yaw{-}Hwang Chen and Shih{-}Yu Wang and Shun{-}Huo Kuo and Feng{-}Chang Chiang}, title = {Four-Valued Memory Circuit Designed by Multiple-Peak {MOS-NDR} Devices and Circuits}, booktitle = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff, Alberta, Canada}, pages = {78--81}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWSOC.2005.66}, doi = {10.1109/IWSOC.2005.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/LiangGSCHTCWKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/HuaCH05, author = {Chung{-}Hsien Hua and Tung{-}Shuan Cheng and Wei Hwang}, title = {Distributed data-retention power gating techniques for column and row co-controlled embedded {SRAM}}, booktitle = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTDT.2005.21}, doi = {10.1109/MTDT.2005.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/HuaCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/LinLHLLHWHLH05, author = {Ching{-}Yuan Lin and Chung{-}Hung Lin and Chien{-}Hung Ho and Wei{-}Wu Liao and Shu{-}Yueh Lee and Ming{-}Chou Ho and Shih{-}Chen Wang and Shih{-}Chan Huang and Yuan{-}Tai Lin and Charles Ching{-}Hsiang Hsu}, title = {Embedded {OTP} fuse in {CMOS} logic process}, booktitle = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, pages = {13--15}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTDT.2005.22}, doi = {10.1109/MTDT.2005.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/LinLHLLHWHLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/LiLCSC05, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Yao{-}Wen Chang and Chauchin Su and Jwu E. Chen}, editor = {Igor L. Markov and Mike Hutton}, title = {Multilevel full-chip routing with testability and yield enhancement}, booktitle = {The Seventh International Workshop on System-Level Interconnect Prediction {(SLIP} 2005), San Francisco, CA, USA, April 2-3, 2005, Proceedings}, pages = {29--36}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1053355.1053362}, doi = {10.1145/1053355.1053362}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/LiLCSC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/LiuTNLCHH05, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Jinu{-}Ning Nee and Baw{-}Jhiune Liu and Gwo{-}Dong Chen and Ching{-}Chi Hsu and Jorng{-}Tzong Horng}, title = {Supporting Activity Awareness for Teams-Games-Tournaments with {GSM} Network}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {238--242}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.60}, doi = {10.1109/WMTE.2005.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/LiuTNLCHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JiangDCTSCTZ04, author = {Hongying Jiang and Youping Deng and Huann{-}Sheng Chen and Lin Tao and Qiuying Sha and Jun Chen and Chung{-}Jui Tsai and Shuanglin Zhang}, title = {Joint analysis of two microarray gene-expression data sets to select lung adenocarcinoma marker genes}, journal = {{BMC} Bioinform.}, volume = {5}, pages = {81}, year = {2004}, url = {https://doi.org/10.1186/1471-2105-5-81}, doi = {10.1186/1471-2105-5-81}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JiangDCTSCTZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/HuHCT04, author = {Yi{-}Chung Hu and Jian{-}Shiun Hu and Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng}, title = {Assessing weights of product attributes from fuzzy knowledge in a dynamic environment}, journal = {Eur. J. Oper. Res.}, volume = {154}, number = {1}, pages = {125--143}, year = {2004}, url = {https://doi.org/10.1016/S0377-2217(02)00652-5}, doi = {10.1016/S0377-2217(02)00652-5}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/HuHCT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/HuCCW04, author = {Shuo{-}Cheng Hu and Chia{-}Tai Chan and Hung{-}Yi Chang and Pi{-}Chung Wang}, title = {Efficient {IP} forwarding engine with incremental update}, journal = {J. High Speed Networks}, volume = {13}, number = {3}, pages = {223--232}, year = {2004}, url = {http://content.iospress.com/articles/journal-of-high-speed-networks/jhs245}, timestamp = {Mon, 18 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/HuCCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/PearnCYC04, author = {Wen Lea Pearn and Shu{-}Hsing Chung and Ming{-}Hsien Yang and Y. H. Chen}, title = {Algorithms for the wafer probing scheduling problem with sequence-dependent set-up time and due date restrictions}, journal = {J. Oper. Res. Soc.}, volume = {55}, number = {11}, pages = {1194--1207}, year = {2004}, url = {https://doi.org/10.1057/palgrave.jors.2601795}, doi = {10.1057/PALGRAVE.JORS.2601795}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/PearnCYC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LuWC04, author = {Chung{-}Chin Lu and Heng{-}Shun Wang and Jia{-}Ping Chen}, title = {Architectures for Syndrome Generation and Error-Location Search in the Decoding of Hermitian Codes}, journal = {{IEEE} Trans. Commun.}, volume = {52}, number = {2}, pages = {176--179}, year = {2004}, url = {https://doi.org/10.1109/TCOMM.2003.822740}, doi = {10.1109/TCOMM.2003.822740}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/LuWC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WangCHLT04, author = {Pi{-}Chung Wang and Chia{-}Tai Chan and Shuo{-}Cheng Hu and Chun{-}Liang Lee and Wei{-}Chun Tseng}, title = {High-speed packet classification for differentiated services in next-generation networks}, journal = {{IEEE} Trans. Multim.}, volume = {6}, number = {6}, pages = {925--935}, year = {2004}, url = {https://doi.org/10.1109/TMM.2004.837263}, doi = {10.1109/TMM.2004.837263}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/WangCHLT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YuHKHCLC04, author = {Chao{-}Ping Yu and Hsien{-}Wei Hung and Canaan Kao and Nen{-}Fu Huang and Ko{-}Shung Chen and Rong{-}Tai Liu and Yi{-}Chung Chen}, title = {WKeeper: {A} Distributed Web Filtering System for IPv6 Home Networks}, booktitle = {18th International Conference on Advanced Information Networking and Applications {(AINA} 2004), 29-31 March 2004, Fukuoka, Japan}, pages = {471--474}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/AINA.2004.1283846}, doi = {10.1109/AINA.2004.1283846}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/YuHKHCLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChenHF04a, author = {Chun{-}Hung Chen and Donghai He and Michael C. Fu}, title = {A case study for optimal dynamic simulation allocation in ordinal optimization}, booktitle = {Proceedings of the 2004 American Control Conference, {ACC} 2004, Boston, MA, USA, June 30 - July 2, 2004}, pages = {5754--5759}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.23919/ACC.2004.1384774}, doi = {10.23919/ACC.2004.1384774}, timestamp = {Thu, 24 Nov 2022 09:21:27 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ChenHF04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LiLSC04, author = {Katherine Shu{-}Min Li and Chung{-}Len Lee and Chauchin Su and Jwu E. Chen}, title = {A Unified Approach to Detecting Crosstalk Faults of Interconnects in Deep Sub-Micron {VLSI}}, booktitle = {13th Asian Test Symposium {(ATS} 2004), 15-17 November 2004, Kenting, Taiwan}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ATS.2004.19}, doi = {10.1109/ATS.2004.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LiLSC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccct/ChungYCLCH04, author = {Yi{-}Nung Chung and Maw{-}Rong Yang and Pao{-}Huan Chou and Jiann{-}Shu Lee and Hsin{-}Ta Chen and Wen{-}Hsin Ho}, editor = {Hsing{-}Wei Chu}, title = {The Research of Automatic Diagnosis for Patellar Tracking Mechanism of Knees}, booktitle = {Proceedings of the 2nd International Conference Computing, Communications and Control Technologies, {CCCT} 2004, Austin, TX, USA, August 14-17, 2004, Volume 2}, pages = {120--125}, publisher = {The International Institute of Informatics and Systemics {(IIIS)}}, year = {2004}, timestamp = {Fri, 28 Jul 2023 11:09:08 +0200}, biburl = {https://dblp.org/rec/conf/ccct/ChungYCLCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChenHF04, author = {Min Chen and Jian{-}Qiang Hu and Michael C. Fu}, title = {Fluid approximation and perturbation analysis of a dynamic priority call center}, booktitle = {43rd {IEEE} Conference on Decision and Control, {CDC} 2004, Nassau, Bahamas, December 14-17, 2004}, pages = {2304--2309}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CDC.2004.1428734}, doi = {10.1109/CDC.2004.1428734}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ChenHF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/KuoLCH04, author = {Shu{-}Ching Kuo and Sheng{-}Tun Li and Yi{-}Chung Cheng and Men{-}Hsieu Ho}, editor = {Masumi Ishikawa and Shuji Hashimoto and Marcin Paprzycki and Emilia I. Barakova and Kaori Yoshida and Mario K{\"{o}}ppen and David W. Corne and Ajith Abraham}, title = {Knowledge Discovery with {SOM} Networks in Financial Investment Strategy}, booktitle = {4th International Conference on Hybrid Intelligent Systems {(HIS} 2004), 5-8 December 2004, Kitakyushu, Japan}, pages = {98--103}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICHIS.2004.68}, doi = {10.1109/ICHIS.2004.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/KuoLCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmens/WuCYLL04, author = {Bi{-}Chu Wu and Chung{-}Kai Chen and Gin{-}Shu Young and Yu{-}Ching Liu and Su{-}Jan Lee}, title = {Fast Prototyping of Protein Reaction Microfluidic Chips}, booktitle = {2004 International Conference on MEMS, NANO, and Smart Systems {(ICMENS} 2004), 25-27 August 2004, Banff, Alberta, Canada}, pages = {12--16}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICMENS.2004.1508904}, doi = {10.1109/ICMENS.2004.1508904}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmens/WuCYLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/HuangCCC04, author = {Yueh{-}Min Huang and Juei{-}Nan Chen and Shu{-}Chen Cheng and William C. Chu}, editor = {Wenyin Liu and Yuanchun Shi and Qing Li}, title = {Agent-BasedWeb Learning System Applying Dynamic Fuzzy Petri Net}, booktitle = {Advances in Web-Based Learning - {ICWL} 2004, Third International Conference, Beijing, China, August 8-11, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3143}, pages = {338--345}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27859-7\_44}, doi = {10.1007/978-3-540-27859-7\_44}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/HuangCCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/ChangWCH04, author = {Hung{-}Yi Chang and Pi{-}Chung Wang and Rong{-}Chang Chen and Shuo{-}Cheng Hu}, editor = {Kiyoharu Aizawa and Yuichi Nakamura and Shin'ichi Satoh}, title = {Performance Improvement of Vector Quantization by Using Threshold}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3333}, pages = {647--654}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30543-9\_81}, doi = {10.1007/978-3-540-30543-9\_81}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/pcm/ChangWCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/FuHCX04, author = {Michael C. Fu and Jian{-}Qiang Hu and Chun{-}Hung Chen and Xiaoping Xiong}, title = {Optimal Computing Budget Allocation Under Correlated Sampling}, booktitle = {Proceedings of the 36th conference on Winter simulation, Washington, DC, USA, December 5-8, 2004}, pages = {595--603}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {http://www.informs-sim.org/wsc04papers/072.pdf}, timestamp = {Thu, 10 Jun 2021 22:19:50 +0200}, biburl = {https://dblp.org/rec/conf/wsc/FuHCX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/advcs/ChenT03, author = {Shu{-}Heng Chen and Chung{-}Ching Tai}, title = {Trading Restrictions, Price Dynamics and allocative Efficiency in Double Auction Markets: Analysis Based on Agent-Based Modeling and Simulations}, journal = {Adv. Complex Syst.}, volume = {6}, number = {3}, pages = {283--302}, year = {2003}, url = {https://doi.org/10.1142/S021952590300089X}, doi = {10.1142/S021952590300089X}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/advcs/ChenT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/LauSWSLCSS03, author = {Michael V. Lau and Sam Shieh and Pei{-}Feng Wang and Brandon Smith and Dennis Lee and Jason Chao and Bernard Shung and Cheng{-}Chung Shih}, title = {Gigabit Ethernet switches using a shared buffer architecture}, journal = {{IEEE} Commun. Mag.}, volume = {41}, number = {12}, pages = {76--84}, year = {2003}, url = {https://doi.org/10.1109/MCOM.2003.1252802}, doi = {10.1109/MCOM.2003.1252802}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/LauSWSLCSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChanWHLC03, author = {Chia{-}Tai Chan and Pi{-}Chung Wang and Shuo{-}Cheng Hu and Chung{-}Liang Lee and Rong{-}Chang Chen}, title = {High-performance {IP} forwarding with efficient routing-table update}, journal = {Comput. Commun.}, volume = {26}, number = {14}, pages = {1681--1692}, year = {2003}, url = {https://doi.org/10.1016/S0140-3664(03)00055-0}, doi = {10.1016/S0140-3664(03)00055-0}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ChanWHLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/LeeCCH03, author = {Stephen T. S. Lee and Henry S. H. Chung and Guanrong Chen and S. Y. Ron Hui}, title = {Use of Chaotic Switching in Electronic Ballasts}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {9}, pages = {2203--2208}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_9\_2203}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/LeeCCH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijufks/HuCTS03, author = {Yi{-}Chung Hu and Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng and Jia{-}Hourng Shieh}, title = {A Fuzzy Data Mining Algorithm for Finding Sequential Patterns}, journal = {Int. J. Uncertain. Fuzziness Knowl. Based Syst.}, volume = {11}, number = {2}, pages = {173--194}, year = {2003}, url = {https://doi.org/10.1142/S0218488503002004}, doi = {10.1142/S0218488503002004}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijufks/HuCTS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/ChenH03, author = {Ruey{-}Shun Chen and Yi{-}Chung Hu}, title = {A novel method for discovering fuzzy sequential patterns using the simple fuzzy partition method}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {54}, number = {7}, pages = {660--670}, year = {2003}, url = {https://doi.org/10.1002/asi.10258}, doi = {10.1002/ASI.10258}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/ChenH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/HuCT03, author = {Yi{-}Chung Hu and Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng}, title = {Discovering fuzzy association rules using fuzzy partition methods}, journal = {Knowl. Based Syst.}, volume = {16}, number = {3}, pages = {137--147}, year = {2003}, url = {https://doi.org/10.1016/S0950-7051(02)00079-5}, doi = {10.1016/S0950-7051(02)00079-5}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/HuCT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/LeeCCLLHY03, author = {San{-}Kan Lee and Pau{-}Choo Chung and Chein{-}I Chang and Chien{-}Shun Lo and Tain Lee and Giu{-}Cheng Hsu and Chin{-}Wen Yang}, title = {Classification of clustered microcalcifications using a Shape Cognitron neural network}, journal = {Neural Networks}, volume = {16}, number = {1}, pages = {121--132}, year = {2003}, url = {https://doi.org/10.1016/S0893-6080(02)00164-8}, doi = {10.1016/S0893-6080(02)00164-8}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/LeeCCLLHY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/HuCT03, author = {Yi{-}Chung Hu and Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng}, title = {Finding fuzzy classification rules using data mining techniques}, journal = {Pattern Recognit. Lett.}, volume = {24}, number = {1-3}, pages = {509--519}, year = {2003}, url = {https://doi.org/10.1016/S0167-8655(02)00273-8}, doi = {10.1016/S0167-8655(02)00273-8}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/HuCT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dc/ChenCSL03, author = {Ya{-}Ning Chen and Shu{-}Jiun Chen and Hon{-}Chung Sum and Simon C. Lin}, title = {Functional Requirements of Metadata System: From User Needs Perspective}, booktitle = {Supporting Communities of Discourse and Practice: Proceedings of the 2003 International Conference on Dublin Core and Metadata Applications, {DC} 2003, Seattle, Washington, USA, September 28 - October 2, 2003}, pages = {91--98}, publisher = {Dublin Core Metadata Initiative}, year = {2003}, url = {http://dcpapers.dublincore.org/pubs/article/view/737}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dc/ChenCSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/MaANVCKXELBSRZ03, author = {Ruijin Ma and Tarig Ali and Xutong Niu and Vasilia Velissariou and Kai{-}chien (Kevin) Cheng and Chung{-}yen Kuo and Xian Xu and Ahmed Elaksher and Ron Li and Keith W. Bedford and C. K. Shum and J. Raul Ramirez and Aidong Zhang}, editor = {Yigal Arens and Eduard H. Hovy and Peggy Agouris}, title = {A Spatio-Temporal Decision Making System for Coastal Change Monitoring and Coastal Management}, booktitle = {Proceedings of the 2003 Annual National Conference on Digital Government Research, {DG.O} 2003, Boston, MA, USA, 2003}, series = {{ACM} International Conference Proceeding Series}, publisher = {Digital Government Research Center}, year = {2003}, url = {http://dl.acm.org/citation.cfm?id=1123202}, timestamp = {Sat, 07 Jul 2018 14:14:28 +0200}, biburl = {https://dblp.org/rec/conf/dgo/MaANVCKXELBSRZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuZZC03, author = {Jianhua Liu and Shuo Zhou and Haikun Zhu and Chung{-}Kuan Cheng}, title = {An Algorithmic Approach for Generic Parallel Adders}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {734--740}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257890}, doi = {10.1109/ICCAD.2003.1257890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuZZC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChanWHLC03, author = {Chia{-}Tai Chan and Pi{-}Chung Wang and Shuo{-}Cheng Hu and Chung{-}Liang Lee and Rong{-}Chang Chen}, editor = {Hyun{-}Kook Kahng}, title = {Scalable {IP} Routing Lookup in Next Generation Network}, booktitle = {Information Networking, Networking Technologies for Enhanced Internet Services International Conference, {ICOIN} 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {2662}, pages = {46--55}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45235-5\_5}, doi = {10.1007/978-3-540-45235-5\_5}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChanWHLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChanWHLC03a, author = {Chia{-}Tai Chan and Pi{-}Chung Wang and Shuo{-}Cheng Hu and Chung{-}Liang Lee and Rong{-}Chang Chen}, editor = {Hyun{-}Kook Kahng}, title = {Scalable Packet Classification for IPv6 by Using Limited TCAMs}, booktitle = {Information Networking, Networking Technologies for Enhanced Internet Services International Conference, {ICOIN} 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {2662}, pages = {76--85}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45235-5\_8}, doi = {10.1007/978-3-540-45235-5\_8}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChanWHLC03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangTCLCTCTLCH03, author = {Chung{-}Neng Wang and Chia{-}Yang Tsai and Hsiao{-}Chiang Chuang and Yao{-}Chung Lin and Jin{-}He Chen and Kin Lam Tong and Feng{-}Cheng Chang and Chun{-}Jen Tsai and Shuh{-}Ying Lee and Tihao Chiang and Hsueh{-}Ming Hang}, title = {FGS-based video streaming test-bed for {MPEG} 21 universal multimedia access with digital item adaptation}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {364--367}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205983}, doi = {10.1109/ISCAS.2003.1205983}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangTCLCTCTLCH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/SooLLCC03, author = {Von{-}Wun Soo and Chen{-}Yu Lee and Chung{-}Cheng Li and Shu Lei Chen and Ching{-}chih Chen}, title = {Automated Semantic Annotation and Retrieval Based on Sharable Ontology and Case-Based Learning Techniques}, booktitle = {{ACM/IEEE} 2003 Joint Conference on Digital Libraries {(JCDL} 2003), 27-31 May 2003, Houston, Texas, USA, Proceedings}, pages = {61}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/JCDL.2003.1204844}, doi = {10.1109/JCDL.2003.1204844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/SooLLCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mamus/ChenT03, author = {Shu{-}Heng Chen and Chung{-}Ching Tai}, editor = {Koichi Kurumatani and Shu{-}Heng Chen and Azuma Ohuchi}, title = {Toward a New Principle of Agent Engineering in Multiagent Systems: Computational Equivalence}, booktitle = {Multi-Agent for Mass User Support, International Workshop, {MAMUS} 2003 Acapulco, Mexico, August 10, 2003 Revised and Invited Papers}, series = {Lecture Notes in Computer Science}, volume = {3012}, pages = {18--32}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24666-4\_2}, doi = {10.1007/978-3-540-24666-4\_2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mamus/ChenT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HuCHT02, author = {Yi{-}Chung Hu and Ruey{-}Shun Chen and Yen{-}Tseng Hsu and Gwo{-}Hshiung Tzeng}, title = {Grey self-organizing feature maps}, journal = {Neurocomputing}, volume = {48}, number = {1-4}, pages = {863--877}, year = {2002}, url = {https://doi.org/10.1016/S0925-2312(01)00677-4}, doi = {10.1016/S0925-2312(01)00677-4}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HuCHT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/DongZHCGC02, author = {Sheqin Dong and Shuo Zhou and Xianlong Hong and Chung{-}Kuan Cheng and Jun Gu and Yici Cai}, title = {An Optimum Placement Search Algorithm Based on Extended Corner Block List}, journal = {J. Comput. Sci. Technol.}, volume = {17}, number = {6}, pages = {699--707}, year = {2002}, url = {https://doi.org/10.1007/BF02960760}, doi = {10.1007/BF02960760}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/DongZHCGC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HuCT02, author = {Yi{-}Chung Hu and Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng}, title = {Generating learning sequences for decision makers through data mining and competence set expansion}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {32}, number = {5}, pages = {679--686}, year = {2002}, url = {https://doi.org/10.1109/TSMCB.2002.1033188}, doi = {10.1109/TSMCB.2002.1033188}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HuCT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/WangCHTC02, author = {Pi{-}Chung Wang and Chia{-}Tai Chan and Shuo{-}Cheng Hu and Wei{-}Chun Tseng and Yaw{-}Chung Chen}, title = {Fast packet classification through tuple reduction and lookahead caching}, booktitle = {Proceedings 10th {IEEE} International Conference on Networks: Towards Network Superiority, {ICON} 2002, Singapore, August 27-30, 2002}, pages = {197--202}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICON.2002.1033311}, doi = {10.1109/ICON.2002.1033311}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icon/WangCHTC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/WangCHSC02, author = {Pi{-}Chung Wang and Chia{-}Tai Chan and Shuo{-}Cheng Hu and Yu{-}Chen Shin and Yaw{-}Chung Chen}, title = {Hardware-based {IP} Routing Lookup with Incremental Update}, booktitle = {9th International Conference on Parallel and Distributed Systems, {ICPADS} 2002, Taiwan, ROC, December 17-20, 2002}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPADS.2002.1183397}, doi = {10.1109/ICPADS.2002.1183397}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/WangCHSC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/LiTLC02, author = {Sheng{-}Tun Li and Chuan{-}Kang Ting and Chungnan Lee and Shu{-}Ching Chen}, title = {Maintenance Scheduling of Oil Storage Tanks Using Tabu-Based Genetic Algorithm}, booktitle = {14th {IEEE} International Conference on Tools with Artificial Intelligence {(ICTAI} 2002), 4-6 November 2002, Washington, DC, {USA}}, pages = {209--215}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TAI.2002.1180806}, doi = {10.1109/TAI.2002.1180806}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/LiTLC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/ChenL02, author = {Shu{-}Heng Chen and Chung{-}Chih Liao}, editor = {H. John Caulfield and Shu{-}Heng Chen and Heng{-}Da Cheng and Richard J. Duro and Vasant G. Honavar and Etienne E. Kerre and Mi Lu and Manuel Gra{\~{n}}a Romay and Timothy K. Shih and Dan Ventura and Paul P. Wang and Yuanyuan Yang}, title = {Why Are There Sunspots? An Analysis Based on Agent-Based Artificial Stock Markets}, booktitle = {Proceedings of the 6th Joint Conference on Information Science, March 8-13, 2002, Research Triangle Park, North Carolina, {USA}}, pages = {1167--1168}, publisher = {{JCIS} / Association for Intelligent Machinery, Inc.}, year = {2002}, timestamp = {Mon, 13 Nov 2017 18:15:11 +0100}, biburl = {https://dblp.org/rec/conf/jcis/ChenL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/ChenTC02, author = {Shu{-}Heng Chen and Chung{-}Ching Tai and Bin{-}Tzong Chie}, editor = {H. John Caulfield and Shu{-}Heng Chen and Heng{-}Da Cheng and Richard J. Duro and Vasant G. Honavar and Etienne E. Kerre and Mi Lu and Manuel Gra{\~{n}}a Romay and Timothy K. Shih and Dan Ventura and Paul P. Wang and Yuanyuan Yang}, title = {Individual Rationality as a Partial Impediment to Market Efficiency}, booktitle = {Proceedings of the 6th Joint Conference on Information Science, March 8-13, 2002, Research Triangle Park, North Carolina, {USA}}, pages = {1163--1166}, publisher = {{JCIS} / Association for Intelligent Machinery, Inc.}, year = {2002}, timestamp = {Tue, 18 Aug 2009 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jcis/ChenTC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/ChenF02, author = {Jian Chen and Michael C. Fu}, editor = {Jane L. Snowdon and John M. Charnes}, title = {Financial derivatives and real options: hedging beyond duration and convexity}, booktitle = {Proceedings of the 34th Winter Simulation Conference: Exploring New Frontiers, San Diego, California, USA, December 8-11, 2002}, pages = {1593--1599}, publisher = {{WSC}}, year = {2002}, url = {https://doi.org/10.1109/WSC.2002.1166438}, doi = {10.1109/WSC.2002.1166438}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/ChenF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LinCCH01, author = {Chung{-}Chih Lin and Heng{-}Shuen Chen and Ching{-}Yu Chen and Sheng{-}Mou Hou}, title = {Implementation and evaluation of a multifunctional telemedicine system in {NTUH}}, journal = {Int. J. Medical Informatics}, volume = {61}, number = {2-3}, pages = {175--187}, year = {2001}, url = {https://doi.org/10.1016/S1386-5056(01)00140-X}, doi = {10.1016/S1386-5056(01)00140-X}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LinCCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccsa/ChenTCH01, author = {Ruey{-}Shun Chen and Gwo{-}Hshiung Tzeng and C. C. Chen and Yi{-}Chung Hu}, title = {Discovery of Fuzzy Sequential Patterns for Fuzzy Partitions in Quantitative Attributes}, booktitle = {2001 {ACS} / {IEEE} International Conference on Computer Systems and Applications {(AICCSA} 2001), 26-29 June 2001, Beirut, Lebanon}, pages = {144--150}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/AICCSA.2001.933967}, doi = {10.1109/AICCSA.2001.933967}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccsa/ChenTCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ZhangCKCG01, author = {Jing Zhang and Jianping Chen and Chi Chung Ko and Ben M. Chen and Shuzhi Sam Ge}, title = {A Web-based laboratory on control of a two-degree-of-freedom helicopter}, booktitle = {40th {IEEE} Conference on Decision and Control, {CDC} 2001, Orlando, FL, USA, 4-7 Dec., 2001}, pages = {2821--2826}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/.2001.980701}, doi = {10.1109/.2001.980701}, timestamp = {Thu, 13 Oct 2022 17:08:41 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ZhangCKCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/ChenW01, author = {Chung Shue Chen and Wing Shing Wong}, editor = {Peter Graham and Muthucumaru Maheswaran and M. Rasit Eskicioglu}, title = {Bandwidth Allocation Optimization for 3G Wireless Multimedia Systems}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} 2001, Las Vegas, Nevada, USA, June 25-28, 2001}, pages = {75--82}, publisher = {{CSREA} Press}, year = {2001}, timestamp = {Thu, 09 Feb 2017 09:59:20 +0100}, biburl = {https://dblp.org/rec/conf/ic/ChenW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MengCWCWFTCWWLLCCC01, author = {Helen M. Meng and Shuk Fong Chan and Yee Fong Wong and Cheong Chat Chan and Yiu Wing Wong and Tien Ying Fung and Wai Ching Tsui and Ke Chen and Lan Wang and Ting{-}Yao Wu and Xiaolong Li and Tan Lee and Wing Nin Choi and P. C. Ching and Huisheng Chi}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {{ISIS:} a learning system with combined interaction and delegation dialogs}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {1551--1554}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-348}, doi = {10.21437/EUROSPEECH.2001-348}, timestamp = {Thu, 22 Jun 2023 16:42:18 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/MengCWCWFTCWWLLCCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ZhouDCG01, author = {Shuo Zhou and Sheqin Dong and Chung{-}Kuan Cheng and Jun Gu}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {{ECBL:} an extended corner block list with solution space including optimum placement}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {150--155}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369756}, doi = {10.1145/369691.369756}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/ZhouDCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/ChenLGCH01, author = {Heng{-}Shuen Chen and Chung{-}Chih Lin and Fei{-}Ran Guo and Ching{-}Yu Chen and Sheng{-}Mou Hou}, editor = {Vimla L. Patel and Ray Rogers and Reinhold Haux}, title = {Virtual Medical School: {A} Distributed Medical Education Network}, booktitle = {{MEDINFO} 2001 - Proceedings of the 10th World Congress on Medical Informatics, September 2-5, 2001, London, {UK}}, series = {Studies in Health Technology and Informatics}, volume = {84}, pages = {1082}, publisher = {{IOS} Press}, year = {2001}, url = {https://doi.org/10.3233/978-1-60750-928-8-1082}, doi = {10.3233/978-1-60750-928-8-1082}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/ChenLGCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChanHWC00, author = {Chia{-}Tai Chan and Shuo{-}Cheng Hu and Pi{-}Chung Wang and Yaw{-}Chung Chen}, title = {A FIFO-based buffer management approach for the {ATM} {GFR} services}, journal = {{IEEE} Commun. Lett.}, volume = {4}, number = {6}, pages = {205--207}, year = {2000}, url = {https://doi.org/10.1109/4234.848414}, doi = {10.1109/4234.848414}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChanHWC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeCLCC00, author = {Ren{-}Guey Lee and Heng{-}Shuen Chen and Chung{-}Chih Lin and Kuang{-}Chiung Chang and Jyh{-}Horng Chen}, title = {Home telecare system using cable television plants - an experimental field trial}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {4}, number = {1}, pages = {37--44}, year = {2000}, url = {https://doi.org/10.1109/4233.826857}, doi = {10.1109/4233.826857}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeCLCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MengCWFTLCCWWLLCWCC00, author = {Helen M. Meng and Shuk Fong Chan and Yee Fong Wong and Tien Ying Fung and Wai Ching Tsui and Tin Hang Lo and Cheong Chat Chan and Ke Chen and Lan Wang and Ting{-}Yao Wu and Xiaolong Li and Tan Lee and Wing Nin Choi and Yiu Wing Wong and P. C. Ching and Huisheng Chi}, title = {{ISIS:} {A} multilingual spoken dialog system developed with {CORBA} and {KQML} agents}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {150--153}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-231}, doi = {10.21437/ICSLP.2000-231}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/MengCWFTLCCWWLLCWCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ChenLY00, author = {Shu{-}Heng Chen and Chung{-}Chih Liao and Chia{-}Hsuan Yeh}, editor = {Robert J. Howlett and Lakhmi C. Jain}, title = {On {AIE-ASM:} a software to simulate artificial stock markets with genetic programming}, booktitle = {Fourth International Conference on Knowledge-Based Intelligent Information Engineering Systems {\&} Allied Technologies, {KES} 2000, Brighton, UK, 30 August - 1 September 2000, Proceedings, 2 Volumes}, pages = {733--736}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/KES.2000.884151}, doi = {10.1109/KES.2000.884151}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/ChenLY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ChenHCL99, author = {Yaw{-}Chung Chen and Shuo{-}Cheng Hu and Chia{-}Tai Chan and Chun{-}Liang Lee}, title = {Frame-based priority scheduling in hybrid {IP/ATM} networks}, journal = {Comput. Commun.}, volume = {22}, number = {13}, pages = {1234--1246}, year = {1999}, url = {https://doi.org/10.1016/S0140-3664(99)00117-6}, doi = {10.1016/S0140-3664(99)00117-6}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ChenHCL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/ChenHCW99, author = {Yaw{-}Chung Chen and Shuo{-}Cheng Hu and Chia{-}Tai Chan and Pi{-}Chung Wang}, title = {Traffic control through in-service monitoring for QoS improvement in {ATM} networks}, journal = {J. Commun. Networks}, volume = {1}, number = {4}, pages = {286--294}, year = {1999}, url = {https://doi.org/10.1109/JCN.1999.6597010}, doi = {10.1109/JCN.1999.6597010}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/ChenHCW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icai/ChenYL99, author = {Shu{-}Heng Chen and Chia{-}Hsuan Yeh and Chung{-}Chih Liao}, editor = {Hamid R. Arabnia}, title = {Testing for Granger Causality in the Stock Price-Volume Relation: {A} Perspective from the Agent-Based Model of Stock Markets}, booktitle = {Proceedings of the International Conference on Artificial Intelligence, {IC-AI} '99, June 28 - July 1, 1999, Las Vegas, Nevada, USA, Volume 2}, pages = {374--380}, publisher = {{CSREA} Press}, year = {1999}, timestamp = {Fri, 26 Mar 2004 14:00:31 +0100}, biburl = {https://dblp.org/rec/conf/icai/ChenYL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icai/ChenYL99a, author = {Shu{-}Heng Chen and Chia{-}Hsuan Yeh and Chung{-}Chih Liao}, editor = {Hamid R. Arabnia}, title = {Testing the Rational Expectations Hypothesis with Agent-Based Models of Stock Markets}, booktitle = {Proceedings of the International Conference on Artificial Intelligence, {IC-AI} '99, June 28 - July 1, 1999, Las Vegas, Nevada, USA, Volume 2}, pages = {381--387}, publisher = {{CSREA} Press}, year = {1999}, timestamp = {Fri, 26 Mar 2004 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icai/ChenYL99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LeungYTC99, author = {Oliver Yuk{-}Hang Leung and Chung{-}Wai Yue and Chi{-}Ying Tsui and Roger S. Cheng}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Reducing power consumption of turbo code decoder using adaptive iteration with variable supply voltage}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {36--41}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313836}, doi = {10.1145/313817.313836}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LeungYTC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LinDLCSC98, author = {Chung{-}Chih Lin and Jeng{-}Ren Duann and Chien{-}Tsai Liu and Heng{-}Shuen Chen and Jenn{-}Lung Su and Jyh{-}Horng Chen}, title = {A unified multimedia database system to support telemedicine}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {2}, number = {3}, pages = {183--192}, year = {1998}, url = {https://doi.org/10.1109/4233.735783}, doi = {10.1109/4233.735783}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LinDLCSC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/YeungCFC98, author = {Daniel S. Yeung and Y. T. Cheng and Hank{-}Shun Fong and Fu{-}Lai Chung}, title = {Neocognitron based handwriting recognition system performance tuning using genetic algorithm}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 1998, Hyatt Regency La Jolla, San Diego, California, USA, October 11-14,1998}, pages = {4228--4233}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICSMC.1998.727509}, doi = {10.1109/ICSMC.1998.727509}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/YeungCFC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangWCWCW95, author = {Hong{-}Yi Huang and Jinn{-}Shyan Wang and Yuan{-}Hua Chu and Tain{-}Shun Wu and Kuo{-}Hsing Cheng and Chung{-}Yu Wu}, title = {Low-Voltage Low-Power {CMOS} True-Single-Phase Clocking Scheme with Locally Asynchronous Logic Circuits}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {1572--1575}, publisher = {{IEEE}}, year = {1995}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangWCWCW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerWCCW95, author = {Ming{-}Dou Ker and Chung{-}Yu Wu and Hun{-}Hsien Chang and Tao Cheng and Tain{-}Shun Wu}, title = {Complementary-LVTSCR {ESD} Protection Scheme for Submicron {CMOS} IC's}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {833--836}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.519892}, doi = {10.1109/ISCAS.1995.519892}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerWCCW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ChenYL82, author = {Pin{-}Yee Chen and Pen{-}Chung Yew and Duncan H. Lawrie}, title = {Performance of packet switching in buffered single-stage shuffle-exchange networks}, booktitle = {Proceedings of the 3rd International Conference on Distributed Computing Systems, Miami/Ft. Lauderdale, Florida, USA, October 18-22, 1982}, pages = {622--628}, publisher = {{IEEE} Computer Society}, year = {1982}, timestamp = {Wed, 21 Sep 2005 15:11:36 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/ChenYL82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/ChenLPY81, author = {Pin{-}Yee Chen and Duncan H. Lawrie and David A. Padua and Pen{-}Chung Yew}, title = {Interconnection Networks Using Shuffles}, journal = {Computer}, volume = {14}, number = {12}, pages = {55--64}, year = {1981}, url = {https://doi.org/10.1109/C-M.1981.220297}, doi = {10.1109/C-M.1981.220297}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/ChenLPY81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.