default search action
Search dblp for Publications
export results for "Chun-Hao Lin"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/inffus/SyuFCL25, author = {Jia{-}Hao Syu and Marcin Fojcik and Rafal Cupek and Jerry Chun{-}Wei Lin}, title = {{HTTPS:} Heterogeneous Transfer learning for spliT Prediction System evaluated on healthcare data}, journal = {Inf. Fusion}, volume = {113}, pages = {102617}, year = {2025}, url = {https://doi.org/10.1016/j.inffus.2024.102617}, doi = {10.1016/J.INFFUS.2024.102617}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/SyuFCL25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/HsuehLLHL25, author = {I{-}Cheng Hsueh and Yi{-}Hsuan Lan and Ding{-}Hao Lin and Chun{-}Hung Huang and Chao{-}Chieh Lan}, title = {A compact compliant robot for the grinding of spherical workpieces with high force control accuracy}, journal = {Robotics Comput. Integr. Manuf.}, volume = {91}, pages = {102856}, year = {2025}, url = {https://doi.org/10.1016/j.rcim.2024.102856}, doi = {10.1016/J.RCIM.2024.102856}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/HsuehLLHL25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuoWCZC24, author = {Hong Huo and Dezhi Wang and Hao Chen and Chunlei Zhao and Qi Cheng}, title = {Considering the Methods of Lightning Protection and Early Warning for Power Transmission Lines Based on Lightning Data Analysis}, journal = {{IEEE} Access}, volume = {12}, pages = {54168--54181}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3387968}, doi = {10.1109/ACCESS.2024.3387968}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuoWCZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/FengOYCLHLGLTZYZHWMGHSLY24, author = {Chunyue Feng and Kok Haur Ong and David M. Young and Bingxian Chen and Longjie Li and Xinmi Huo and Haoda Lu and Weizhong Gu and Fei Liu and Hongfeng Tang and Manli Zhao and Min Yang and Kun Zhu and Limin Huang and Qiang Wang and Gabriel Pik Liang Marini and Kun Gui and Hao Han and Stephan J. Sanders and Lin Li and Weimiao Yu and Jianhua Mao}, title = {Artificial intelligence-assisted quantification and assessment of whole slide images for pediatric kidney disease diagnosis}, journal = {Bioinform.}, volume = {40}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/bioinformatics/btad740}, doi = {10.1093/BIOINFORMATICS/BTAD740}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/FengOYCLHLGLTZYZHWMGHSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChangHWL24, author = {Lan{-}Yun Chang and Ting{-}Yi Hao and Wei{-}Jie Wang and Chun{-}Yu Lin}, title = {Inference of single-cell network using mutual information for scRNA-seq data analysis}, journal = {{BMC} Bioinform.}, volume = {25}, number = {1}, pages = {292}, year = {2024}, url = {https://doi.org/10.1186/s12859-024-05895-3}, doi = {10.1186/S12859-024-05895-3}, timestamp = {Mon, 09 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChangHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ChangLWC24, author = {Joseph Chang and Bo{-}Ru Lin and Ti{-}Hao Wang and Chung{-}Ming Chen}, title = {Deep learning model for pleural effusion detection via active learning and pseudo-labeling: a multisite study}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {92}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01260-1}, doi = {10.1186/S12880-024-01260-1}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ChangLWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/WangZWCMZZC24, author = {Shu{-}Hao Wang and Yan Zhao and Chun{-}Chun Wang and Fei Chu and Lian{-}Ying Miao and Li Zhang and Linlin Zhuo and Xing Chen}, title = {{RFEM:} {A} framework for essential microRNA identification in mice based on rotation forest and multiple feature fusion}, journal = {Comput. Biol. Medicine}, volume = {171}, pages = {108177}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108177}, doi = {10.1016/J.COMPBIOMED.2024.108177}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/WangZWCMZZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/LinSS24, author = {Chiao{-}Jiuan Lin and Chung{-}An Shen and Hao{-}Jung Shih}, title = {Design and Implementation of a Multiconnectivity IoT Modem for Highly Reliable Consumer Applications}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {13}, number = {5}, pages = {47--60}, year = {2024}, url = {https://doi.org/10.1109/MCE.2022.3183380}, doi = {10.1109/MCE.2022.3183380}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cem/LinSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/SyuLS24, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Gautam Srivastava}, title = {AI-Based Electricity Grid Management for Sustainability, Reliability, and Security}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {13}, number = {1}, pages = {91--96}, year = {2024}, url = {https://doi.org/10.1109/MCE.2023.3264884}, doi = {10.1109/MCE.2023.3264884}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cem/SyuLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24, author = {Hao Qiu and Zhihao Yu and Tiange Zhao and Qi Zhang and Mingsheng Xu and Peifeng Li and Taotao Li and Wenzhong Bao and Yang Chai and Shula Chen and Yiqi Chen and Hui{-}Ming Cheng and Daoxin Dai and Zengfeng Di and Zhuo Dong and Xidong Duan and Yuhan Feng and Yu Fu and Jingshu Guo and Pengwen Guo and Yue Hao and Jun He and Xiao He and Jingyi Hu and Weida Hu and Zehua Hu and Xinyue Huang and Ziyang Huang and Ali Imran and Ziqiang Kong and Jia Li and Qian Li and Weisheng Li and Lei Liao and Bilu Liu and Can Liu and Chunsen Liu and Guanyu Liu and Kaihui Liu and Liwei Liu and Sheng Liu and Yuan Liu and Donglin Lu and Likuan Ma and Feng Miao and Zhenhua Ni and Jing Ning and Anlian Pan and Tian{-}Ling Ren and Haowen Shu and Litao Sun and Yue Sun and Quanyang Tao and Ziao Tian and Dong Wang and Hao Wang and Haomin Wang and Jialong Wang and Junyong Wang and Wenhui Wang and Xingjun Wang and Yeliang Wang and Yuwei Wang and Zhenyu Wang and Yao Wen and Haidi Wu and Hongzhao Wu and Jiangbin Wu and Yanqing Wu and Longfei Xia and Baixu Xiang and Luwen Xing and Qihua Xiong and Xiong Xiong and Jeffrey Xu and Tao Xu and Yang Xu and Liu Yang and Yi Yang and Yuekun Yang and Lei Ye and Yu Ye and Bin Yu and Ting Yu and Hui Zeng and Guangyu Zhang and Hongyun Zhang and Jincheng Zhang and Kai Zhang and Tao Zhang and Xinbo Zhang and Yanfeng Zhang and Chunsong Zhao and Yuda Zhao and Ting Zheng and Peng Zhou and Shaohua Kevin Zhou and Yuxuan Zhu and Deren Yang and Yi Shi and Han Wang and Xinran Wang}, title = {Two-dimensional materials for future information technology: status and prospects}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {6}, year = {2024}, url = {https://doi.org/10.1007/s11432-024-4033-8}, doi = {10.1007/S11432-024-4033-8}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ShiYZLWHNYLGMH24, author = {Chunzhou Shi and Ling Yang and Meng Zhang and Hao Lu and Mei Wu and Bin Hou and Xuerui Niu and Qian Yu and Wenliang Liu and Wenze Gao and Xiaohua Ma and Yue Hao}, title = {Improved {RF} power performance via electrostatic shielding effect using AlGaN/GaN/graded-AlGaN/GaN double-channel structure}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {4}, year = {2024}, url = {https://doi.org/10.1007/s11432-023-3940-x}, doi = {10.1007/S11432-023-3940-X}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ShiYZLWHNYLGMH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/LinCCLYZTZ24, author = {Tu{-}Liang Lin and Hong{-}Yi Chang and Yuan{-}Yao Chiang and Shu{-}Cheng Lin and Tsung{-}Yen Yang and Chun{-}Jun Zhuang and Wha{-}Lee Tseng and Bo{-}Hao Zhang}, title = {Ransomware Detection by Distinguishing {API} Call Sequences through {LSTM} and {BERT} Models}, journal = {Comput. J.}, volume = {67}, number = {2}, pages = {632--641}, year = {2024}, url = {https://doi.org/10.1093/comjnl/bxad005}, doi = {10.1093/COMJNL/BXAD005}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cj/LinCCLYZTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/FuWSZLSZ24, author = {Haoran Fu and Chundong Wang and Jiaqi Sun and Yumeng Zhao and Hao Lin and Junqing Sun and Baixue Zhang}, title = {WordIllusion: An adversarial text generation algorithm based on human cognitive system}, journal = {Cogn. Syst. Res.}, volume = {83}, pages = {101179}, year = {2024}, url = {https://doi.org/10.1016/j.cogsys.2023.101179}, doi = {10.1016/J.COGSYS.2023.101179}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogsr/FuWSZLSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/LuCGLGWH24, author = {Jiale Lu and Lianggangxu Chen and Haoyue Guan and Shaohui Lin and Chunhua Gu and Changbo Wang and Gaoqi He}, title = {Improving rare relation inferring for scene graph generation using bipartite graph network}, journal = {Comput. Vis. Image Underst.}, volume = {239}, pages = {103901}, year = {2024}, url = {https://doi.org/10.1016/j.cviu.2023.103901}, doi = {10.1016/J.CVIU.2023.103901}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/LuCGLGWH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/LinSWWLC24, author = {Feng{-}Cheng Lin and Yi{-}Shiang Shiu and Pei{-}Jung Wang and Uen{-}Hao Wang and Jhe{-}Syuan Lai and Yung{-}Chung Chuang}, title = {A model for forest type identification and forest regeneration monitoring based on deep learning and hyperspectral imagery}, journal = {Ecol. Informatics}, volume = {80}, pages = {102507}, year = {2024}, url = {https://doi.org/10.1016/j.ecoinf.2024.102507}, doi = {10.1016/J.ECOINF.2024.102507}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/LinSWWLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/LiZCLLXZLHKCLZXZ24, author = {Haotian Li and Yuying Zou and Nan Chen and Jiarong Lin and Xiyuan Liu and Wei Xu and Chunran Zheng and Rundong Li and Dongjiao He and Fanze Kong and Yixi Cai and Zheng Liu and Shunbo Zhou and Kaiwen Xue and Fu Zhang}, title = {{MARS-LVIG} dataset: {A} multi-sensor aerial robots {SLAM} dataset for LiDAR-visual-inertial-GNSS fusion}, journal = {Int. J. Robotics Res.}, volume = {43}, number = {8}, pages = {1114--1127}, year = {2024}, url = {https://doi.org/10.1177/02783649241227968}, doi = {10.1177/02783649241227968}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/LiZCLLXZLHKCLZXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SyuL24, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin}, title = {Heterogeneous Federated Learning for Non-IID Smartwatch Data Classification}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {18}, pages = {29811--29818}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3410393}, doi = {10.1109/JIOT.2024.3410393}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SyuL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouCCLC24, author = {Chun{-}Heng You and Chih{-}Hao Chiang and Paul C.{-}P. Chao and Wen{-}Ching Lin and Kai{-}Hsin Chuang}, title = {New Adaptive Template Attacks Against Montgomery-Ladder-Based ECCs in IoT Devices}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {12}, pages = {22716--22725}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3384076}, doi = {10.1109/JIOT.2024.3384076}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouCCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/GanWYG24, author = {Mingxin Gan and Chunhua Wang and Lingling Yi and Hao Gu}, title = {Exploiting dynamic social feedback for session-based recommendation}, journal = {Inf. Process. Manag.}, volume = {61}, number = {2}, pages = {103632}, year = {2024}, url = {https://doi.org/10.1016/j.ipm.2023.103632}, doi = {10.1016/J.IPM.2023.103632}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/GanWYG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/HaoWXL24, author = {Qingbo Hao and Chundong Wang and Yingyuan Xiao and Hao Lin}, title = {Simplices-based higher-order enhancement graph neural network for multi-behavior recommendation}, journal = {Inf. Process. Manag.}, volume = {61}, number = {5}, pages = {103790}, year = {2024}, url = {https://doi.org/10.1016/j.ipm.2024.103790}, doi = {10.1016/J.IPM.2024.103790}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/HaoWXL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LinW24, author = {Hao Lin and Chundong Wang}, title = {{DIGWO-N-BEATS:} An evolutionary time series prediction method for situation prediction}, journal = {Inf. Sci.}, volume = {664}, pages = {120316}, year = {2024}, url = {https://doi.org/10.1016/j.ins.2024.120316}, doi = {10.1016/J.INS.2024.120316}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LinW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LinYLFLZWCLPZDHW24, author = {Zhiting Lin and Changxin Yue and Ke Li and Qiushi Feng and Siyan Li and Yue Zhao and Yuanyang Wang and Jiaqi Chen and Wenjuan Lu and Chunyu Peng and Qiang Zhao and Chenghu Dai and Licai Hao and Xiulong Wu}, title = {Cross-coupled 4T2R multi-logic in-memory computing circuit design}, journal = {Microelectron. J.}, volume = {147}, pages = {106179}, year = {2024}, url = {https://doi.org/10.1016/j.mejo.2024.106179}, doi = {10.1016/J.MEJO.2024.106179}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/LinYLFLZWCLPZDHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ZhaoZHPWLLW24, author = {Shiyu Zhao and Qiang Zhao and Licai Hao and Chunyu Peng and Yaling Wang and Wenjuan Lu and Zhiting Lin and Xiulong Wu}, title = {Design of polarity hardening {SRAM} for mitigating single event multiple node upsets}, journal = {Microelectron. J.}, volume = {149}, pages = {106214}, year = {2024}, url = {https://doi.org/10.1016/j.mejo.2024.106214}, doi = {10.1016/J.MEJO.2024.106214}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/ZhaoZHPWLLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/TsengLHCL24, author = {Chun{-}Hsiung Tseng and Hao{-}Chiang Koong Lin and Andrew Chih{-}Wei Huang and Yung{-}Hui Chen and Jia{-}Rou Lin}, title = {MindMe: an AI-Powered personality assessment tool}, journal = {Multim. Tools Appl.}, volume = {83}, number = {12}, pages = {35943--35955}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-16803-x}, doi = {10.1007/S11042-023-16803-X}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/TsengLHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LinCZXXWLZLDLLYQD24, author = {Liyuan Lin and Zhongyu Chang and Yu Zhang and Kaizhong Xue and Yingying Xie and Luli Wei and Xin Li and Zhen Zhao and Yun Luo and Haoyang Dong and Meng Liang and Huaigui Liu and Chunshui Yu and Wen Qin and Hao Ding}, title = {Voxel-based texture similarity networks reveal individual variability and correlate with biological ontologies}, journal = {NeuroImage}, volume = {297}, pages = {120688}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120688}, doi = {10.1016/J.NEUROIMAGE.2024.120688}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LinCZXXWLZLDLLYQD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/DongCZOHZCG24, author = {Xinyang Dong and Hao Chen and Ziqing Zhou and Chun Ouyang and Linqiang Hu and Fang Zhang and Bo Chen and Zhongxue Gan}, title = {Salpot: {A} Jet Propulsion Swimmer With Scissor Structure and Bilateral Apertures}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {8}, pages = {7102--7109}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3418278}, doi = {10.1109/LRA.2024.3418278}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/DongCZOHZCG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soca/HsuWCHHGSZHT24, author = {Wei{-}Wen Hsu and Yongfang Wu and Chung{-}Hao Chen and Chang Hao and Yu{-}Ling Hou and Xiang Gao and Yun Shao and Xueli Zhang and Tao He and Yanhong Tai}, title = {A computer-aided diagnosis system for breast pathology: a deep learning approach with model interpretability from pathological perspective}, journal = {Serv. Oriented Comput. Appl.}, volume = {18}, number = {2}, pages = {183--193}, year = {2024}, url = {https://doi.org/10.1007/s11761-023-00378-4}, doi = {10.1007/S11761-023-00378-4}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soca/HsuWCHHGSZHT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/ChuangCHL24, author = {Chun{-}Hsiang Chuang and Tsai{-}Feng Chiu and Hao{-}Che Hsu and Shih{-}Syun Lin}, title = {Coupling Mobile Brain Imaging and Virtual Reality Omnidirectional Treadmill to Explore Attenuated Situational Awareness During Distracted Walking}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {16}, number = {3}, pages = {1063--1076}, year = {2024}, url = {https://doi.org/10.1109/TCDS.2023.3323779}, doi = {10.1109/TCDS.2023.3323779}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/ChuangCHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/SunYYDCLCCC24, author = {Tong Sun and Mengmeng Yu and Linguo Yu and Dingqian Deng and Mian Chen and Haoming Lin and Siping Chen and Chunqi Chang and Xin Chen}, title = {Iterative Reconstruction Algorithms in Magneto-Acousto-Electrical Computed Tomography {(MAE-CT)} for Image Quality Improvement}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {71}, number = {2}, pages = {669--678}, year = {2024}, url = {https://doi.org/10.1109/TBME.2023.3314617}, doi = {10.1109/TBME.2023.3314617}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/SunYYDCLCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/TsaiSWH24, author = {Ting{-}Yu Tsai and Chung{-}An Shen and Tsung{-}Lin Wu and Yuan{-}Hao Huang}, title = {The Algorithm and {VLSI} Architecture of High-Throughput and Highly Efficient Tensor Decomposition Engine}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {7}, pages = {3134--3145}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2024.3390751}, doi = {10.1109/TCSI.2024.3390751}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/TsaiSWH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ZhouZWYLDHPCW24, author = {Yongliang Zhou and Zixuan Zhou and Yiming Wei and Zhen Yang and Xiao Lin and Chenghu Dai and Licai Hao and Chunyu Peng and Hao Cai and Xiulong Wu}, title = {A {CFMB} STT-MRAM-Based Computing-in-Memory Proposal With Cascade Computing Unit for Edge {AI} Devices}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {1}, pages = {187--200}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2023.3327461}, doi = {10.1109/TCSI.2023.3327461}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ZhouZWYLDHPCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HuangHLLL24, author = {Hsi{-}Hao Huang and Tzu{-}Yun Huang and Chun{-}Hsien Liu and Sheng{-}Di Lin and Chen{-}Yi Lee}, title = {A Dual-Mode Readout Circuit for {SPAD} Imaging Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {1879--1883}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3338726}, doi = {10.1109/TCSII.2023.3338726}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HuangHLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LiBZWWFRLYDWS24, author = {Zhi Li and Rui Bao and Woyu Zhang and Fei Wang and Jun Wang and Renrui Fang and Haoxiong Ren and Ning Lin and Jinshan Yue and Chunmeng Dou and Zhongrui Wang and Dashan Shang}, title = {2T2R RRAM-Based In-Memory Hyperdimensional Computing Encoder for Spatio-Temporal Signal Processing}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {5}, pages = {2614--2618}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3352120}, doi = {10.1109/TCSII.2024.3352120}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LiBZWWFRLYDWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LiuTQGPDHLLZW24, author = {Li Liu and Dele Tai and Bin Qiang and Lijun Guan and Chunyu Peng and Chenghu Dai and Licai Hao and Wenjuan Lu and Zhiting Lin and Qiang Zhao and Xiulong Wu}, title = {Flip Point Offset-Compensation Sense Amplifier With Sensing-Margin-Enhancement for Dynamic Random-Access Memory}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {1759--1763}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3329198}, doi = {10.1109/TCSII.2023.3329198}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LiuTQGPDHLLZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiZWSMLZL24, author = {Chunyi Li and Zicheng Zhang and Haoning Wu and Wei Sun and Xiongkuo Min and Xiaohong Liu and Guangtao Zhai and Weisi Lin}, title = {{AGIQA-3K:} An Open Database for AI-Generated Image Quality Assessment}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {8}, pages = {6833--6846}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3319020}, doi = {10.1109/TCSVT.2023.3319020}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiZWSMLZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiuYYZWZ24, author = {Xiaomin Liu and Mengjun Yu and Chunyu Yang and Linna Zhou and Haoyu Wang and Huaichun Zhou}, title = {Value Distribution {DDPG} With Dual-Prioritized Experience Replay for Coordinated Control of Coal-Fired Power Generation Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {6}, pages = {8181--8194}, year = {2024}, url = {https://doi.org/10.1109/TII.2024.3369712}, doi = {10.1109/TII.2024.3369712}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiuYYZWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhangWZHZZ24, author = {Tianyi Zhang and Guo Wei and Xin Zhi and Lingjun Hao and Jiantao Zhang and Chunbo Zhu}, title = {Analytical Expression of the Mirror Coefficient by Joint Analytical Calculation Method}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {6119--6129}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3343702}, doi = {10.1109/TII.2023.3343702}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZhangWZHZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LanWXZYY24, author = {Lijuan Lan and Yibo Wang and Yu Xie and Changsheng Zhang and Haoyang Yu and Chunhua Yang}, title = {A Wavelength Modulation Spectroscopy Gas Concentration Detection Method Based on Linear Convolution}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--8}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3403184}, doi = {10.1109/TIM.2024.3403184}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LanWXZYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LinWLCLWZCKLW24, author = {Zongmin Lin and Zhen Wang and Shouqiang Lai and Jinlan Chen and Zilu Li and Yanming Wu and Lihong Zhu and Zhong Chen and Hao{-}Chung Kuo and Yijun Lu and Tingzhu Wu}, title = {Toward Highly Efficient Demura Technology for Mini-LED Displays by Hybrid Chip Sorting}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--6}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3375418}, doi = {10.1109/TIM.2024.3375418}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LinWLCLWZCKLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ZhaoJLCCWC24, author = {Jiachen Zhao and Tianshu Jiang and Yi Lin and Lok{-}Chun Chan and Ping{-}Keung Chan and Chun{-}Yi Wen and Hao Chen}, title = {Adaptive Fusion of Deep Learning With Statistical Anatomical Knowledge for Robust Patella Segmentation From {CT} Images}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {5}, pages = {2842--2853}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2024.3372576}, doi = {10.1109/JBHI.2024.3372576}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ZhaoJLCCWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/ZhangSWZLCMZL24, author = {Zicheng Zhang and Wei Sun and Haoning Wu and Yingjie Zhou and Chunyi Li and Zijian Chen and Xiongkuo Min and Guangtao Zhai and Weisi Lin}, title = {{GMS-3DQA:} Projection-Based Grid Mini-patch Sampling for 3D Model Quality Assessment}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {20}, number = {6}, pages = {178:1--178:19}, year = {2024}, url = {https://doi.org/10.1145/3643817}, doi = {10.1145/3643817}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/ZhangSWZLCMZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ZhangLLCW24, author = {Hao Zhang and Qingfeng Lin and Yang Li and Lei Cheng and Yik{-}Chung Wu}, title = {Activity Detection for Massive Connectivity in Cell-Free Networks With Unknown Large-Scale Fading, Channel Statistics, Noise Variance, and Activity Probability: {A} Bayesian Approach}, journal = {{IEEE} Trans. Signal Process.}, volume = {72}, pages = {942--957}, year = {2024}, url = {https://doi.org/10.1109/TSP.2024.3361090}, doi = {10.1109/TSP.2024.3361090}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/ZhangLLCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HaoWLZZLLPZZDLW24, author = {Licai Hao and Yaling Wang and Yunlong Liu and Shiyu Zhao and Xinyi Zhang and Yang Li and Wenjuan Lu and Chunyu Peng and Qiang Zhao and Yongliang Zhou and Chenghu Dai and Zhiting Lin and Xiulong Wu}, title = {Low-Cost and Highly Robust Quadruple Node Upset Tolerant Latch Design}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {5}, pages = {883--896}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2024.3357312}, doi = {10.1109/TVLSI.2024.3357312}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HaoWLZZLLPZZDLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HaoZDZLPZLW24, author = {Licai Hao and Xinyi Zhang and Chenghu Dai and Qiang Zhao and Wenjuan Lu and Chunyu Peng and Yongliang Zhou and Zhiting Lin and Xiulong Wu}, title = {Soft-Error-Immune Quadruple-Node-Upset Tolerant Latch Based on Polarity Design and Source-Isolation Technologies}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {4}, pages = {597--608}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2023.3342982}, doi = {10.1109/TVLSI.2023.3342982}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HaoZDZLPZLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeCLMLFW24, author = {Hao{-}Wei Lee and Chun{-}Chia Chen and Chen{-}I Stephanie Liao and Abdelkader Medles and Debby Lin and I{-}Kang Fu and Hung{-}Yu Wei}, title = {Interference Mitigation for Reverse Spectrum Sharing in {B5G/6G} Satellite-Terrestrial Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {3}, pages = {4247--4263}, year = {2024}, url = {https://doi.org/10.1109/TVT.2023.3328599}, doi = {10.1109/TVT.2023.3328599}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeCLMLFW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/MuralikrishnanHCM24, author = {Sanjeev Muralikrishnan and Chun{-}Hao Paul Huang and Duygu Ceylan and Niloy J. Mitra}, title = {BLiSS: Bootstrapped Linear Shape Space}, booktitle = {International Conference on 3D Vision, 3DV 2024, Davos, Switzerland, March 18-21, 2024}, pages = {569--580}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/3DV62453.2024.00018}, doi = {10.1109/3DV62453.2024.00018}, timestamp = {Thu, 20 Jun 2024 22:18:25 +0200}, biburl = {https://dblp.org/rec/conf/3dim/MuralikrishnanHCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LinLYLHHLK24, author = {Ying{-}Jia Lin and Chun{-}Yi Lin and Chia{-}Jen Yeh and Yi{-}Ting Li and Yun{-}Yu Hu and Chih{-}Hao Hsu and Mei{-}Feng Lee and Hung{-}Yu Kao}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{CFEVER:} {A} Chinese Fact Extraction and VERification Dataset}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {18626--18634}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i17.29825}, doi = {10.1609/AAAI.V38I17.29825}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LinLYLHHLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YangSWCWHPLGS24, author = {Zhiguang Yang and Liufang Sang and Haoran Wang and Wenlong Chen and Lu Wang and Jie He and Changping Peng and Zhangang Lin and Chun Gan and Jingping Shao}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Parallel Ranking of Ads and Creatives in Real-Time Advertising Systems}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {9278--9286}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i8.28780}, doi = {10.1609/AAAI.V38I8.28780}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YangSWCWHPLGS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChenLYCC24, author = {Pi{-}Wei Chen and Jerry Chun{-}Wei Lin and Feng{-}Hao Yeh and Rafal Cupek and Chao{-}Chun Chen}, editor = {Ngoc Thanh Nguyen and Richard Chbeir and Yannis Manolopoulos and Hamido Fujita and Tzung{-}Pei Hong and Minh Le Nguyen and Krystian Wojtkiewicz}, title = {{RECALL:} Towards Generalized Representations in Unsupervised Federated Learning Under Non-IID Conditions}, booktitle = {Intelligent Information and Database Systems - 16th Asian Conference, {ACIIDS} 2024, Ras Al Khaimah, UAE, April 15-18, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14795}, pages = {253--263}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-4982-9\_20}, doi = {10.1007/978-981-97-4982-9\_20}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aciids/ChenLYCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LiuBLLGXHYY24, author = {Ruikang Liu and Haoli Bai and Haokun Lin and Yuening Li and Han Gao and Zhengzhuo Xu and Lu Hou and Jun Yao and Chun Yuan}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {IntactKV: Improving Large Language Model Quantization by Keeping Pivot Tokens Intact}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {7716--7741}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.460}, timestamp = {Tue, 27 Aug 2024 17:38:11 +0200}, biburl = {https://dblp.org/rec/conf/acl/LiuBLLGXHYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/WuCLWCPWCLL24, author = {Haibin Wu and Ho{-}Lam Chung and Yi{-}Cheng Lin and Yuan{-}Kuei Wu and Xuanjun Chen and Yu{-}Chi Pai and Hsiu{-}Hsuan Wang and Kai{-}Wei Chang and Alexander H. Liu and Hung{-}yi Lee}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Codec-SUPERB: An In-Depth Analysis of Sound Codec Models}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {10330--10348}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.616}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/WuCLWCPWCLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Zhang0SYOYZ24, author = {Mingyang Zhang and Hao Chen and Chunhua Shen and Zhen Yang and Linlin Ou and Xinyi Yu and Bohan Zhuang}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {LoRAPrune: Structured Pruning Meets Low-Rank Parameter-Efficient Fine-Tuning}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {3013--3026}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.178}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Zhang0SYOYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/TsaiLW24, author = {Chun{-}Wei Tsai and Cheng{-}Hao Lin and Wei{-}Hong Wang}, title = {An Effective Algorithm Based on Space Net Optimization for Multi-Objective Optimization}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2024, Yokohama, Japan, June 30 - July 5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CEC60901.2024.10612132}, doi = {10.1109/CEC60901.2024.10612132}, timestamp = {Tue, 20 Aug 2024 15:15:31 +0200}, biburl = {https://dblp.org/rec/conf/cec/TsaiLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinCCYLC24, author = {Bei{-}Hong Lin and Yu{-}Jung Chung and Hao{-}Yuan Cheng and Yu{-}Ting Yen and Ching{-}Chuan Li and Fu{-}Yin Cherng}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Understanding the Effects of Short-Form Videos on Sustained Attention}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {352:1--352:8}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651018}, doi = {10.1145/3613905.3651018}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LinCCYLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TsengBCCLLPSWW024, author = {Yuan Tseng and Layne Berry and Yiting Chen and I{-}Hsiang Chiu and Hsuan{-}Hao Lin and Max Liu and Puyuan Peng and Yi{-}Jen Shih and Hung{-}Yu Wang and Haibin Wu and Poyao Huang and Chun{-}Mao Lai and Shang{-}Wen Li and David Harwath and Yu Tsao and Abdelrahman Mohamed and Chi{-}Luen Feng and Hung{-}Yi Lee}, title = {{AV-SUPERB:} {A} Multi-Task Evaluation Benchmark for Audio-Visual Representation Models}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {6890--6894}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10445941}, doi = {10.1109/ICASSP48485.2024.10445941}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/TsengBCCLLPSWW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhangLLCW24, author = {Hao Zhang and Qingfeng Lin and Yang Li and Lei Cheng and Yik{-}Chung Wu}, title = {Bayesian Activity Detection for Massive Connectivity in Cell-Free IoT Networks}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {8871--8875}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10445919}, doi = {10.1109/ICASSP48485.2024.10445919}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhangLLCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChenCLLLST24, author = {Hsiao{-}Chuen Chen and Wan{-}Jung Chang and Zu{-}Chun Lin and Bo{-}Hao Liao and Chun{-}Wei Liu and Jian{-}Ping Su and Lu{-}Yen Tuan}, title = {EndoEye: {A} Marker-Based Artificial Intelligence Monitoring System for Endotracheal Tube Displacement}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444150}, doi = {10.1109/ICCE59016.2024.10444150}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ChenCLLLST24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/TsaiL24, author = {Meng{-}Chun Tsai and Hao{-}Chiang Koong Lin}, editor = {Yu{-}Ping Cheng and Margus Pedaste and Emanuele Bardone and Yueh{-}Min Huang}, title = {Exploring the Integration of Phenomenon-Based Learning Approach in Programming and Critical Thinking Intent}, booktitle = {Innovative Technologies and Learning - 7th International Conference, {ICITL} 2024, Tartu, Estonia, August 14-16, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14785}, pages = {233--243}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-65881-5\_25}, doi = {10.1007/978-3-031-65881-5\_25}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitl/TsaiL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0001Z0CLWLSYZL24, author = {Haoning Wu and Zicheng Zhang and Erli Zhang and Chaofeng Chen and Liang Liao and Annan Wang and Chunyi Li and Wenxiu Sun and Qiong Yan and Guangtao Zhai and Weisi Lin}, title = {Q-Bench: {A} Benchmark for General-Purpose Foundation Models on Low-level Vision}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=0V5TVt9bk0}, timestamp = {Mon, 29 Jul 2024 16:19:40 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0001Z0CLWLSYZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0002G0X0M24, author = {Haodong Lu and Dong Gong and Shuo Wang and Jason Xue and Lina Yao and Kristen Moore}, title = {Learning with Mixture of Prototypes for Out-of-Distribution Detection}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=uNkKaD3MCs}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0002G0X0M24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/MaoZSSW0S24, author = {Weian Mao and Muzhi Zhu and Zheng Sun and Shuaike Shen and Lin Yuanbo Wu and Hao Chen and Chunhua Shen}, title = {De novo Protein Design Using Geometric Vector Field Networks}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=9UIGyJJpay}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/MaoZSSW0S24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/YuWTCZL00ZLLZBL24, author = {Jifan Yu and Xiaozhi Wang and Shangqing Tu and Shulin Cao and Daniel Zhang{-}Li and Xin Lv and Hao Peng and Zijun Yao and Xiaohan Zhang and Hanming Li and Chunyang Li and Zheyuan Zhang and Yushi Bai and Yantao Liu and Amy Xin and Kaifeng Yun and Linlu Gong and Nianyi Lin and Jianhui Chen and Zhili Wu and Yunjia Qi and Weikai Li and Yong Guan and Kaisheng Zeng and Ji Qi and Hailong Jin and Jinxin Liu and Yu Gu and Yuan Yao and Ning Ding and Lei Hou and Zhiyuan Liu and Bin Xu and Jie Tang and Juanzi Li}, title = {KoLA: Carefully Benchmarking World Knowledge of Large Language Models}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=AqN23oqraW}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/YuWTCZL00ZLLZBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/0001ZZCLLGW0SYM24, author = {Haoning Wu and Zicheng Zhang and Weixia Zhang and Chaofeng Chen and Liang Liao and Chunyi Li and Yixuan Gao and Annan Wang and Erli Zhang and Wenxiu Sun and Qiong Yan and Xiongkuo Min and Guangtao Zhai and Weisi Lin}, title = {Q-Align: Teaching LMMs for Visual Scoring via Discrete Text-Defined Levels}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=PHjkVjR78A}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/0001ZZCLLGW0SYM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/0106CL00SRW024, author = {Xiang Li and Yinpeng Chen and Chung{-}Ching Lin and Hao Chen and Kai Hu and Rita Singh and Bhiksha Raj and Lijuan Wang and Zicheng Liu}, title = {Completing Visual Objects via Bridging Generation and Segmentation}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=nLgtHHBgl3}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/0106CL00SRW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WangGHXZW024, author = {Ao Wang and Chunyuan Gan and Haocheng Han and Hongyi Xiong and Jiawei Zhao and Chutian Wang and Lin Feng}, title = {Dynamic Adaptive Imaging System on Optoelectronic Tweezers Platform}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {15622--15627}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611608}, doi = {10.1109/ICRA57147.2024.10611608}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WangGHXZW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/TsengFLLLLLHWL24, author = {Po{-}Hao Tseng and Shao{-}Yu Fang and Yu{-}Hsuan Lin and Feng{-}Ming Lee and Jhe{-}Yi Liao and Yu{-}Yu Lin and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {3D-NAND based Filtering Cube with High Resolution 2D Query and Tunable Feature Length for Computational {SSD}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536967}, doi = {10.1109/IMW59701.2024.10536967}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/TsengFLLLLLHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/CaiLSZZCZ0024, author = {Jiayi Cai and Hang Lin and Tingxin Sun and Zhengyan Zhou and Longlong Zhu and Haodong Chen and Jiajia Zhou and Dong Zhang and Chunming Wu}, title = {OpenINT: Dynamic In-band Network Telemetry with Lightweight Deployment and Flexible Planning}, booktitle = {{IEEE} {INFOCOM} 2024 - {IEEE} Conference on Computer Communications, Vancouver, BC, Canada, May 20-23, 2024}, pages = {2488--2497}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/INFOCOM52122.2024.10621221}, doi = {10.1109/INFOCOM52122.2024.10621221}, timestamp = {Tue, 20 Aug 2024 13:54:36 +0200}, biburl = {https://dblp.org/rec/conf/infocom/CaiLSZZCZ0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/TsengLLBLHWL24, author = {Po{-}Hao Tseng and Yu{-}Hsuan Lin and Feng{-}Min Lee and Tian{-}Cig Bo and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Reliability Assessment for an In-3D-NAND Approximate Searching Solution}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529345}, doi = {10.1109/IRPS48228.2024.10529345}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/TsengLLBLHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZ0Z0LZL24, author = {Chunyi Li and Zicheng Zhang and Haoning Wu and Kaiwei Zhang and Lei Bai and Xiaohong Liu and Guangtao Zhai and Weisi Lin}, title = {{PAPS-OVQA:} Projection-Aware Patch Sampling for Omnidirectional Video Quality Assessment}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558283}, doi = {10.1109/ISCAS58744.2024.10558283}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiZ0Z0LZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChengCHHCCWKMAL24, author = {Chih{-}Hsien Cheng and Po{-}Lun Chen and Pin{-}Wei Ho and Yu{-}Heng Hong and Shih{-}Chen Chen and Shu{-}Wei Chang and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Atsushi Matsumoto and Kouichi Akahane and Gong{-}Ru Lin}, title = {Low-Divergent 940-nm Photonic-Crystal Surface-Emitting Laser for Short-Reach Free-Space Data Link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526703}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChengCHHCCWKMAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/SyuCCL24, author = {Jia{-}Hao Syu and Rafal Cupek and Chao{-}Chun Chen and Jerry Chun{-}Wei Lin}, editor = {De{-}Nian Yang and Xing Xie and Vincent S. Tseng and Jian Pei and Jen{-}Wei Huang and Jerry Chun{-}Wei Lin}, title = {TripleS: {A} Subsidy-Supported Storage for Electricity with Self-financing Management System}, booktitle = {Advances in Knowledge Discovery and Data Mining - 28th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2024, Taipei, Taiwan, May 7-10, 2024, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {14649}, pages = {244--255}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-2262-4\_20}, doi = {10.1007/978-981-97-2262-4\_20}, timestamp = {Fri, 03 May 2024 21:22:13 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/SyuCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/WeiLLL24, author = {Hao{-}Jung Wei and Leng{-}Kai Lin and Chun{-}Yen Lin and Shih{-}Wei Li}, editor = {Jiman Hong and Juw Won Park}, title = {Measuring and Optimizing the Performance of the Android Virtualization Framework}, booktitle = {Proceedings of the 39th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2024, Avila, Spain, April 8-12, 2024}, pages = {1533--1535}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3605098.3636097}, doi = {10.1145/3605098.3636097}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/WeiLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tai4h/TungLYYC24, author = {Chuenyuet Tung and Yi Lin and Jianing Yin and Qiaoyuchen Ye and Hao Chen}, editor = {Hao Chen and Yuyin Zhou and Daguang Xu and Varut Vince Vardhanabhuti}, title = {Exploring Vision Language Pretraining with Knowledge Enhancement via Large Language Model}, booktitle = {Trustworthy Artificial Intelligence for Healthcare - Second International Workshop, {TAI4H} 2024, Jeju, South Korea, August 4, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14812}, pages = {81--91}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-67751-9\_7}, doi = {10.1007/978-3-031-67751-9\_7}, timestamp = {Sun, 18 Aug 2024 18:09:33 +0200}, biburl = {https://dblp.org/rec/conf/tai4h/TungLYYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/CaoD0WC24, author = {Linfeng Cao and Haoran Deng and Yang Yang and Chunping Wang and Lei Chen}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {Graph-Skeleton: {\textasciitilde}1{\%} Nodes are Sufficient to Represent Billion-Scale Graph}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {570--581}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645452}, doi = {10.1145/3589334.3645452}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/CaoD0WC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01117, author = {Chunyi Li and Haoning Wu and Zicheng Zhang and Hongkun Hao and Kaiwei Zhang and Lei Bai and Xiaohong Liu and Xiongkuo Min and Weisi Lin and Guangtao Zhai}, title = {Q-Refine: {A} Perceptual Quality Refiner for AI-Generated Image}, journal = {CoRR}, volume = {abs/2401.01117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01117}, doi = {10.48550/ARXIV.2401.01117}, eprinttype = {arXiv}, eprint = {2401.01117}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01921, author = {Kai{-}Hsin Wu and Chang{-}Teng Lin and Ke Hsu and Hao{-}Ti Hung and Manuel Schneider and Chia{-}Min Chung and Ying{-}Jer Kao and Pochung Chen}, title = {The Cytnx Library for Tensor Networks}, journal = {CoRR}, volume = {abs/2401.01921}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01921}, doi = {10.48550/ARXIV.2401.01921}, eprinttype = {arXiv}, eprint = {2401.01921}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-04143, author = {Xianghui Xie and Xi Wang and Nikos Athanasiou and Bharat Lal Bhatnagar and Chun{-}Hao P. Huang and Kaichun Mo and Hao Chen and Xia Jia and Zerui Zhang and Liangxian Cui and Xiao Lin and Bingqiao Qian and Jie Xiao and Wenfei Yang and Hyeongjin Nam and Daniel Sungho Jung and Kihoon Kim and Kyoung Mu Lee and Otmar Hilliges and Gerard Pons{-}Moll}, title = {{RHOBIN} Challenge: Reconstruction of Human Object Interaction}, journal = {CoRR}, volume = {abs/2401.04143}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.04143}, doi = {10.48550/ARXIV.2401.04143}, eprinttype = {arXiv}, eprint = {2401.04143}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-04143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11944, author = {Ge Zhang and Xinrun Du and Bei Chen and Yiming Liang and Tongxu Luo and Tianyu Zheng and Kang Zhu and Yuyang Cheng and Chunpu Xu and Shuyue Guo and Haoran Zhang and Xingwei Qu and Junjie Wang and Ruibin Yuan and Yizhi Li and Zekun Wang and Yudong Liu and Yu{-}Hsuan Tsai and Fengji Zhang and Chenghua Lin and Wenhao Huang and Wenhu Chen and Jie Fu}, title = {{CMMMU:} {A} Chinese Massive Multi-discipline Multimodal Understanding Benchmark}, journal = {CoRR}, volume = {abs/2401.11944}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11944}, doi = {10.48550/ARXIV.2401.11944}, eprinttype = {arXiv}, eprint = {2401.11944}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12503, author = {Haoran Wei and Lingyu Kong and Jinyue Chen and Liang Zhao and Zheng Ge and En Yu and Jianjian Sun and Chunrui Han and Xiangyu Zhang}, title = {Small Language Model Meets with Reinforced Vision Vocabulary}, journal = {CoRR}, volume = {abs/2401.12503}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12503}, doi = {10.48550/ARXIV.2401.12503}, eprinttype = {arXiv}, eprint = {2401.12503}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-16775, author = {Hao Zhang and Qingfeng Lin and Yang Li and Lei Cheng and Yik{-}Chung Wu}, title = {Activity Detection for Massive Connectivity in Cell-free Networks with Unknown Large-scale Fading, Channel Statistics, Noise Variance, and Activity Probability: {A} Bayesian Approach}, journal = {CoRR}, volume = {abs/2401.16775}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.16775}, doi = {10.48550/ARXIV.2401.16775}, eprinttype = {arXiv}, eprint = {2401.16775}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-16775.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00045, author = {Li Lin and Neeraj Gupta and Yue Zhang and Hainan Ren and Chun{-}Hao Liu and Feng Ding and Xin Wang and Xin Li and Luisa Verdoliva and Shu Hu}, title = {Detecting Multimedia Generated by Large {AI} Models: {A} Survey}, journal = {CoRR}, volume = {abs/2402.00045}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00045}, doi = {10.48550/ARXIV.2402.00045}, eprinttype = {arXiv}, eprint = {2402.00045}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00045.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02653, author = {Haodong Lu and Dong Gong and Shuo Wang and Jason Xue and Lina Yao and Kristen Moore}, title = {Learning with Mixture of Prototypes for Out-of-Distribution Detection}, journal = {CoRR}, volume = {abs/2402.02653}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02653}, doi = {10.48550/ARXIV.2402.02653}, eprinttype = {arXiv}, eprint = {2402.02653}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09565, author = {Linfeng Cao and Haoran Deng and Yang Yang and Chunping Wang and Lei Chen}, title = {Graph-Skeleton: {\textasciitilde}1{\%} Nodes are Sufficient to Represent Billion-Scale Graph}, journal = {CoRR}, volume = {abs/2402.09565}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09565}, doi = {10.48550/ARXIV.2402.09565}, eprinttype = {arXiv}, eprint = {2402.09565}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09565.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13025, author = {Ying{-}Jia Lin and Chun{-}Yi Lin and Chia{-}Jen Yeh and Yi{-}Ting Li and Yun{-}Yu Hu and Chih{-}Hao Hsu and Mei{-}Feng Lee and Hung{-}Yu Kao}, title = {{CFEVER:} {A} Chinese Fact Extraction and VERification Dataset}, journal = {CoRR}, volume = {abs/2402.13025}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13025}, doi = {10.48550/ARXIV.2402.13025}, eprinttype = {arXiv}, eprint = {2402.13025}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13025.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13071, author = {Haibin Wu and Ho{-}Lam Chung and Yi{-}Cheng Lin and Yuan{-}Kuei Wu and Xuanjun Chen and Yu{-}Chi Pai and Hsiu{-}Hsuan Wang and Kai{-}Wei Chang and Alexander H. Liu and Hung{-}yi Lee}, title = {Codec-SUPERB: An In-Depth Analysis of Sound Codec Models}, journal = {CoRR}, volume = {abs/2402.13071}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13071}, doi = {10.48550/ARXIV.2402.13071}, eprinttype = {arXiv}, eprint = {2402.13071}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13236, author = {Haibin Wu and Xuanjun Chen and Yi{-}Cheng Lin and Kai{-}Wei Chang and Ho{-}Lam Chung and Alexander H. Liu and Hung{-}yi Lee}, title = {Towards audio language modeling - an overview}, journal = {CoRR}, volume = {abs/2402.13236}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13236}, doi = {10.48550/ARXIV.2402.13236}, eprinttype = {arXiv}, eprint = {2402.13236}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16641, author = {Haoning Wu and Hanwei Zhu and Zicheng Zhang and Erli Zhang and Chaofeng Chen and Liang Liao and Chunyi Li and Annan Wang and Wenxiu Sun and Qiong Yan and Xiaohong Liu and Guangtao Zhai and Shiqi Wang and Weisi Lin}, title = {Towards Open-ended Visual Quality Comparison}, journal = {CoRR}, volume = {abs/2402.16641}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16641}, doi = {10.48550/ARXIV.2402.16641}, eprinttype = {arXiv}, eprint = {2402.16641}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16749, author = {Chunyi Li and Guo Lu and Donghui Feng and Haoning Wu and Zicheng Zhang and Xiaohong Liu and Guangtao Zhai and Weisi Lin and Wenjun Zhang}, title = {{MISC:} Ultra-low Bitrate Image Semantic Compression Driven by Large Multimodal Model}, journal = {CoRR}, volume = {abs/2402.16749}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16749}, doi = {10.48550/ARXIV.2402.16749}, eprinttype = {arXiv}, eprint = {2402.16749}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01241, author = {Ruikang Liu and Haoli Bai and Haokun Lin and Yuening Li and Han Gao and Zhengzhuo Xu and Lu Hou and Jun Yao and Chun Yuan}, title = {IntactKV: Improving Large Language Model Quantization by Keeping Pivot Tokens Intact}, journal = {CoRR}, volume = {abs/2403.01241}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01241}, doi = {10.48550/ARXIV.2403.01241}, eprinttype = {arXiv}, eprint = {2403.01241}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09093, author = {Haohan Weng and Danqing Huang and Yu Qiao and Zheng Hu and Chin{-}Yew Lin and Tong Zhang and C. L. Philip Chen}, title = {Desigen: {A} Pipeline for Controllable Design Template Generation}, journal = {CoRR}, volume = {abs/2403.09093}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09093}, doi = {10.48550/ARXIV.2403.09093}, eprinttype = {arXiv}, eprint = {2403.09093}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04231, author = {Ji{-}Jia Wu and Andy Chia{-}Hao Chang and Chieh{-}Yu Chuang and Chun{-}Pei Chen and Yu{-}Lun Liu and Min{-}Hung Chen and Hou{-}Ning Hu and Yung{-}Yu Chuang and Yen{-}Yu Lin}, title = {Image-Text Co-Decomposition for Text-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2404.04231}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04231}, doi = {10.48550/ARXIV.2404.04231}, eprinttype = {arXiv}, eprint = {2404.04231}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04231.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09987, author = {Jinyue Chen and Lingyu Kong and Haoran Wei and Chenglong Liu and Zheng Ge and Liang Zhao and Jianjian Sun and Chunrui Han and Xiangyu Zhang}, title = {OneChart: Purify the Chart Structural Extraction via One Auxiliary Token}, journal = {CoRR}, volume = {abs/2404.09987}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09987}, doi = {10.48550/ARXIV.2404.09987}, eprinttype = {arXiv}, eprint = {2404.09987}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10343, author = {Bin Ren and Yawei Li and Nancy Mehta and Radu Timofte and Hongyuan Yu and Cheng Wan and Yuxin Hong and Bingnan Han and Zhuoyuan Wu and Yajun Zou and Yuqing Liu and Jizhe Li and Keji He and Chao Fan and Heng Zhang and Xiaolin Zhang and Xuanwu Yin and Kunlong Zuo and Bohao Liao and Peizhe Xia and Long Peng and Zhibo Du and Xin Di and Wangkai Li and Yang Wang and Wei Zhai and Renjing Pei and Jiaming Guo and Songcen Xu and Yang Cao and Zhengjun Zha and Yan Wang and Yi Liu and Qing Wang and Gang Zhang and Liou Zhang and Shijie Zhao and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Xin Liu and Min Yan and Qian Wang and Menghan Zhou and Yiqiang Yan and Yixuan Liu and Wensong Chan and Dehua Tang and Dong Zhou and Li Wang and Lu Tian and Barsoum Emad and Bohan Jia and Junbo Qiao and Yunshuai Zhou and Yun Zhang and Wei Li and Shaohui Lin and Shenglong Zhou and Binbin Chen and Jincheng Liao and Suiyi Zhao and Zhao Zhang and Bo Wang and Yan Luo and Yanyan Wei and Feng Li and Mingshen Wang and Yawei Li and Jinhan Guan and Dehua Hu and Jiawei Yu and Qisheng Xu and Tao Sun and Long Lan and Kele Xu and Xin Lin and Jingtong Yue and Lehan Yang and Shiyi Du and Lu Qi and Chao Ren and Zeyu Han and Yuhan Wang and Chaolin Chen and Haobo Li and Mingjun Zheng and Zhongbao Yang and Lianhong Song and Xingzhuo Yan and Minghan Fu and Jingyi Zhang and Baiang Li and Qi Zhu and Xiaogang Xu and Dan Guo and Chunle Guo and Jiadi Chen and Huanhuan Long}, title = {The Ninth {NTIRE} 2024 Efficient Super-Resolution Challenge Report}, journal = {CoRR}, volume = {abs/2404.10343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10343}, doi = {10.48550/ARXIV.2404.10343}, eprinttype = {arXiv}, eprint = {2404.10343}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-12803, author = {Jingqun Tang and Chunhui Lin and Zhen Zhao and Shu Wei and Binghong Wu and Qi Liu and Hao Feng and Yang Li and Siqi Wang and Lei Liao and Wei Shi and Yuliang Liu and Hao Liu and Yuan Xie and Xiang Bai and Can Huang}, title = {TextSquare: Scaling up Text-Centric Visual Instruction Tuning}, journal = {CoRR}, volume = {abs/2404.12803}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.12803}, doi = {10.48550/ARXIV.2404.12803}, eprinttype = {arXiv}, eprint = {2404.12803}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-12803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14135, author = {Che{-}Tsung Lin and Chun Chet Ng and Zhi Qin Tan and Wan Jun Nah and Xinyu Wang and Jie{-}Long Kew and Po{-}Hao Hsu and Shang{-}Hong Lai and Chee Seng Chan and Christopher Zach}, title = {Text in the Dark: Extremely Low-Light Text Image Enhancement}, journal = {CoRR}, volume = {abs/2404.14135}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14135}, doi = {10.48550/ARXIV.2404.14135}, eprinttype = {arXiv}, eprint = {2404.14135}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16205, author = {Marcos V. Conde and Saman Zadtootaghaj and Nabajeet Barman and Radu Timofte and Chenlong He and Qi Zheng and Ruoxi Zhu and Zhengzhong Tu and Haiqiang Wang and Xiangguang Chen and Wenhui Meng and Xiang Pan and Huiying Shi and Han Zhu and Xiaozhong Xu and Lei Sun and Zhenzhong Chen and Shan Liu and Zicheng Zhang and Haoning Wu and Yingjie Zhou and Chunyi Li and Xiaohong Liu and Weisi Lin and Guangtao Zhai and Wei Sun and Yuqin Cao and Yanwei Jiang and Jun Jia and Zhichao Zhang and Zijian Chen and Weixia Zhang and Xiongkuo Min and Steve G{\"{o}}ring and Zihao Qi and Chen Feng}, title = {{AIS} 2024 Challenge on Video Quality Assessment of User-Generated Content: Methods and Results}, journal = {CoRR}, volume = {abs/2404.16205}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16205}, doi = {10.48550/ARXIV.2404.16205}, eprinttype = {arXiv}, eprint = {2404.16205}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18203, author = {Zicheng Zhang and Haoning Wu and Yingjie Zhou and Chunyi Li and Wei Sun and Chaofeng Chen and Xiongkuo Min and Xiaohong Liu and Weisi Lin and Guangtao Zhai}, title = {{LMM-PCQA:} Assisting Point Cloud Quality Assessment with {LMM}}, journal = {CoRR}, volume = {abs/2404.18203}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18203}, doi = {10.48550/ARXIV.2404.18203}, eprinttype = {arXiv}, eprint = {2404.18203}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18203.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18343, author = {Chunyi Li and Haoning Wu and Hongkun Hao and Zicheng Zhang and Tengchuan Kou and Chaofeng Chen and Lei Bai and Xiaohong Liu and Weisi Lin and Guangtao Zhai}, title = {G-Refine: {A} General Quality Refiner for Text-to-Image Generation}, journal = {CoRR}, volume = {abs/2404.18343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18343}, doi = {10.48550/ARXIV.2404.18343}, eprinttype = {arXiv}, eprint = {2404.18343}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-19652, author = {Yuliang Liu and Mingxin Huang and Hao Yan and Linger Deng and Weijia Wu and Hao Lu and Chunhua Shen and Lianwen Jin and Xiang Bai}, title = {VimTS: {A} Unified Video and Image Text Spotter for Enhancing the Cross-domain Generalization}, journal = {CoRR}, volume = {abs/2404.19652}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.19652}, doi = {10.48550/ARXIV.2404.19652}, eprinttype = {arXiv}, eprint = {2404.19652}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-19652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-11985, author = {Jingqun Tang and Qi Liu and Yongjie Ye and Jinghui Lu and Shu Wei and Chunhui Lin and Wanqing Li and Mohamad Fitri Faiz Bin Mahmood and Hao Feng and Zhen Zhao and Yanjie Wang and Yuliang Liu and Hao Liu and Xiang Bai and Can Huang}, title = {{MTVQA:} Benchmarking Multilingual Text-Centric Visual Question Answering}, journal = {CoRR}, volume = {abs/2405.11985}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.11985}, doi = {10.48550/ARXIV.2405.11985}, eprinttype = {arXiv}, eprint = {2405.11985}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-11985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-14295, author = {Chenglong Liu and Haoran Wei and Jinyue Chen and Lingyu Kong and Zheng Ge and Zining Zhu and Liang Zhao and Jianjian Sun and Chunrui Han and Xiangyu Zhang}, title = {Focus Anywhere for Fine-grained Multi-page Document Understanding}, journal = {CoRR}, volume = {abs/2405.14295}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.14295}, doi = {10.48550/ARXIV.2405.14295}, eprinttype = {arXiv}, eprint = {2405.14295}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-14295.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16256, author = {Si Xu and Zixiao Huang and Yan Zeng and Shengen Yan and Xuefei Ning and Haolin Ye and Sipei Gu and Chunsheng Shui and Zhezheng Lin and Hao Zhang and Sheng Wang and Guohao Dai and Yu Wang}, title = {HetHub: {A} Heterogeneous distributed hybrid training system for large-scale models}, journal = {CoRR}, volume = {abs/2405.16256}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16256}, doi = {10.48550/ARXIV.2405.16256}, eprinttype = {arXiv}, eprint = {2405.16256}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19246, author = {Chunhui Chen and Jing Chen and Baojia Luo and Shi Jin and Hao Wu}, title = {A numerical algorithm with linear complexity for Multi-marginal Optimal Transport with L\({}^{\mbox{1}}\) Cost}, journal = {CoRR}, volume = {abs/2405.19246}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19246}, doi = {10.48550/ARXIV.2405.19246}, eprinttype = {arXiv}, eprint = {2405.19246}, timestamp = {Thu, 27 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-01901, author = {Chunhui Li and Cheng{-}Hao Liu and Dianbo Liu and Qingpeng Cai and Ling Pan}, title = {Bifurcated Generative Flow Networks}, journal = {CoRR}, volume = {abs/2406.01901}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.01901}, doi = {10.48550/ARXIV.2406.01901}, eprinttype = {arXiv}, eprint = {2406.01901}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-01901.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-03070, author = {Zicheng Zhang and Haoning Wu and Chunyi Li and Yingjie Zhou and Wei Sun and Xiongkuo Min and Zijian Chen and Xiaohong Liu and Weisi Lin and Guangtao Zhai}, title = {A-Bench: Are LMMs Masters at Evaluating AI-generated Images?}, journal = {CoRR}, volume = {abs/2406.03070}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.03070}, doi = {10.48550/ARXIV.2406.03070}, eprinttype = {arXiv}, eprint = {2406.03070}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-03070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09317, author = {Meng Wang and Tian Lin and Aidi Lin and Kai Yu and Yuanyuan Peng and Lianyu Wang and Cheng Chen and Ke Zou and Huiyu Liang and Man Chen and Xue Yao and Meiqin Zhang and Binwei Huang and Chaoxin Zheng and Peixin Zhang and Wei Chen and Yilong Luo and Yifan Chen and Honghe Xia and Tingkun Shi and Qi Zhang and Jinming Guo and Xiaolin Chen and Jingcheng Wang and Yih Chung Tham and Dianbo Liu and Wendy Wong and Sahil Thakur and Beau Fenner and Danqi Fang and Siying Liu and Qingyun Liu and Yuqiang Huang and Hongqiang Zeng and Yanda Meng and Yukun Zhou and Zehua Jiang and Minghui Qiu and Changqing Zhang and Xinjian Chen and Sophia Y. Wang and Cecilia S. Lee and Lucia Sobrin and Carol Y. Cheung and Chi Pui Pang and Pearse A Keane and Ching{-}Yu Cheng and Haoyu Chen and Huazhu Fu}, title = {Common and Rare Fundus Diseases Identification Using Vision-Language Foundation Model with Knowledge of Over 400 Diseases}, journal = {CoRR}, volume = {abs/2406.09317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09317}, doi = {10.48550/ARXIV.2406.09317}, eprinttype = {arXiv}, eprint = {2406.09317}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09356, author = {Chunyi Li and Xiele Wu and Haoning Wu and Donghui Feng and Zicheng Zhang and Guo Lu and Xiongkuo Min and Xiaohong Liu and Guangtao Zhai and Weisi Lin}, title = {CMC-Bench: Towards a New Paradigm of Visual Signal Compression}, journal = {CoRR}, volume = {abs/2406.09356}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09356}, doi = {10.48550/ARXIV.2406.09356}, eprinttype = {arXiv}, eprint = {2406.09356}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09356.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-18197, author = {Pi{-}Wei Chen and Jerry Chun{-}Wei Lin and Jia Ji and Feng{-}Hao Yeh and Chao{-}Chun Chen}, title = {Human-free Prompted Based Anomaly Detection: prompt optimization with Meta-guiding prompt scheme}, journal = {CoRR}, volume = {abs/2406.18197}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.18197}, doi = {10.48550/ARXIV.2406.18197}, eprinttype = {arXiv}, eprint = {2406.18197}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-18197.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03130, author = {Hanxi Li and Jingqi Wu and Lin Yuanbo Wu and Hao Chen and Deyin Liu and Chunhua Shen}, title = {Towards Efficient Pixel Labeling for Industrial Anomaly Detection and Localization}, journal = {CoRR}, volume = {abs/2407.03130}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03130}, doi = {10.48550/ARXIV.2407.03130}, eprinttype = {arXiv}, eprint = {2407.03130}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-09059, author = {Jin{-}Ting He and Fu{-}Jen Tsai and Jia{-}Hao Wu and Yan{-}Tsung Peng and Chung{-}Chi Tsai and Chia{-}Wen Lin and Yen{-}Yu Lin}, title = {Domain-adaptive Video Deblurring via Test-time Blurring}, journal = {CoRR}, volume = {abs/2407.09059}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.09059}, doi = {10.48550/ARXIV.2407.09059}, eprinttype = {arXiv}, eprint = {2407.09059}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-09059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-16364, author = {Zhen Zhao and Jingqun Tang and Binghong Wu and Chunhui Lin and Shu Wei and Hao Liu and Xin Tan and Zhizhong Zhang and Can Huang and Yuan Xie}, title = {Harmonizing Visual Text Comprehension and Generation}, journal = {CoRR}, volume = {abs/2407.16364}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.16364}, doi = {10.48550/ARXIV.2407.16364}, eprinttype = {arXiv}, eprint = {2407.16364}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-16364.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21050, author = {Yao{-}Shun Chuang and Chun{-}Teh Lee and Oluwabunmi Tokede and Guo{-}Hao Lin and Ryan Brandon and Trung Duong Tran and Xiaoqian Jiang and Muhammad F. Walji}, title = {Artificial Intelligence in Extracting Diagnostic Data from Dental Records}, journal = {CoRR}, volume = {abs/2407.21050}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21050}, doi = {10.48550/ARXIV.2407.21050}, eprinttype = {arXiv}, eprint = {2407.21050}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuHLSLC23, author = {Chung{-}Hsien Wu and Jia{-}Hao Hsu and Cheng{-}Ray Liou and Hung{-}Yi Su and Esther Ching{-}Lan Lin and Po See Chen}, title = {Automatic Bipolar Disorder Assessment Using Machine Learning With Smartphone-Based Digital Phenotyping}, journal = {{IEEE} Access}, volume = {11}, pages = {121845--121858}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3328342}, doi = {10.1109/ACCESS.2023.3328342}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuHLSLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/ZhangHWQZLL23, author = {Dehua Zhang and Xinyuan Hao and Dechen Wang and Chunbin Qin and Bo Zhao and Linlin Liang and Wei Liu}, title = {An efficient lightweight convolutional neural network for industrial surface defect detection}, journal = {Artif. Intell. Rev.}, volume = {56}, number = {9}, pages = {10651--10677}, year = {2023}, url = {https://doi.org/10.1007/s10462-023-10438-y}, doi = {10.1007/S10462-023-10438-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/ZhangHWQZLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/HaoWXL23, author = {Qingbo Hao and Chundong Wang and Yingyuan Xiao and Hao Lin}, title = {{IMGC-GNN:} {A} multi-granularity coupled graph neural network recommendation method based on implicit relationships}, journal = {Appl. Intell.}, volume = {53}, number = {11}, pages = {14668--14689}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-04215-7}, doi = {10.1007/S10489-022-04215-7}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/HaoWXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ChenLCH23, author = {Young{-}Long Chen and Yan{-}Hao Lin and Hsin{-}Yu Chen and Kai{-}Chun Hung}, title = {Energy efficient resource allocation algorithms combining {PSO} with {FLC} and Taguchi method in hybrid opportunistic networks}, journal = {Appl. Soft Comput.}, volume = {148}, pages = {110717}, year = {2023}, url = {https://doi.org/10.1016/j.asoc.2023.110717}, doi = {10.1016/J.ASOC.2023.110717}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/ChenLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ChenHLHTCLL23, author = {Hsin{-}Hua Chen and Chun{-}Wei Hsueh and Chia{-}Hwa Lee and Ting{-}Yi Hao and Tzu{-}Ying Tu and Lan{-}Yun Chang and Jih{-}Chin Lee and Chun{-}Yu Lin}, title = {{SWEET:} a single-sample network inference method for deciphering individual features in disease}, journal = {Briefings Bioinform.}, volume = {24}, number = {2}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad032}, doi = {10.1093/BIB/BBAD032}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/ChenHLHTCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ZhuHZZYLZ23, author = {Lin Zhu and Qiang Hao and Xingyan Zeng and Chunhua Zhang and Jianting Yu and Liping Lv and Qian Zhou}, title = {Control of side-stream pressure-swing distillation and extractive distillation for separating azeotropic mixture of cyclohexane and acetone}, journal = {Comput. Chem. Eng.}, volume = {172}, pages = {108166}, year = {2023}, url = {https://doi.org/10.1016/j.compchemeng.2023.108166}, doi = {10.1016/J.COMPCHEMENG.2023.108166}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/ZhuHZZYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/SyuSFCL23, author = {Jia{-}Hao Syu and Gautam Srivastava and Marcin Fojcik and Rafal Cupek and Jerry Chun{-}Wei Lin}, title = {Energy grid management system with anomaly detection and Q-learning decision modules}, journal = {Comput. Electr. Eng.}, volume = {107}, pages = {108639}, year = {2023}, url = {https://doi.org/10.1016/j.compeleceng.2023.108639}, doi = {10.1016/J.COMPELECENG.2023.108639}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/SyuSFCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LinHLHWLC23, author = {Po{-}Ching Lin and Wen{-}Hao Hsu and Ying{-}Dar Lin and Ren{-}Hung Hwang and Hsiao{-}Kuang Wu and Yuan{-}Cheng Lai and Chung{-}Kuan Chen}, title = {Correlation of cyber threat intelligence with sightings for intelligence assessment and augmentation}, journal = {Comput. Networks}, volume = {228}, pages = {109736}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109736}, doi = {10.1016/J.COMNET.2023.109736}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LinHLHWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dint/LiZLYC23, author = {Linhan Li and Huaping Zhang and Chunjin Li and Haowen You and Wenyao Cui}, title = {Evaluation on ChatGPT for Chinese Language Understanding}, journal = {Data Intell.}, volume = {5}, number = {4}, pages = {885--903}, year = {2023}, url = {https://doi.org/10.1162/dint\_a\_00232}, doi = {10.1162/DINT\_A\_00232}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dint/LiZLYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/OuKWLLCCWCLTLKL23, author = {Jie{-}Hao Ou and Chang{-}Hsin Kuo and Yea{-}Fang Wu and Guo{-}Cih Lin and Miin{-}Huey Lee and Rong{-}Kuen Chen and Hau{-}Ping Chou and Hsin{-}Yuh Wu and Sheng{-}Chi Chu and Qiao{-}Juan Lai and Yi{-}Chen Tsai and Chun{-}Chi Lin and Chien{-}Chih Kuo and Chung{-}Ta Liao and Yi{-}Nian Chen and Yen{-}Wei Chu and Chi{-}Yu Chen}, title = {Application-oriented deep learning model for early warning of rice blast in Taiwan}, journal = {Ecol. Informatics}, volume = {73}, pages = {101950}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2022.101950}, doi = {10.1016/J.ECOINF.2022.101950}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/OuKWLLCCWCLTLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChenLCSL23, author = {Chun{-}Hao Chen and Cheng{-}Yu Lu and Rui{-}Dong Chiang and Gautam Srivastava and Jerry Chun{-}Wei Lin}, title = {An evolutionary-based approach for optimising diverse group stock portfolio with active and inactive stocks}, journal = {Enterp. Inf. Syst.}, volume = {17}, number = {8}, year = {2023}, url = {https://doi.org/10.1080/17517575.2023.2180328}, doi = {10.1080/17517575.2023.2180328}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ChenLCSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangZXZWZM23, author = {Chunpeng Wang and Qinghua Zhang and Zhiqiu Xia and Linna Zhou and Ziqi Wei and Hao Zhang and Bin Ma}, title = {Multi-dimensional hypercomplex continuous orthogonal moments for light-field images}, journal = {Expert Syst. Appl.}, volume = {217}, pages = {119553}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.119553}, doi = {10.1016/J.ESWA.2023.119553}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WangZXZWZM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evs/LinLYSC23, author = {Jerry Chun{-}Wei Lin and Qing Lv and Dehu Yu and Gautam Srivastava and Chun{-}Hao Chen}, title = {Adaptive particle swarm optimization model for resource leveling}, journal = {Evol. Syst.}, volume = {14}, number = {4}, pages = {593--604}, year = {2023}, url = {https://doi.org/10.1007/s12530-022-09420-w}, doi = {10.1007/S12530-022-09420-W}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evs/LinLYSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoLFJTSX23, author = {Xuehao Guo and Zhiyang Li and Hao Fang and Zelin Jia and Fuli Tian and Chunyi Song and Zhiwei Xu}, title = {A 12-bit 2.32GS/s pipelined/SAR hybrid {ADC} with a high-linearity input buffer}, journal = {{IEICE} Electron. Express}, volume = {20}, number = {23}, pages = {20230369}, year = {2023}, url = {https://doi.org/10.1587/elex.20.20230369}, doi = {10.1587/ELEX.20.20230369}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoLFJTSX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HaoQDPLLLZWS23, author = {Licai Hao and Bin Qiang and Chenghu Dai and Chunyu Peng and Wenjuan Lu and Zhiting Lin and Li Liu and Qiang Zhao and Xiulong Wu and Fei Sun}, title = {Radiation-hardened 14T {SRAM} cell by polar design for space applications}, journal = {{IEICE} Electron. Express}, volume = {20}, number = {13}, pages = {20230083}, year = {2023}, url = {https://doi.org/10.1587/elex.20.20230083}, doi = {10.1587/ELEX.20.20230083}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HaoQDPLLLZWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SunYDYCCCCCL23, author = {Tong Sun and Linguo Yu and Dingqian Deng and Mengmeng Yu and Yi Chen and Chunqi Chang and Mian Chen and Siping Chen and Xin Chen and Haoming Lin}, title = {Three-dimensional magneto-acousto-electrical tomography {(3D} {MAET)} with single-element ultrasound transducer and coded excitation: {A} phantom validation study}, journal = {Neurocomputing}, volume = {536}, pages = {80--89}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.02.055}, doi = {10.1016/J.NEUCOM.2023.02.055}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/SunYDYCCCCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenSSHL23, author = {Chun{-}Hao Chen and Ping Shih and Gautam Srivastava and Shih{-}Ting Hung and Jerry Chun{-}Wei Lin}, title = {Evolutionary Trading Signal Prediction Model Optimization Based on Chinese News and Technical Indicators in the Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {3}, pages = {2162--2173}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2021.3085714}, doi = {10.1109/JIOT.2021.3085714}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ChenSSHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/0003WH23, author = {Hao Lin and Chundong Wang and Qingbo Hao}, title = {A novel personality detection method based on high-dimensional psycholinguistic features and improved distributed Gray Wolf Optimizer for feature selection}, journal = {Inf. Process. Manag.}, volume = {60}, number = {2}, pages = {103217}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2022.103217}, doi = {10.1016/J.IPM.2022.103217}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/0003WH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LuTL23, author = {Hao{-}Chun Lu and Hsuan{-}Yu Tseng and Shih{-}Wei Lin}, title = {Double-track particle swarm optimizer for nonlinear constrained optimization problems}, journal = {Inf. Sci.}, volume = {622}, pages = {587--628}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2022.11.164}, doi = {10.1016/J.INS.2022.11.164}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/LuTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WangTKJWZ23, author = {Haosen Wang and Pan Tang and Hanyue Kong and Yilun Jin and Chunqi Wu and Linghong Zhou}, title = {{DHCF:} Dual disentangled-view hierarchical contrastive learning for fake news detection on social media}, journal = {Inf. Sci.}, volume = {645}, pages = {119323}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.119323}, doi = {10.1016/J.INS.2023.119323}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WangTKJWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/ShubynKGBMSSLM23, author = {Bohdan Shubyn and Daniel Kostrzewa and Piotr Grzesik and Pawel Benecki and Taras Maksymyuk and Vaidy S. Sunderam and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Dariusz Mrozek}, title = {Federated Learning for improved prediction of failures in Autonomous Guided Vehicles}, journal = {J. Comput. Sci.}, volume = {68}, pages = {101956}, year = {2023}, url = {https://doi.org/10.1016/j.jocs.2023.101956}, doi = {10.1016/J.JOCS.2023.101956}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocs/ShubynKGBMSSLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WangYWHZ23, author = {Haosen Wang and Surong Yan and Chunqi Wu and Long Han and Linghong Zhou}, title = {Cross-view temporal graph contrastive learning for session-based recommendation}, journal = {Knowl. Based Syst.}, volume = {264}, pages = {110304}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2023.110304}, doi = {10.1016/J.KNOSYS.2023.110304}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WangYWHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ChiuCCCLLHCLTLCKLTHCWC23, author = {Hsiao{-}Hui Chiu and Shih{-}Lin Chang and Hao{-}Min Cheng and Tze{-}Fan Chao and Yenn{-}Jiang Lin and Li{-}Wei Lo and Yu{-}Feng Hu and Fa{-}Po Chung and Jo{-}Nan Liao and Ta{-}Chuan Tuan and Chin{-}Yu Lin and Ting{-}Yung Chang and Ling Kuo and Chih{-}Min Liu and Yung{-}Nan Tsai and Yu{-}Ting Huang and Yuh{-}Lih Chang and Ju{-}Chieh Wung and Shih{-}Ann Chen}, title = {Shared decision making for anticoagulation reduces anxiety and improves adherence in patients with atrial fibrillation}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {163}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02260-x}, doi = {10.1186/S12911-023-02260-X}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ChiuCCCLLHCLTLCKLTHCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/DaiDSWZLPHLW23, author = {Chenghu Dai and Yuanyuan Du and Qi Shi and Ruixuan Wang and Hao Zheng and Wenjuan Lu and Chunyu Peng and Licai Hao and Zhiting Lin and Xiulong Wu}, title = {Bit-line leakage current tracking and self-compensation circuit for {SRAM} reliability design}, journal = {Microelectron. J.}, volume = {132}, pages = {105699}, year = {2023}, url = {https://doi.org/10.1016/j.mejo.2023.105699}, doi = {10.1016/J.MEJO.2023.105699}, timestamp = {Sat, 25 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/DaiDSWZLPHLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/HaoLSQLLDZPLLW23, author = {Licai Hao and Li Liu and Qi Shi and Bin Qiang and Zhengya Li and Nianlong Liu and Chenghu Dai and Qiang Zhao and Chunyu Peng and Wenjuan Lu and Zhiting Lin and Xiulong Wu}, title = {Design of radiation-hardened memory cell by polar design for space applications}, journal = {Microelectron. J.}, volume = {132}, pages = {105691}, year = {2023}, url = {https://doi.org/10.1016/j.mejo.2023.105691}, doi = {10.1016/J.MEJO.2023.105691}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/HaoLSQLLDZPLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LiWZWLZHPLLW23, author = {Pengfei Li and Xiuying Wang and Yin Zhang and Haoyu Wang and Jianjie Lu and Qiang Zhao and Licai Hao and Chunyu Peng and Wenjuan Lu and Zhiting Lin and Xiulong Wu}, title = {Novel radiation-hardened-by-design {(RHBD)} 14T memory cell for aerospace applications in 65 nm {CMOS} technology}, journal = {Microelectron. J.}, volume = {141}, pages = {105954}, year = {2023}, url = {https://doi.org/10.1016/j.mejo.2023.105954}, doi = {10.1016/J.MEJO.2023.105954}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LiWZWLZHPLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WangLHM23, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Learning Structural Representations for Recipe Generation and Food Retrieval}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {3}, pages = {3363--3377}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3181294}, doi = {10.1109/TPAMI.2022.3181294}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/WangLHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YuWZMWLRWZZ23, author = {Hao Yu and Zhengyang Wang and Qingjie Zhou and Yuxuan Ma and Zhuo Wang and Huan Liu and Chunqing Ran and Shengli Wang and Xinghua Zhou and Xiaobo Zhang}, title = {Deep-Learning-Based Semantic Segmentation Approach for Point Clouds of Extra-High-Voltage Transmission Lines}, journal = {Remote. Sens.}, volume = {15}, number = {9}, pages = {2371}, year = {2023}, url = {https://doi.org/10.3390/rs15092371}, doi = {10.3390/RS15092371}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YuWZMWLRWZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCLLTWLHC23, author = {Jia{-}Ru Lin and I{-}Hao Cheng and Yu{-}Syuan Liang and Jyun{-}Jie Li and Jen{-}Ming Tsai and Min{-}Tsung Wang and Te{-}Pao Lin and Su{-}Lan Huang and Ming{-}Chung Chou}, title = {Investigation of the Relationship between Body Parameters and mAs Using Non-Contact Two-Dimensional Thickness Measurement in Chest Digital Radiography}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7169}, year = {2023}, url = {https://doi.org/10.3390/s23167169}, doi = {10.3390/S23167169}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinCLLTWLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangWLLT23, author = {Chun{-}Hao Yang and Jhen{-}Ping Wu and Fang{-}Yi Lee and Ting{-}Yu Lin and Meng{-}Hsun Tsai}, title = {Detection and Mitigation of {SYN} Flooding Attacks through {SYN/ACK} Packets and Black/White Lists}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3817}, year = {2023}, url = {https://doi.org/10.3390/s23083817}, doi = {10.3390/S23083817}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangWLLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/GengZSHX23, author = {Lin Geng and Ling{-}Zhi Zhou and Hao Shen and Chun{-}Dong He and Feng Xie}, title = {An iteratively reweighted time-domain acoustic method for reconstructing the transient acoustic field}, journal = {Signal Process.}, volume = {210}, pages = {109076}, year = {2023}, url = {https://doi.org/10.1016/j.sigpro.2023.109076}, doi = {10.1016/J.SIGPRO.2023.109076}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/GengZSHX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpa/MiaoLW23, author = {Chunyu Miao and Hao Lin and Chundong Wang}, title = {SBlocksim: {A} sharding-based tool for simulating consensus efficiency}, journal = {Softw. Impacts}, volume = {17}, pages = {100553}, year = {2023}, url = {https://doi.org/10.1016/j.simpa.2023.100553}, doi = {10.1016/J.SIMPA.2023.100553}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpa/MiaoLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/ChenDLLL23, author = {Wei{-}Chun Chen and Ming{-}Jay Deng and Ping{-}Yu Liu and Chun{-}Chi Lai and Yu{-}Hao Lin}, title = {A framework for real-time vehicle counting and velocity estimation using deep learning}, journal = {Sustain. Comput. Informatics Syst.}, volume = {40}, pages = {100927}, year = {2023}, url = {https://doi.org/10.1016/j.suscom.2023.100927}, doi = {10.1016/J.SUSCOM.2023.100927}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/ChenDLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/HaoWGX0WCZ23, author = {Xiaoke Hao and Ruxue Wang and Yingchun Guo and Yunjia Xiao and Ming Yu and Meiling Wang and Weibin Chen and Daoqiang Zhang}, title = {Multimodal Self-Paced Locality-Preserving Learning for Diagnosis of Alzheimer's Disease}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {15}, number = {2}, pages = {832--843}, year = {2023}, url = {https://doi.org/10.1109/TCDS.2022.3189701}, doi = {10.1109/TCDS.2022.3189701}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamd/HaoWGX0WCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/HaoTGXYWQZI23, author = {Xiaoke Hao and Qihao Tan and Yingchun Guo and Yunjia Xiao and Ming Yu and Meiling Wang and Jing Qin and Daoqiang Zhang and Alzheimer's Disease Neuroimaging Initiative}, title = {Identifying Modality-Consistent and Modality-Specific Features via Label-Guided Multi-Task Sparse Canonical Correlation Analysis for Neuroimaging Genetics}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {3}, pages = {831--840}, year = {2023}, url = {https://doi.org/10.1109/TBME.2022.3203152}, doi = {10.1109/TBME.2022.3203152}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/HaoTGXYWQZI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SyuL0Y23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Gautam Srivastava and Keping Yu}, title = {A Comprehensive Survey on Artificial Intelligence Empowered Edge Computing on Consumer Electronics}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {69}, number = {4}, pages = {1023--1034}, year = {2023}, url = {https://doi.org/10.1109/TCE.2023.3318150}, doi = {10.1109/TCE.2023.3318150}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/SyuL0Y23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ZouGHL23, author = {Wenjie Zou and Longkun Guo and Chunlin Hao and Lei Liu}, title = {Approximation algorithm for MinSum linear barrier coverage with sink-based mobile sensors on the plane}, journal = {Theor. Comput. Sci.}, volume = {941}, pages = {121--130}, year = {2023}, url = {https://doi.org/10.1016/j.tcs.2022.10.046}, doi = {10.1016/J.TCS.2022.10.046}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/ZouGHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/SyuLWH23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Chi{-}Jen Wu and Jan{-}Ming Ho}, title = {Stock Selection System Through Suitability Index and Fuzzy-Based Quantitative Characteristics}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {31}, number = {1}, pages = {322--334}, year = {2023}, url = {https://doi.org/10.1109/TFUZZ.2022.3187192}, doi = {10.1109/TFUZZ.2022.3187192}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/SyuLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/YuLSCL23, author = {Dehu Yu and Qing Lv and Gautam Srivastava and Chun{-}Hao Chen and Jerry Chun{-}Wei Lin}, title = {Multiobjective Evolutionary Model of the Construction Industry Based on Network Planning}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {2}, pages = {2173--2182}, year = {2023}, url = {https://doi.org/10.1109/TII.2022.3190566}, doi = {10.1109/TII.2022.3190566}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/YuLSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LiuLTSWTL23, author = {Yao{-}Lun Liu and Chun{-}Hsien Liu and Chun{-}Hao Tsai and Ce Fang Shih and Jau Yang Wu and Chia{-}Ming Tsai and Sheng{-}Di Lin}, title = {Theoretical Calculation and Demonstration of High Radiometric Temperature Detection Using {A} 64 {\texttimes} 128 Pixel {SPAD} Image Array}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--8}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3328082}, doi = {10.1109/TIM.2023.3328082}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/LiuLTSWTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LiFXZYC23, author = {Haoran Li and Chun{-}Mei Feng and Yong Xu and Tao Zhou and Lina Yao and Xiaojun Chang}, title = {Zero-Shot Camouflaged Object Detection}, journal = {{IEEE} Trans. Image Process.}, volume = {32}, pages = {5126--5137}, year = {2023}, url = {https://doi.org/10.1109/TIP.2023.3308295}, doi = {10.1109/TIP.2023.3308295}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/LiFXZYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/WangYLZ23, author = {Hao Wang and Chunyu Yang and Xiaomin Liu and Linna Zhou}, title = {Neural-Network-Based Adaptive Control of Uncertain {MIMO} Singularly Perturbed Systems With Full-State Constraints}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {34}, number = {7}, pages = {3764--3774}, year = {2023}, url = {https://doi.org/10.1109/TNNLS.2021.3123361}, doi = {10.1109/TNNLS.2021.3123361}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/WangYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ChengXWCZ23, author = {Haojie Cheng and Chunxiao Xu and Jiajun Wang and Zhenxin Chen and Lingxiao Zhao}, title = {Fast and Accurate Illumination Estimation Using {LDR} Panoramic Images for Realistic Rendering}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {29}, number = {12}, pages = {5235--5249}, year = {2023}, url = {https://doi.org/10.1109/TVCG.2022.3205614}, doi = {10.1109/TVCG.2022.3205614}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/ChengXWCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JiaJKL23, author = {Haoge Jia and Chunxiao Jiang and Linling Kuang and Jianhua Lu}, title = {An Analytic Approach for Modeling Uplink Performance of Mega Constellations}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {2}, pages = {2258--2268}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3214275}, doi = {10.1109/TVT.2022.3214275}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/JiaJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/JiaJKL23a, author = {Haoge Jia and Chunxiao Jiang and Linling Kuang and Jianhua Lu}, title = {Adaptive Random Access and Data Transmission Scheme With Mixed Traffic in {NGSO} Satellite Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {5}, pages = {6765--6777}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3236453}, doi = {10.1109/TVT.2023.3236453}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/JiaJKL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChenWWL23, author = {Chun{-}Hao Chen and Szu{-}Chi Wang and Mu{-}En Wu and Kawuu W. Lin}, editor = {Ngoc Thanh Nguyen and Siridech Boonsang and Hamido Fujita and Bogumila Hnatkowska and Tzung{-}Pei Hong and Kitsuchart Pasupa and Ali Selamat}, title = {Enhancing Abnormal-Behavior-Based Stock Trend Prediction Algorithm with Cost-Sensitive Learning Using Genetic Algorithms}, booktitle = {Intelligent Information and Database Systems - 15th Asian Conference, {ACIIDS} 2023, Phuket, Thailand, July 24-26, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13995}, pages = {186--196}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-5834-4\_15}, doi = {10.1007/978-981-99-5834-4\_15}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aciids/ChenWWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acml/HoHLLWW23, author = {Kuo{-}Hao Ho and Ping{-}Chun Hsieh and Chiu{-}Chou Lin and You{-}Ren Luo and Feng{-}Jian Wang and I{-}Chen Wu}, editor = {Berrin Yanikoglu and Wray L. Buntine}, title = {Towards Human-Like {RL:} Taming Non-Naturalistic Behavior in Deep {RL} via Adaptive Behavioral Costs in 3D Games}, booktitle = {Asian Conference on Machine Learning, {ACML} 2023, 11-14 November 2023, Istanbul, Turkey}, series = {Proceedings of Machine Learning Research}, volume = {222}, pages = {438--453}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v222/ho24a.html}, timestamp = {Tue, 14 May 2024 08:14:16 +0200}, biburl = {https://dblp.org/rec/conf/acml/HoHLLWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HsuTWLC23, author = {Jia{-}Hao Hsu and Hua{-}Wei Tseng and Chung{-}Hsien Wu and Esther Ching{-}Lan Lin and Po See Chen}, title = {Temporal and Type Correlation in Digital Phenotyping for Bipolar Disorder State Prediction Using Multitask Self-Supervised Learning}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {2189--2195}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317215}, doi = {10.1109/APSIPAASC58517.2023.10317215}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/HsuTWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdeim/YiLXLGLL23, author = {Yongyi Yi and Chunling Lang and Chuanxin Xin and Haoxin Lan and Xiulong Gao and Guojian Lin and Zhaoran Lian}, title = {Uav automatic spraying system based on image recognition of pests and diseases}, booktitle = {Proceedings of the 2023 4th International Conference on Big Data Economy and Information Management, {BDEIM} 2023, Zhengzhou, China, December 8-10, 2023}, pages = {386--391}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3659211.3659278}, doi = {10.1145/3659211.3659278}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bdeim/YiLXLGLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/BeneckiKGSSLSM23, author = {Pawel Benecki and Daniel Kostrzewa and Piotr Grzesik and Bohdan Shubyn and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Vaidy S. Sunderam and Dariusz Mrozek}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Effective Prediction of Energy Consumption in Automated Guided Vehicles with Recurrent and Convolutional Neural Networks}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {5024--5030}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386359}, doi = {10.1109/BIGDATA59044.2023.10386359}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/BeneckiKGSSLSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/BiernackiZSL23, author = {Piotr Biernacki and Adam Ziebinski and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {The Calibration of Single Beam Distance Sensors based on Machine Learning Methods}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {5031--5037}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386524}, doi = {10.1109/BIGDATA59044.2023.10386524}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/BiernackiZSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/SungTCCLH23, author = {Hao{-}Ru Sung and Ying{-}Jhe Tang and Yu{-}Chung Cheng and Pai{-}Lin Chen and Tsai{-}Yen Li and Hen{-}Hsen Huang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Sequential Text-based Knowledge Update with Self-Supervised Learning for Generative Language Models}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4305--4309}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615188}, doi = {10.1145/3583780.3615188}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/SungTCCLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ForteKHCTKB23, author = {Maria{-}Paola Forte and Peter Kulits and Chun{-}Hao Huang and Vasileios Choutas and Dimitrios Tzionas and Katherine J. Kuchenbecker and Michael J. Black}, title = {Reconstructing Signing Avatars from Video Using Linguistic Priors}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {12791--12801}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01230}, doi = {10.1109/CVPR52729.2023.01230}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ForteKHCTKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangLJCLWS23, author = {Qingsheng Wang and Lingqiao Liu and Chenchen Jing and Hao Chen and Guoqiang Liang and Peng Wang and Chunhua Shen}, title = {Learning Conditional Attributes for Compositional Zero-Shot Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {11197--11206}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01077}, doi = {10.1109/CVPR52729.2023.01077}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangLJCLWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsaiWCHLLK23, author = {Chieh{-}Lin Tsai and Chun{-}Feng Wu and Yuan{-}Hao Chang and Han{-}Wen Hu and Yung{-}Chun Lee and Hsiang{-}Pang Li and Tei{-}Wei Kuo}, title = {A digital 3D {TCAM} accelerator for the inference phase of Random Forest}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247695}, doi = {10.1109/DAC56929.2023.10247695}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsaiWCHLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/TrinhLWPHWL23, author = {Thanh{-}Tung Trinh and Yi{-}Hung Liu and Chien{-}Te Wu and Wei{-}Hao Peng and Chung{-}Lin Hou and Chang{-}Hsin Weng and Chun{-}Ying Lee}, title = {PLI-Based Connectivity in Resting-EEG is a Robust and Generalizable Feature for Detecting {MCI} and {AD:} {A} Validation on a Diverse Multisite Clinical Dataset}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340854}, doi = {10.1109/EMBC40787.2023.10340854}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/TrinhLWPHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/PengAAAABCCCDDG23, author = {Bo Peng and Eric Alcaide and Quentin Anthony and Alon Albalak and Samuel Arcadinho and Stella Biderman and Huanqi Cao and Xin Cheng and Michael Chung and Leon Derczynski and Xingjian Du and Matteo Grella and Kranthi Kiran GV and Xuzheng He and Haowen Hou and Przemyslaw Kazienko and Jan Kocon and Jiaming Kong and Bartlomiej Koptyra and Hayden Lau and Jiaju Lin and Krishna Sri Ipsit Mantri and Ferdinand Mom and Atsushi Saito and Guangyu Song and Xiangru Tang and Johan S. Wind and Stanislaw Wozniak and Zhenyuan Zhang and Qinghua Zhou and Jian Zhu and Rui{-}Jie Zhu}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{RWKV:} Reinventing RNNs for the Transformer Era}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14048--14077}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.936}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.936}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/PengAAAABCCCDDG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/SyuLS23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Gautam Srivastava}, title = {Fuzzy Electricity Management System with Anomaly Detection and Fuzzy Q-Learning}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ} 2023, Incheon, Republic of Korea, August 13-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FUZZ52849.2023.10309678}, doi = {10.1109/FUZZ52849.2023.10309678}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/SyuLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinLCCC23, author = {Szu{-}Yin Lin and Yi{-}Pei Lai and Hao{-}Chun Chiang and Yawei Cheng and Shih{-}Yi Chien}, editor = {Fiona Nah and Keng Siau}, title = {Interactive Robot-Aided Diagnosis System for Children with Autism Spectrum Disorder}, booktitle = {{HCI} in Business, Government and Organizations - 10th International Conference, {HCIBGO} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14039}, pages = {41--52}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36049-7\_4}, doi = {10.1007/978-3-031-36049-7\_4}, timestamp = {Wed, 26 Jul 2023 08:43:11 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinLCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/UpadhyayMSLCWKBL23, author = {Shreya G. Upadhyay and Luz Martinez{-}Lucas and Bo{-}Hao Su and Wei{-}Cheng Lin and Woan{-}Shiuan Chien and Ya{-}Tse Wu and William Katz and Carlos Busso and Chi{-}Chun Lee}, title = {Phonetic Anchor-Based Transfer Learning to Facilitate Unsupervised Cross-Lingual Speech Emotion Recognition}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095250}, doi = {10.1109/ICASSP49357.2023.10095250}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/UpadhyayMSLCWKBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ShubynGMKBSLSM23, author = {Bohdan Shubyn and Piotr Grzesik and Taras Maksymyuk and Daniel Kostrzewa and Pawel Benecki and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Vaidy S. Sunderam and Dariusz Mrozek}, editor = {Jir{\'{\i}} Mikyska and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Resource Consumption of Federated Learning Approach Applied on Edge IoT Devices in the {AGV} Environment}, booktitle = {Computational Science - {ICCS} 2023 - 23rd International Conference, Prague, Czech Republic, July 3-5, 2023, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {14077}, pages = {492--504}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36030-5\_39}, doi = {10.1007/978-3-031-36030-5\_39}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/ShubynGMKBSLSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeLLH23, author = {Chun{-}An Lee and Wen{-}Hao Liu and Gary Lin and Tsung{-}Yi Ho}, title = {Delay-Matching Routing for Advanced Packages}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323679}, doi = {10.1109/ICCAD57390.2023.10323679}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChoLLYFH23, author = {Li Cho and Ching{-}Po Lin and Chun{-}Wei Li and Tsung{-}Hao Yang and Sheng{-}Hsiang Fu and Chun{-}Yu Hsiao}, title = {RIC-Assisted Smart Microgrid for Renewable Powered Radio Access Network}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {215--216}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226996}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226996}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChoLLYFH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LuoYLNLFL23, author = {Ao Luo and Fan Yang and Xin Li and Lang Nie and Chunyu Lin and Haoqiang Fan and Shuaicheng Liu}, title = {GAFlow: Incorporating Gaussian Attention into Optical Flow}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {9608--9617}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00884}, doi = {10.1109/ICCV51070.2023.00884}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/LuoYLNLFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YingZMWCWLFZS23, author = {Kaining Ying and Qing Zhong and Weian Mao and Zhenhua Wang and Hao Chen and Lin Yuanbo Wu and Yifan Liu and Chengxiang Fan and Yunzhi Zhuge and Chunhua Shen}, title = {{CTVIS:} Consistent Training for Online Video Instance Segmentation}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {899--908}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00089}, doi = {10.1109/ICCV51070.2023.00089}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/YingZMWCWLFZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/PanHWL23, author = {Pin{-}Chi Pan and Tzu{-}Hao Hsu and Wen{-}Li Wei and Jen{-}Chun Lin}, title = {Global-Local Awareness Network for Image Super-Resolution}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {1150--1154}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10221952}, doi = {10.1109/ICIP49359.2023.10221952}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/icip/PanHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/ChenHLL23, author = {Ihao Chen and Yueh{-}Hsia Huang and Hao{-}Chiang Lin and Chun{-}Yi Lu}, editor = {Yueh{-}Min Huang and T{\^{a}}nia Rocha}, title = {Facial {AI} and Data Mining-Based Testing System in the Post-pandemic Era}, booktitle = {Innovative Technologies and Learning - 6th International Conference, {ICITL} 2023, Porto, Portugal, August 28-30, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14099}, pages = {644--653}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40113-8\_64}, doi = {10.1007/978-3-031-40113-8\_64}, timestamp = {Mon, 14 Aug 2023 16:16:27 +0200}, biburl = {https://dblp.org/rec/conf/icitl/ChenHLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChengSCCLC23, author = {Tsung{-}Hui Cheng and Chu{-}Chun Song and Chien{-}Hao Chen and Zhi{-}Hua Chen and Ming{-}Hung Lin and Wen{-}Ping Chen}, title = {Large-scale Air Purifier System with Intelligent Sensor Frequency Conversion Control}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {151--156}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332763}, doi = {10.1109/ICKII58656.2023.10332763}, timestamp = {Thu, 04 Jan 2024 08:13:42 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChengSCCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/TaiLLCCHKHLH23, author = {Sheng{-}Kwei Tai and Fu{-}Sung Lin and Yu{-}Hao Li and Chun{-}Yuan Chen and Ying{-}Hsien Chen and Yu{-}Wen Huang and Chien{-}Lun Kao and Ju{-}Hsuan Hung and Pu{-}Chun Liu and Chih{-}Hsien Huang}, title = {Development of Machine Learning Based Real-Time Squat Training Feedback System}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {376--379}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332629}, doi = {10.1109/ICKII58656.2023.10332629}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/TaiLLCCHKHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecc/LinLZWCG23, author = {Chih{-}Chung Lin and Yuan{-}Cheng Lai and Ming{-}Huang Zheng and Chen{-}Hao Wang and Yan{-}Rong Chen and Li{-}An Gao}, title = {The Candlestick-Tracking Trend Decision for Day Trading on Taiwan Index Futures Market}, booktitle = {Proceedings of the 2023 5th International Electronics Communication Conference, {IECC} 2023, Osaka City, Japan, July 21-23, 2023}, pages = {69--77}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3616480.3616490}, doi = {10.1145/3616480.3616490}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecc/LinLZWCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/TsengBLLLLLLHWL23, author = {Po{-}Hao Tseng and Tian{-}Cig Bo and Yu{-}Hsuan Lin and Yu{-}Chao Lin and Jhe{-}Yi Liao and Feng{-}Ming Lee and Yu{-}Yu Lin and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {{SLC} and {MLC} In-Memory-Approximate-Search Solutions in Commercial 48-layer and 96-layer 3D-NAND Flash Memories}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145964}, doi = {10.1109/IMW56887.2023.10145964}, timestamp = {Fri, 16 Jun 2023 08:54:55 +0200}, biburl = {https://dblp.org/rec/conf/imw2/TsengBLLLLLLHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/CongZL0W00M23, author = {Yahuan Cong and Haoyu Zhang and Haopeng Lin and Shichao Liu and Chunfeng Wang and Yi Ren and Xiang Yin and Zejun Ma}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {GenerTTS: Pronunciation Disentanglement for Timbre and Style Generalization in Cross-Lingual Text-to-Speech}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {5486--5490}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1727}, doi = {10.21437/INTERSPEECH.2023-1727}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/CongZL0W00M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LinSLKJL23, author = {Yin{-}Tse Lin and Bo{-}Hao Su and Chi{-}Han Lin and Shih{-}Chan Kuo and Jyh{-}Shing Roger Jang and Chi{-}Chun Lee}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Noise-Robust Bandwidth Expansion for 8K Speech Recordings}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {5107--5111}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-857}, doi = {10.21437/INTERSPEECH.2023-857}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LinSLKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LuLL23, author = {Shao{-}Hao Lu and Yun{-}Shao Lin and Chi{-}Chun Lee}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Speaking State Decoder with Transition Detection for Next Speaker Prediction}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {1868--1872}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-830}, doi = {10.21437/INTERSPEECH.2023-830}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LuLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHLLL23, author = {Tzu{-}Yun Huang and Hsi{-}Hao Huang and Chun{-}Hsien Liu and Sheng{-}Di Lin and Chen{-}Yi Lee}, title = {A Stack-Based In-Pixel Storage Circuit for {SPAD} Photon Counting}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10182115}, doi = {10.1109/ISCAS46773.2023.10182115}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLHLL23, author = {Hsi{-}Hao Huang and Chun{-}Hsien Liu and Tzu{-}Yun Huang and Sheng{-}Di Lin and Chen{-}Yi Lee}, title = {Self-Restoring and Low-Jitter Circuits for High Timing-Resolution {SPAD} Sensing Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10182226}, doi = {10.1109/ISCAS46773.2023.10182226}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLHLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/ChengXCCWZ23, author = {Haojie Cheng and Chunxiao Xu and Xujing Chen and Zhenxin Chen and Jiajun Wang and Lingxiao Zhao}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {Realistic Volume Rendering with Environment-Synced Illumination in Mixed Reality}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {423--428}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00090}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00090}, timestamp = {Wed, 03 Jan 2024 08:34:31 +0100}, biburl = {https://dblp.org/rec/conf/ismar/ChengXCCWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsiehWXLTCYCLLLLLCK23, author = {Sung{-}En Hsieh and Chun{-}Hao Wei and Cheng{-}Xin Xue and Hung{-}Wei Lin and Wei{-}Hsuan Tu and En{-}Jui Chang and Kai{-}Taing Yang and Po{-}Heng Chen and Wei{-}Nan Liao and Li Lian Low and Chia{-}Da Lee and Allen{-}Cl Lu and Jenwei Liang and Chih{-}Chung Cheng and Tzung{-}Hung Kang}, title = {A 70.85-86.27TOPS/W PVT-Insensitive 8b Word-Wise {ACIM} with Post-Processing Relaxation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {136--137}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067335}, doi = {10.1109/ISSCC42615.2023.10067335}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsiehWXLTCYCLLLLLCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSLCLCLCKCWWWCWCC23, author = {Po{-}Hao Lee and Chia{-}Fu Lee and Yi{-}Chun Shih and Hon{-}Jarn Lin and Yen{-}An Chang and Cheng{-}Han Lu and Yu{-}Lin Chen and Chieh{-}Pu Lo and Chung{-}Chieh Chen and Cheng{-}Hsiung Kuo and Tan{-}Li Chou and Chia{-}Yu Wang and J. J. Wu and Roger Wang and Harry Chuang and Yih Wang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {A 16nm 32Mb Embedded {STT-MRAM} with a 6ns Read-Access Time, a 1M-Cycle Write Endurance, 20-Year Retention at 150{\textdegree}C and {MTJ-OTP} Solutions for Magnetic Immunity}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {494--495}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067837}, doi = {10.1109/ISSCC42615.2023.10067837}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSLCLCLCKCWWWCWCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issta/DengXPY023, author = {Yinlin Deng and Chunqiu Steven Xia and Haoran Peng and Chenyuan Yang and Lingming Zhang}, editor = {Ren{\'{e}} Just and Gordon Fraser}, title = {Large Language Models Are Zero-Shot Fuzzers: Fuzzing Deep-Learning Libraries via Large Language Models}, booktitle = {Proceedings of the 32nd {ACM} {SIGSOFT} International Symposium on Software Testing and Analysis, {ISSTA} 2023, Seattle, WA, USA, July 17-21, 2023}, pages = {423--435}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3597926.3598067}, doi = {10.1145/3597926.3598067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issta/DengXPY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/m2vip/QinJDWT23, author = {Hao Qin and Chunqi Ju and Linjie Dong and Xingsong Wang and Mengqian Tian}, title = {Kinematic Simulation and Inverse Kinematic Trajectory Solution of Servo Toggle Press}, booktitle = {29th International Conference on Mechatronics and Machine Vision in Practice, {M2VIP} 2023, Queenstown, New Zealand, November 21-24, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/M2VIP58386.2023.10413407}, doi = {10.1109/M2VIP58386.2023.10413407}, timestamp = {Sat, 24 Feb 2024 20:42:51 +0100}, biburl = {https://dblp.org/rec/conf/m2vip/QinJDWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/WangZQLS23, author = {Haoda Wang and Lingjun Zhao and Chen Qiu and Zhuotao Lian and Chunhua Su}, title = {Privacy-stealing Approach in Distributed IoMT Systems}, booktitle = {16th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023}, pages = {301--305}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MCSoC60832.2023.00051}, doi = {10.1109/MCSOC60832.2023.00051}, timestamp = {Fri, 09 Feb 2024 20:38:48 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/WangZQLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DingTDL23, author = {Yuxuan Ding and Chunna Tian and Haoxuan Ding and Lingqiao Liu}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {The {CLIP} Model is Secretly an Image-to-Prompt Converter}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/b00ef390dcd5f147fd7c5c2bb35f09be-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/DingTDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HuangCPLSG23, author = {Zhiao Huang and Feng Chen and Yewen Pu and Chunru Lin and Hao Su and Chuang Gan}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {DiffVL: Scaling Up Soft Body Manipulation using Vision-Language Driven Differentiable Physics}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/5f5f7b6080dcadced61cf5d96f7c6dde-Abstract-Conference.html}, timestamp = {Thu, 29 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/HuangCPLSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KuoHSCLC23, author = {Hao{-}Chung Kuo and Wei{-}Ta Huang and Konthoujam James Singh and Chi{-}Wai Chow and Gong{-}Ru Lin and Shih{-}Chen Chen}, title = {Micro-LEDs and Quantum based-Full Color Devices for Display and Visible Light Communications}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116851}, doi = {10.23919/OFC49934.2023.10116851}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KuoHSCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/LoLHLFLHL023, author = {Shiwu Lo and Han{-}Ting Lin and Yao{-}Hung Hsieh and Chao{-}Ting Lin and Yu{-}Hsueh Fang and Ching{-}Shen Lin and Ching{-}Chun (Jim) Huang and Kam{-}Yiu Lam and Yuan{-}Hao Chang}, editor = {Roxana Geambasu and Ed Nightingale}, title = {{RON:} One-Way Circular Shortest Routing to Achieve Efficient and Bounded-waiting Spinlocks}, booktitle = {17th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {17--31}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/osdi23/presentation/lo}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/osdi/LoLHLFLHL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SyuLFC23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Marcin Fojcik and Rafal Cupek}, editor = {Raffaele Montella and Javier Garc{\'{\i}}a Blas and Daniele D'Agostino}, title = {{HTPS:} Heterogeneous Transferring Prediction System for Healthcare Datasets}, booktitle = {31st Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2023, Naples, Italy, March 1-3, 2023}, pages = {200--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PDP59025.2023.00039}, doi = {10.1109/PDP59025.2023.00039}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/SyuLFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/provsec/XuHXQ23, author = {Hong Xu and Chunyu Hao and Zhichao Xu and Wenfeng Qi}, editor = {Mingwu Zhang and Man Ho Au and Yudi Zhang}, title = {Linear Cryptanalysis of Lightweight Block Cipher {WARP}}, booktitle = {Provable and Practical Security - 17th International Conference, ProvSec 2023, Wuhan, China, October 20-22, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14217}, pages = {83--90}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-45513-1\_5}, doi = {10.1007/978-3-031-45513-1\_5}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/provsec/XuHXQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/SyuLY23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Philip S. Yu}, editor = {Shashi Shekhar and Zhi{-}Hua Zhou and Yao{-}Yi Chiang and Gregor Stiglic}, title = {Anomaly Detection Networks and Fuzzy Control Modules for Energy Grid Management with Q-Learning-Based Decision Making}, booktitle = {Proceedings of the 2023 {SIAM} International Conference on Data Mining, {SDM} 2023, Minneapolis-St. Paul Twin Cities, MN, USA, April 27-29, 2023}, pages = {397--405}, publisher = {{SIAM}}, year = {2023}, url = {https://doi.org/10.1137/1.9781611977653.ch45}, doi = {10.1137/1.9781611977653.CH45}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sdm/SyuLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSFAHSCCLYQYL23, author = {Wen{-}Chia Wu and Terry Y. T. Hung and D. Mahaveer Sathaiya and Dongxu Fan and Goutham Arutchelvan and Chen{-}Feng Hsu and Sheng{-}Kai Su and Ang{-}Sheng Chou and Edward Chen and Weisheng Li and Zhihao Yu and Hao Qiu and Ying{-}Mei Yang and Kuang{-}I Lin and Yun{-}Yang Shen and Wen{-}Hao Chang and San Lin Liew and Vincent D.{-}H. Hou and Jin Cai and Chung{-}Cheng Wu and Jeff Wu and H.{-}S. Philip Wong and Xinran Wang and Chao{-}Hsin Chien and Chao{-}Ching Cheng and Iuliana P. Radu}, title = {Scaled contact length with low contact resistance in monolayer 2D channel transistors}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185408}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185408}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSFAHSCCLYQYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ChengXCWCZ23, author = {Haojie Cheng and Chunxiao Xu and Zhenxin Chen and Jiajun Wang and Yibo Chen and Lingxiao Zhao}, title = {A Mixed Reality Framework for Interactive Realistic Volume Rendering with Dynamic Environment Illumination}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2023, Shanghai, China, March 25-29, 2023}, pages = {741--742}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VRW58643.2023.00213}, doi = {10.1109/VRW58643.2023.00213}, timestamp = {Tue, 04 Jun 2024 16:13:41 +0200}, biburl = {https://dblp.org/rec/conf/vr/ChengXCWCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15805, author = {Yunfan Zhang and Hao Wang and Guosheng Lin and Vun Chan Hua Nicholas and Zhiqi Shen and Chunyan Miao}, title = {StarNet: Style-Aware 3D Point Cloud Generation}, journal = {CoRR}, volume = {abs/2303.15805}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15805}, doi = {10.48550/ARXIV.2303.15805}, eprinttype = {arXiv}, eprint = {2303.15805}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15805.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-10482, author = {Maria{-}Paola Forte and Peter Kulits and Chun{-}Hao Huang and Vasileios Choutas and Dimitrios Tzionas and Katherine J. Kuchenbecker and Michael J. Black}, title = {Reconstructing Signing Avatars From Video Using Linguistic Priors}, journal = {CoRR}, volume = {abs/2304.10482}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.10482}, doi = {10.48550/ARXIV.2304.10482}, eprinttype = {arXiv}, eprint = {2304.10482}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-10482.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-01252, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Marcin Fojcik and Rafal Cupek}, title = {{HTPS:} Heterogeneous Transferring Prediction System for Healthcare Datasets}, journal = {CoRR}, volume = {abs/2305.01252}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.01252}, doi = {10.48550/ARXIV.2305.01252}, eprinttype = {arXiv}, eprint = {2305.01252}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-01252.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12716, author = {Yuxuan Ding and Chunna Tian and Haoxuan Ding and Lingqiao Liu}, title = {The {CLIP} Model is Secretly an Image-to-Prompt Converter}, journal = {CoRR}, volume = {abs/2305.12716}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12716}, doi = {10.48550/ARXIV.2305.12716}, eprinttype = {arXiv}, eprint = {2305.12716}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17940, author = {Qingsheng Wang and Lingqiao Liu and Chenchen Jing and Hao Chen and Guoqiang Liang and Peng Wang and Chunhua Shen}, title = {Learning Conditional Attributes for Compositional Zero-Shot Learning}, journal = {CoRR}, volume = {abs/2305.17940}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17940}, doi = {10.48550/ARXIV.2305.17940}, eprinttype = {arXiv}, eprint = {2305.17940}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18403, author = {Mingyang Zhang and Hao Chen and Chunhua Shen and Zhen Yang and Linlin Ou and Xinyi Yu and Bohan Zhuang}, title = {Pruning Meets Low-Rank Parameter-Efficient Fine-Tuning}, journal = {CoRR}, volume = {abs/2305.18403}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18403}, doi = {10.48550/ARXIV.2305.18403}, eprinttype = {arXiv}, eprint = {2305.18403}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18403.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04717, author = {Chunyi Li and Zicheng Zhang and Haoning Wu and Wei Sun and Xiongkuo Min and Xiaohong Liu and Guangtao Zhai and Weisi Lin}, title = {{AGIQA-3K:} An Open Database for AI-Generated Image Quality Assessment}, journal = {CoRR}, volume = {abs/2306.04717}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04717}, doi = {10.48550/ARXIV.2306.04717}, eprinttype = {arXiv}, eprint = {2306.04717}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-09296, author = {Jifan Yu and Xiaozhi Wang and Shangqing Tu and Shulin Cao and Daniel Zhang{-}li and Xin Lv and Hao Peng and Zijun Yao and Xiaohan Zhang and Hanming Li and Chunyang Li and Zheyuan Zhang and Yushi Bai and Yantao Liu and Amy Xin and Nianyi Lin and Kaifeng Yun and Linlu Gong and Jianhui Chen and Zhili Wu and Yunjia Qi and Weikai Li and Yong Guan and Kaisheng Zeng and Ji Qi and Hailong Jin and Jinxin Liu and Yu Gu and Yuan Yao and Ning Ding and Lei Hou and Zhiyuan Liu and Bin Xu and Jie Tang and Juanzi Li}, title = {KoLA: Carefully Benchmarking World Knowledge of Large Language Models}, journal = {CoRR}, volume = {abs/2306.09296}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.09296}, doi = {10.48550/ARXIV.2306.09296}, eprinttype = {arXiv}, eprint = {2306.09296}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-09296.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-15304, author = {Yahuan Cong and Haoyu Zhang and Haopeng Lin and Shichao Liu and Chunfeng Wang and Yi Ren and Xiang Yin and Zejun Ma}, title = {GenerTTS: Pronunciation Disentanglement for Timbre and Style Generalization in Cross-Lingual Text-to-Speech}, journal = {CoRR}, volume = {abs/2306.15304}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.15304}, doi = {10.48550/ARXIV.2306.15304}, eprinttype = {arXiv}, eprint = {2306.15304}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-15304.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-02808, author = {Zicheng Zhang and Wei Sun and Yingjie Zhou and Haoning Wu and Chunyi Li and Xiongkuo Min and Xiaohong Liu and Guangtao Zhai and Weisi Lin}, title = {Advancing Zero-Shot Digital Human Quality Assessment through Text-Prompted Evaluation}, journal = {CoRR}, volume = {abs/2307.02808}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.02808}, doi = {10.48550/ARXIV.2307.02808}, eprinttype = {arXiv}, eprint = {2307.02808}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-02808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09729, author = {Xiaohong Liu and Xiongkuo Min and Wei Sun and Yulun Zhang and Kai Zhang and Radu Timofte and Guangtao Zhai and Yixuan Gao and Yuqin Cao and Tengchuan Kou and Yunlong Dong and Ziheng Jia and Yilin Li and Wei Wu and Shuming Hu and Sibin Deng and Pengxiang Xiao and Ying Chen and Kai Li and Kai Zhao and Kun Yuan and Ming Sun and Heng Cong and Hao Wang and Lingzhi Fu and Yusheng Zhang and Rongyu Zhang and Hang Shi and Qihang Xu and Longan Xiao and Zhiliang Ma and Mirko Agarla and Luigi Celona and Claudio Rota and Raimondo Schettini and Zhiwei Huang and Yanan Li and Xiaotao Wang and Lei Lei and Hongye Liu and Wei Hong and Ironhead Chuang and Allen Lin and Drake Guan and Iris Chen and Kae Lou and Willy Huang and Yachun Tasi and Yvonne Kao and Haotian Fan and Fangyuan Kong and Shiqi Zhou and Hao Liu and Yu Lai and Shanshan Chen and Wenqi Wang and Haoning Wu and Chaofeng Chen and Chunzheng Zhu and Zekun Guo and Shiling Zhao and Haibing Yin and Hongkui Wang and Hanene Brachemi Meftah and Sid Ahmed Fezza and Wassim Hamidouche and Olivier D{\'{e}}forges and Tengfei Shi and Azadeh Mansouri and Hossein Motamednia and Amir Hossein Bakhtiari and Ahmad Mahmoudi Aznaveh}, title = {{NTIRE} 2023 Quality Assessment of Video Enhancement Challenge}, journal = {CoRR}, volume = {abs/2307.09729}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09729}, doi = {10.48550/ARXIV.2307.09729}, eprinttype = {arXiv}, eprint = {2307.09729}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12616, author = {Kaining Ying and Qing Zhong and Weian Mao and Zhenhua Wang and Hao Chen and Lin Yuanbo Wu and Yifan Liu and Chengxiang Fan and Yunzhi Zhuge and Chunhua Shen}, title = {{CTVIS:} Consistent Training for Online Video Instance Segmentation}, journal = {CoRR}, volume = {abs/2307.12616}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12616}, doi = {10.48550/ARXIV.2307.12616}, eprinttype = {arXiv}, eprint = {2307.12616}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12616.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01857, author = {Xingquan Li and Simin Tao and Zengrong Huang and Shijian Chen and Zhisheng Zeng and Liwei Ni and Zhipeng Huang and Chunan Zhuang and Hongxi Wu and Weiguo Li and Xueyan Zhao and He Liu and Shuaiying Long and Wei He and Bojun Liu and Sifeng Gan and Zihao Yu and Tong Liu and Yuchi Miao and Zhiyuan Yan and Hao Wang and Jie Zhao and Yifan Li and Ruizhi Liu and Xiaoze Lin and Bo Yang and Zhen Xue and Fuxing Huang and Zonglin Yang and Zhenggang Wu and Jiangkao Li and Yuezuo Liu and Ming Peng and Yihang Qiu and Wenrui Wu and Zheqing Shao and Kai Mo and Jikang Liu and Yuyao Liang and Mingzhe Zhang and Zhuang Ma and Xiang Cong and Daxiang Huang and Guojie Luo and Huawei Li and Haihua Shen and Mingyu Chen and Dongbo Bu and Wenxing Zhu and Ye Cai and Xiaoming Xiong and Ying Jiang and Yi Heng and Peng Zhang and Biwei Xie and Yungang Bao}, title = {iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library}, journal = {CoRR}, volume = {abs/2308.01857}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01857}, doi = {10.48550/ARXIV.2308.01857}, eprinttype = {arXiv}, eprint = {2308.01857}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01765, author = {Sanjeev Muralikrishnan and Chun{-}Hao Paul Huang and Duygu Ceylan and Niloy J. Mitra}, title = {BLiSS: Bootstrapped Linear Shape Space}, journal = {CoRR}, volume = {abs/2309.01765}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01765}, doi = {10.48550/ARXIV.2309.01765}, eprinttype = {arXiv}, eprint = {2309.01765}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01916, author = {Haojie Cheng and Chunxiao Xu and Xujing Chen and Zhenxin Chen and Jiajun Wang and Lingxiao Zhao}, title = {Realistic Volume Rendering with Environment-Synced Illumination in Mixed Reality}, journal = {CoRR}, volume = {abs/2309.01916}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01916}, doi = {10.48550/ARXIV.2309.01916}, eprinttype = {arXiv}, eprint = {2309.01916}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05257, author = {Chunyong Hu and Hang Zheng and Kun Li and Jianyun Xu and Weibo Mao and Maochun Luo and Lingxuan Wang and Mingxia Chen and Qihao Peng and Kaixuan Liu and Yiru Zhao and Peihan Hao and Minzhe Liu and Kaicheng Yu}, title = {FusionFormer: {A} Multi-sensory Fusion in Bird's-Eye-View and Temporal Consistent Transformer for 3D Object Detection}, journal = {CoRR}, volume = {abs/2309.05257}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05257}, doi = {10.48550/ARXIV.2309.05257}, eprinttype = {arXiv}, eprint = {2309.05257}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10787, author = {Yuan Tseng and Layne Berry and Yi{-}Ting Chen and I{-}Hsiang Chiu and Hsuan{-}Hao Lin and Max Liu and Puyuan Peng and Yi{-}Jen Shih and Hung{-}Yu Wang and Haibin Wu and Po{-}Yao Huang and Chun{-}Mao Lai and Shang{-}Wen Li and David Harwath and Yu Tsao and Shinji Watanabe and Abdelrahman Mohamed and Chi{-}Luen Feng and Hung{-}yi Lee}, title = {{AV-SUPERB:} {A} Multi-Task Evaluation Benchmark for Audio-Visual Representation Models}, journal = {CoRR}, volume = {abs/2309.10787}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10787}, doi = {10.48550/ARXIV.2309.10787}, eprinttype = {arXiv}, eprint = {2309.10787}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14181, author = {Haoning Wu and Zicheng Zhang and Erli Zhang and Chaofeng Chen and Liang Liao and Annan Wang and Chunyi Li and Wenxiu Sun and Qiong Yan and Guangtao Zhai and Weisi Lin}, title = {Q-Bench: {A} Benchmark for General-Purpose Foundation Models on Low-level Vision}, journal = {CoRR}, volume = {abs/2309.14181}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14181}, doi = {10.48550/ARXIV.2309.14181}, eprinttype = {arXiv}, eprint = {2309.14181}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14181.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-15484, author = {Kuo{-}Hao Ho and Ping{-}Chun Hsieh and Chiu{-}Chou Lin and You{-}Ren Luo and Feng{-}Jian Wang and I{-}Chen Wu}, title = {Towards Human-Like {RL:} Taming Non-Naturalistic Behavior in Deep {RL} via Adaptive Behavioral Costs in 3D Games}, journal = {CoRR}, volume = {abs/2309.15484}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.15484}, doi = {10.48550/ARXIV.2309.15484}, eprinttype = {arXiv}, eprint = {2309.15484}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-15484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16217, author = {Ao Luo and Fan Yang and Xin Li and Lang Nie and Chunyu Lin and Haoqiang Fan and Shuaicheng Liu}, title = {GAFlow: Incorporating Gaussian Attention into Optical Flow}, journal = {CoRR}, volume = {abs/2309.16217}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16217}, doi = {10.48550/ARXIV.2309.16217}, eprinttype = {arXiv}, eprint = {2309.16217}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11802, author = {Weian Mao and Muzhi Zhu and Zheng Sun and Shuaike Shen and Lin Yuanbo Wu and Hao Chen and Chunhua Shen}, title = {De novo protein design using geometric vector field networks}, journal = {CoRR}, volume = {abs/2310.11802}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11802}, doi = {10.48550/ARXIV.2310.11802}, eprinttype = {arXiv}, eprint = {2310.11802}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11802.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-06783, author = {Haoning Wu and Zicheng Zhang and Erli Zhang and Chaofeng Chen and Liang Liao and Annan Wang and Kaixin Xu and Chunyi Li and Jingwen Hou and Guangtao Zhai and Geng Xue and Wenxiu Sun and Qiong Yan and Weisi Lin}, title = {Q-Instruct: Improving Low-level Visual Abilities for Multi-modality Foundation Models}, journal = {CoRR}, volume = {abs/2311.06783}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.06783}, doi = {10.48550/ARXIV.2311.06783}, eprinttype = {arXiv}, eprint = {2311.06783}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-06783.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13120, author = {Zhen Zhao and Jingqun Tang and Chunhui Lin and Binghong Wu and Hao Liu and Zhizhong Zhang and Xin Tan and Can Huang and Yuan Xie}, title = {Multi-modal In-Context Learning Makes an Ego-evolving Scene Text Recognizer}, journal = {CoRR}, volume = {abs/2311.13120}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13120}, doi = {10.48550/ARXIV.2311.13120}, eprinttype = {arXiv}, eprint = {2311.13120}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16043, author = {Jian Gao and Chun Gu and Youtian Lin and Hao Zhu and Xun Cao and Li Zhang and Yao Yao}, title = {Relightable 3D Gaussian: Real-time Point Cloud Relighting with {BRDF} Decomposition and Ray Tracing}, journal = {CoRR}, volume = {abs/2311.16043}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16043}, doi = {10.48550/ARXIV.2311.16043}, eprinttype = {arXiv}, eprint = {2311.16043}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06109, author = {Haoran Wei and Lingyu Kong and Jinyue Chen and Liang Zhao and Zheng Ge and Jinrong Yang and Jianjian Sun and Chunrui Han and Xiangyu Zhang}, title = {Vary: Scaling up the Vision Vocabulary for Large Vision-Language Models}, journal = {CoRR}, volume = {abs/2312.06109}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06109}, doi = {10.48550/ARXIV.2312.06109}, eprinttype = {arXiv}, eprint = {2312.06109}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06408, author = {Zhiao Huang and Feng Chen and Yewen Pu and Chunru Lin and Hao Su and Chuang Gan}, title = {DiffVL: Scaling Up Soft Body Manipulation using Vision-Language Driven Differentiable Physics}, journal = {CoRR}, volume = {abs/2312.06408}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06408}, doi = {10.48550/ARXIV.2312.06408}, eprinttype = {arXiv}, eprint = {2312.06408}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09781, author = {Min{-}Han Shih and Ho{-}Lam Chung and Yu{-}Chi Pai and Ming{-}Hao Hsu and Guan{-}Ting Lin and Shang{-}Wen Li and Hung{-}Yi Lee}, title = {{GSQA:} An End-to-End Model for Generative Spoken Question Answering}, journal = {CoRR}, volume = {abs/2312.09781}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09781}, doi = {10.48550/ARXIV.2312.09781}, eprinttype = {arXiv}, eprint = {2312.09781}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10998, author = {Jia{-}Hao Wu and Fu{-}Jen Tsai and Yan{-}Tsung Peng and Chung{-}Chi Tsai and Chia{-}Wen Lin and Yen{-}Yu Lin}, title = {ID-Blau: Image Deblurring by Implicit Diffusion-based reBLurring AUgmentation}, journal = {CoRR}, volume = {abs/2312.10998}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10998}, doi = {10.48550/ARXIV.2312.10998}, eprinttype = {arXiv}, eprint = {2312.10998}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12750, author = {Zhiguang Yang and Lu Wang and Chun Gan and Liufang Sang and Haoran Wang and Wenlong Chen and Jie He and Changping Peng and Zhangang Lin and Jingping Shao}, title = {Parallel Ranking of Ads and Creatives in Real-Time Advertising Systems}, journal = {CoRR}, volume = {abs/2312.12750}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12750}, doi = {10.48550/ARXIV.2312.12750}, eprinttype = {arXiv}, eprint = {2312.12750}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15300, author = {Zicheng Zhang and Haoning Wu and Zhongpeng Ji and Chunyi Li and Erli Zhang and Wei Sun and Xiaohong Liu and Xiongkuo Min and Fengyu Sun and Shangling Jui and Weisi Lin and Guangtao Zhai}, title = {Q-Boost: On Visual Quality Assessment Ability of Low-level Multi-Modality Foundation Models}, journal = {CoRR}, volume = {abs/2312.15300}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15300}, doi = {10.48550/ARXIV.2312.15300}, eprinttype = {arXiv}, eprint = {2312.15300}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-17090, author = {Haoning Wu and Zicheng Zhang and Weixia Zhang and Chaofeng Chen and Liang Liao and Chunyi Li and Yixuan Gao and Annan Wang and Erli Zhang and Wenxiu Sun and Qiong Yan and Xiongkuo Min and Guangtao Zhai and Weisi Lin}, title = {Q-Align: Teaching LMMs for Visual Scoring via Discrete Text-Defined Levels}, journal = {CoRR}, volume = {abs/2312.17090}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.17090}, doi = {10.48550/ARXIV.2312.17090}, eprinttype = {arXiv}, eprint = {2312.17090}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-17090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChungC22, author = {Yi{-}Hao Chung and Yen{-}Lin Chen}, title = {Three-Dimensional Image Inpainting System Using 3D-ED-GAN for Efficient Vision-Based Detection for Rotor Dynamic Balance System}, journal = {{IEEE} Access}, volume = {10}, pages = {60025--60038}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3180339}, doi = {10.1109/ACCESS.2022.3180339}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChungC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChengDLHLHCK22, author = {Hsueh{-}Hung Cheng and Yu{-}Lun Dai and Yun Lin and Hao{-}Chun Hsu and Chu{-}Ping Lin and Jin{-}Hsing Huang and Shih{-}Fang Chen and Yan{-}Fu Kuo}, title = {Identifying tomato leaf diseases under real field conditions using convolutional neural networks and a chatbot}, journal = {Comput. Electron. Agric.}, volume = {202}, pages = {107365}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107365}, doi = {10.1016/J.COMPAG.2022.107365}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/ChengDLHLHCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/ChengXWZ22, author = {Haojie Cheng and Chunxiao Xu and Jiajun Wang and Lingxiao Zhao}, title = {Quad-fisheye Image Stitching for Monoscopic Panorama Reconstruction}, journal = {Comput. Graph. Forum}, volume = {41}, number = {6}, pages = {94--109}, year = {2022}, url = {https://doi.org/10.1111/cgf.14512}, doi = {10.1111/CGF.14512}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/ChengXWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/XieLHL22, author = {Tianxing Xie and Chunlin Li and Na Hao and Youlong Luo}, title = {Multi-objective optimization of data deployment and scheduling based on the minimum cost in geo-distributed cloud}, journal = {Comput. Commun.}, volume = {185}, pages = {142--158}, year = {2022}, url = {https://doi.org/10.1016/j.comcom.2021.12.022}, doi = {10.1016/J.COMCOM.2021.12.022}, timestamp = {Fri, 17 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/XieLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/GuoFHOLG22, author = {Wenbo Guo and Yong Fang and Cheng Huang and Haoran Ou and Chun Lin and Yongyan Guo}, title = {HyVulDect: {A} hybrid semantic vulnerability mining system based on graph neural network}, journal = {Comput. Secur.}, volume = {121}, pages = {102823}, year = {2022}, url = {https://doi.org/10.1016/j.cose.2022.102823}, doi = {10.1016/J.COSE.2022.102823}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/compsec/GuoFHOLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ZhangTZL22, author = {Qiuhao Zhang and Jiaming Tang and Haoze Zheng and Chunyu Lin}, title = {Efficient object detection method based on aerial optical sensors for remote sensing}, journal = {Displays}, volume = {75}, pages = {102328}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102328}, doi = {10.1016/J.DISPLA.2022.102328}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/ZhangTZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/WuSSL22, author = {Mu{-}En Wu and Jia{-}Hao Syu and Gautam Srivastava and Jerry Chun{-}Wei Lin}, title = {Informative index for investment based on Kelly criterion}, journal = {Enterp. Inf. Syst.}, volume = {16}, number = {8-9}, year = {2022}, url = {https://doi.org/10.1080/17517575.2021.1939425}, doi = {10.1080/17517575.2021.1939425}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eis/WuSSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cvi/LiGRWRLLS22, author = {Siyang Li and Yu Guo and Hao Ren and Ziyi Wang and Keyan Ren and Chunsheng Liu and Hua Lin and Jianbo Shi}, title = {FCNet: {A} feature context network based on ensemble framework for image retrieval}, journal = {{IET} Comput. Vis.}, volume = {16}, number = {4}, pages = {295--306}, year = {2022}, url = {https://doi.org/10.1049/cvi2.12088}, doi = {10.1049/CVI2.12088}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cvi/LiGRWRLLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/BaoLWX22, author = {Lingxin Bao and Chunwan Lv and Ze{-}Hao Wu and Mingqing Xiao}, title = {Active disturbance rejection control for lower triangular uncertain stochastic nonlinear systems driven by coloured noises}, journal = {Int. J. Control}, volume = {95}, number = {8}, pages = {2164--2174}, year = {2022}, url = {https://doi.org/10.1080/00207179.2021.1900606}, doi = {10.1080/00207179.2021.1900606}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/BaoLWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/ChenCL22, author = {Chun{-}Hao Chen and Po{-}Yeh Chen and Jerry Chun{-}Wei Lin}, title = {An Ensemble Classifier for Stock Trend Prediction Using Sentence-Level Chinese News Sentiment and Technical Indicators}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {7}, number = {3}, pages = {53}, year = {2022}, url = {https://doi.org/10.9781/ijimai.2022.02.004}, doi = {10.9781/IJIMAI.2022.02.004}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/ChenCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/ChenYKCLKLCLYQR22, author = {Bo{-}Wei Chen and Shih{-}Hung Yang and Chao{-}Hung Kuo and Jia{-}Wei Chen and Yu{-}Chun Lo and Yun{-}Ting Kuo and Yi{-}Chen Lin and Hao{-}Cheng Chang and Sheng{-}Huang Lin and Xiao Yu and Boyi Qu and Shuan{-}Chu Vina Ro and Hsin{-}Yi Lai and You{-}Yin Chen}, title = {Neuro-Inspired Reinforcement Learning to Improve Trajectory Prediction in Reward-Guided Behavior}, journal = {Int. J. Neural Syst.}, volume = {32}, number = {9}, pages = {2250038:1--2250038:25}, year = {2022}, url = {https://doi.org/10.1142/S0129065722500381}, doi = {10.1142/S0129065722500381}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijns/ChenYKCLKLCLYQR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ChangSLCWCL22, author = {Kuo{-}Hao Chang and Yi{-}Jyun Sun and Chi{-}An Lai and Li{-}Der Chen and Chih{-}Hung Wang and Chung{-}Jung Chen and Chih{-}Ming Lin}, title = {Big data analytics energy-saving strategies for air compressors in the semiconductor industry - an empirical study}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {6}, pages = {1782--1794}, year = {2022}, url = {https://doi.org/10.1080/00207543.2020.1870015}, doi = {10.1080/00207543.2020.1870015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ChangSLCWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/JiaNJKL22, author = {Haoge Jia and Zuyao Ni and Chunxiao Jiang and Linling Kuang and Jianhua Lu}, title = {Uplink Interference and Performance Analysis for Megasatellite Constellation}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {6}, pages = {4318--4329}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3104095}, doi = {10.1109/JIOT.2021.3104095}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/JiaNJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LinLYSC22, author = {Jerry Chun{-}Wei Lin and Qing Lv and Dehu Yu and Gautam Srivastava and Chun{-}Hao Chen}, title = {Optimized scheduling of resource-constraints in projects for smart construction}, journal = {Inf. Process. Manag.}, volume = {59}, number = {5}, pages = {103005}, year = {2022}, url = {https://doi.org/10.1016/j.ipm.2022.103005}, doi = {10.1016/J.IPM.2022.103005}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LinLYSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/ZhangHLLQ22, author = {Dehua Zhang and Xinyuan Hao and Linlin Liang and Wei Liu and Chunbin Qin}, title = {A novel deep convolutional neural network algorithm for surface defect detection}, journal = {J. Comput. Des. Eng.}, volume = {9}, number = {5}, pages = {1616--1632}, year = {2022}, url = {https://doi.org/10.1093/jcde/qwac071}, doi = {10.1093/JCDE/QWAC071}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcde/ZhangHLLQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/YangYCGT22, author = {Gene{-}Ping Yang and Sung{-}Lin Yeh and Yu{-}An Chung and James R. Glass and Hao Tang}, title = {Autoregressive Predictive Coding: {A} Comprehensive Study}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {16}, number = {6}, pages = {1380--1390}, year = {2022}, url = {https://doi.org/10.1109/JSTSP.2022.3203608}, doi = {10.1109/JSTSP.2022.3203608}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jstsp/YangYCGT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LiangYHDLZL22, author = {Hongying Liang and Suiqing Yu and Man Hao and Weixin Deng and Ming Lin and Zheng Zhang and Chunlong Liu}, title = {Effects of cervicothoracic postures on the stiffness of trapezius muscles}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {10}, pages = {3009--3017}, year = {2022}, url = {https://doi.org/10.1007/s11517-022-02655-4}, doi = {10.1007/S11517-022-02655-4}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/LiangYHDLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/HuangLLNZ22, author = {Xudong Huang and Chunyu Lin and Haojie Liu and Lang Nie and Yao Zhao}, title = {Future pseudo-LiDAR frame prediction for autonomous driving}, journal = {Multim. Syst.}, volume = {28}, number = {5}, pages = {1611--1620}, year = {2022}, url = {https://doi.org/10.1007/s00530-022-00921-x}, doi = {10.1007/S00530-022-00921-X}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/HuangLLNZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HaoHSJL22, author = {Jing Hao and Fuyu Huang and Xuejv Shen and Chundong Jiang and Xiaoran Lin}, title = {An adaptive stochastic resonance detection method with a knowledge-based improved artificial fish swarm algorithm}, journal = {Multim. Tools Appl.}, volume = {81}, number = {8}, pages = {11773--11794}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12076-y}, doi = {10.1007/S11042-022-12076-Y}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HaoHSJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/WangLHM22, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Decomposing generation networks with structure prediction for recipe generation}, journal = {Pattern Recognit.}, volume = {126}, pages = {108578}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2022.108578}, doi = {10.1016/J.PATCOG.2022.108578}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/WangLHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChangSYWYLWLL22, author = {Chein{-}I Chang and Meiping Song and Chunyan Yu and Yulei Wang and Haoyang Yu and Jiaojiao Li and Lin Wang and Hsiao{-}Chi Li and Xiaorun Li}, title = {Editorial for Special Issue "Advances in Hyperspectral Data Exploitation"}, journal = {Remote. Sens.}, volume = {14}, number = {20}, pages = {5111}, year = {2022}, url = {https://doi.org/10.3390/rs14205111}, doi = {10.3390/RS14205111}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChangSYWYLWLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YanPBJ0LFCHBZS022, author = {Banghua Yan and Chunhui Pan and Trevor Beck and Xin Jin and Likun Wang and Ding Liang and Lawrence Flynn and Junye Chen and Jingfeng Huang and Steven Buckner and Cheng{-}Zhi Zou and Ninghai Sun and Lin Lin and Alisa Young and Lihang Zhou and Wei Hao}, title = {New Reprocessing towards Life-Time Quality-Consistent Suomi {NPP} {OMPS} Nadir Sensor Data Records {(SDR):} Calibration Improvements and Impact Assessments on Long-Term Quality Stability of {OMPS} {SDR} Data Sets}, journal = {Remote. Sens.}, volume = {14}, number = {13}, pages = {3125}, year = {2022}, url = {https://doi.org/10.3390/rs14133125}, doi = {10.3390/RS14133125}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YanPBJ0LFCHBZS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuLDCLL22, author = {Hsiang{-}Wei Hu and Chih{-}Hao Liu and Yi{-}Chun Du and Kuan{-}Yu Chen and Hsuan{-}Ming Lin and Chou{-}Ching K. Lin}, title = {Real-Time Internet of Medical Things System for Detecting Blood Leakage during Hemodialysis Using a Novel Multiple Concentric Ring Sensor}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1988}, year = {2022}, url = {https://doi.org/10.3390/s22051988}, doi = {10.3390/S22051988}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuLDCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TienLTLLC22, author = {Ching{-}Ho Tien and Kuan{-}Lin Lee and Chun{-}Cheng Tao and Zhan{-}Qi Lin and Zi{-}Hao Lin and Lung{-}Chien Chen}, title = {Two-Dimensional (PEA)2PbBr4 Perovskites Sensors for Highly Sensitive Ethanol Vapor Detection}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8155}, year = {2022}, url = {https://doi.org/10.3390/s22218155}, doi = {10.3390/S22218155}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TienLTLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangLWWLHHCCLLW22, author = {Yen{-}Hsiang Wang and Kuan{-}Chieh Lee and Wen{-}Chun Wei and Chung{-}Huang Wang and Hao{-}Jie Liu and Jia{-}Rong Hou and Tien{-}Chen Hsieh and Ju{-}Kai Chen and Ting{-}Yuan Chen and Shien{-}Kuei Liaw and Choa{-}Feng Lin and Chin{-}Cheng Wu and Jen{-}Jie Chieh and Chin{-}Hung Chang}, title = {Hybrid Vibration and {UV} Fluorescence Technology for Rapid Imaging and Guidance for Manual Removal of Fish Bones from Fish Floss}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8978}, year = {2022}, url = {https://doi.org/10.3390/s22228978}, doi = {10.3390/S22228978}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangLWWLHHCCLLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/HuangHZLL22, author = {Haojing Huang and Peijie Huang and Zhanbiao Zhu and Jia Li and Piyuan Lin}, title = {{CLID:} {A} Chunk-Level Intent Detection Framework for Multiple Intent Spoken Language Understanding}, journal = {{IEEE} Signal Process. Lett.}, volume = {29}, pages = {2123--2127}, year = {2022}, url = {https://doi.org/10.1109/LSP.2022.3211156}, doi = {10.1109/LSP.2022.3211156}, timestamp = {Mon, 22 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/HuangHZLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/SyuLS22, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Gautam Srivastava}, title = {Call Auction-Based Energy Management System with Adaptive Subsidy and Dynamic Operating Reserve}, journal = {Sustain. Comput. Informatics Syst.}, volume = {36}, pages = {100786}, year = {2022}, url = {https://doi.org/10.1016/j.suscom.2022.100786}, doi = {10.1016/J.SUSCOM.2022.100786}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/SyuLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/HungLHCLHT0HYC22, author = {Min{-}Hsiung Hung and Yu{-}Chuan Lin and Hung{-}Chang Hsiao and Chao{-}Chun Chen and Kuan{-}Chou Lai and Yu{-}Ming Hsieh and Hao Tieng and Tsung{-}Han Tsai and Hsien{-}Cheng Huang and Haw Ching Yang and Fan{-}Tien Cheng}, title = {A Novel Implementation Framework of Digital Twins for Intelligent Manufacturing Based on Container Technology and Cloud Manufacturing Services}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1614--1630}, year = {2022}, url = {https://doi.org/10.1109/TASE.2022.3143832}, doi = {10.1109/TASE.2022.3143832}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/HungLHCLHT0HYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChiuDLCWHLCLTCL22, author = {Ching{-}Te Chiu and Yu{-}Chun Ding and Wei{-}Chen Lin and Wei{-}Jyun Chen and Shu{-}Yun Wu and Chao{-}Tsung Huang and Chun{-}Yeh Lin and Chia{-}Yu Chang and Meng{-}Jui Lee and Shimazu Tatsunori and Tsung Chen and Fan{-}Yi Lin and Yuan{-}Hao Huang}, title = {Chaos LiDAR Based {RGB-D} Face Classification System With Embedded {CNN} Accelerator on FPGAs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {12}, pages = {4847--4859}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3190430}, doi = {10.1109/TCSI.2022.3190430}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ChiuDLCWHLCLTCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/JiangZWQSZZZ22, author = {Hao Jiang and Fei Zhan and Congtao Wang and Jianfeng Qiu and Yansen Su and Chunhou Zheng and Xingyi Zhang and Xiangxiang Zeng}, title = {A Robust Algorithm Based on Link Label Propagation for Identifying Functional Modules From Protein-Protein Interaction Networks}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {19}, number = {3}, pages = {1435--1448}, year = {2022}, url = {https://doi.org/10.1109/TCBB.2020.3038815}, doi = {10.1109/TCBB.2020.3038815}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/JiangZWQSZZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/XiongZDRYCCCC22, author = {Chunlin Xiong and Tiantian Zhu and Weihao Dong and Linqi Ruan and Runqing Yang and Yueqiang Cheng and Yan Chen and Shuai Cheng and Xutong Chen}, title = {Conan: {A} Practical Real-Time {APT} Detection System With High Accuracy and Efficiency}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {19}, number = {1}, pages = {551--565}, year = {2022}, url = {https://doi.org/10.1109/TDSC.2020.2971484}, doi = {10.1109/TDSC.2020.2971484}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/XiongZDRYCCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/WuSLH22, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Effective Fuzzy System for Qualifying the Characteristics of Stocks by Random Trading}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {30}, number = {8}, pages = {3152--3165}, year = {2022}, url = {https://doi.org/10.1109/TFUZZ.2021.3105192}, doi = {10.1109/TFUZZ.2021.3105192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/WuSLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinZLMC22, author = {Fanfan Lin and Xin Zhang and Xinze Li and Hao Ma and Chunwei Cai}, title = {Design of Symmetrical CLLC-Resonant {DC} Transformer Considering Voltage Transfer Ratio and Cascaded System Stability}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {1}, pages = {463--474}, year = {2022}, url = {https://doi.org/10.1109/TIE.2020.3048283}, doi = {10.1109/TIE.2020.3048283}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinZLMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/WangLHM22, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Cross-Modal Graph With Meta Concepts for Video Captioning}, journal = {{IEEE} Trans. Image Process.}, volume = {31}, pages = {5150--5162}, year = {2022}, url = {https://doi.org/10.1109/TIP.2022.3192709}, doi = {10.1109/TIP.2022.3192709}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/WangLHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LiuLL0G22, author = {Haojie Liu and Kang Liao and Chunyu Lin and Yao Zhao and Yulan Guo}, title = {Pseudo-LiDAR Point Cloud Interpolation Based on 3D Motion Representation and Spatial Supervision}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {7}, pages = {6379--6389}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3056048}, doi = {10.1109/TITS.2021.3056048}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/LiuLL0G22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LinZWYLLK22, author = {Hsiu{-}Hsia Lin and Tianyi Zhang and Yu{-}Chieh Wang and Chao{-}Tung Yang and Lun{-}Jou Lo and Chun{-}Hao Liao and Shih{-}Ku Kuang}, title = {A system for quantifying facial symmetry from 3D contour maps based on transfer learning and fast {R-CNN}}, journal = {J. Supercomput.}, volume = {78}, number = {14}, pages = {15953--15973}, year = {2022}, url = {https://doi.org/10.1007/s11227-022-04502-7}, doi = {10.1007/S11227-022-04502-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LinZWYLLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/JiaJKL22, author = {Haoge Jia and Chunxiao Jiang and Linling Kuang and Jianhua Lu}, title = {Adaptive Access Control and Resource Allocation for Random Access in {NGSO} Satellite Networks}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {4}, pages = {2721--2733}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2022.3168988}, doi = {10.1109/TNSE.2022.3168988}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/JiaJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HsuLGLL22, author = {Hao{-}Wei Hsu and Ming{-}Chun Lee and Meng{-}Xun Gu and Yu{-}Chien Lin and Ta{-}Sung Lee}, title = {Analysis and Design for Pilot Power Allocation and Placement in {OFDM} Based Integrated Radar and Communication in Automobile Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {2}, pages = {1519--1535}, year = {2022}, url = {https://doi.org/10.1109/TVT.2021.3131225}, doi = {10.1109/TVT.2021.3131225}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HsuLGLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/ChienULWSBL22, author = {Woan{-}Shiuan Chien and Shreya G. Upadhyay and Wei{-}Cheng Lin and Ya{-}Tse Wu and Bo{-}Hao Su and Carlos Busso and Chi{-}Chun Lee}, title = {Monologue versus Conversation: Differences in Emotion Perception and Acoustic Expressivity}, booktitle = {10th International Conference on Affective Computing and Intelligent Interaction, {ACII} 2022, Nara, Japan, October 18-21, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ACII55700.2022.9953814}, doi = {10.1109/ACII55700.2022.9953814}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/ChienULWSBL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChiGSDLX22, author = {Yang Chi and Fausto Giunchiglia and Daqian Shi and Xiaolei Diao and Chuntao Li and Hao Xu}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {ZiNet: Linking Chinese Characters Spanning Three Thousand Years}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {3061--3070}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.242}, doi = {10.18653/V1/2022.FINDINGS-ACL.242}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChiGSDLX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsiaoYHZTCCLH22, author = {Chiu{-}Han Hsiao and Po{-}Chun Yu and Chia{-}Ying Hsieh and Bing{-}Zi Zhong and Yu{-}Ling Tsai and Hao{-}Min Cheng and Wei{-}Lun Chang and Frank Yeong{-}Sung Lin and Yennun Huang}, editor = {Leonard Barolli and Farookh Hussain and Tomoya Enokido}, title = {A Machine Learning-Based Model for Predicting the Risk of Cardiovascular Disease}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 36th International Conference on Advanced Information Networking and Applications (AINA-2022), Sydney, NSW, Australia, 13-15 April 2022, Volume 1}, series = {Lecture Notes in Networks and Systems}, volume = {449}, pages = {364--374}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-99584-3\_32}, doi = {10.1007/978-3-030-99584-3\_32}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/HsiaoYHZTCCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/CupekLS22, author = {Rafal Cupek and Jerry Chun{-}Wei Lin and Jia{-}Hao Syu}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Automated Guided Vehicles Challenges for Artificial Intelligence}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6281--6289}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10021117}, doi = {10.1109/BIGDATA55660.2022.10021117}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/CupekLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/SyuLM22, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Dariusz Mrozek}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {An Efficient and Secured Energy Management System for Automated Guided Vehicles}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6357--6363}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020806}, doi = {10.1109/BIGDATA55660.2022.10020806}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/SyuLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/SyuLY22, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Philip S. Yu}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Double-Environmental Q-Learning for Energy Management System in Smart Grid}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6364--6370}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020209}, doi = {10.1109/BIGDATA55660.2022.10020209}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/SyuLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/TsengCLW0KYC22, author = {Chun{-}Miao Tseng and Po Yu Chen and Shih{-}Chin Lin and Yu{-}Wei Wang and Yu{-}Hsin Lin and Mu{-}An Kuo and Neng{-}Hao Yu and Mike Y. Chen}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {HeadWind: Enhancing Teleportation Experience in {VR} by Simulating Air Drag during Rapid Motion}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {518:1--518:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3501890}, doi = {10.1145/3491102.3501890}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/TsengCLW0KYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crypto/AustrinCCFLM22, author = {Per Austrin and Hao Chung and Kai{-}Min Chung and Shiuan Fu and Yao{-}Ting Lin and Mohammad Mahmoody}, editor = {Yevgeniy Dodis and Thomas Shrimpton}, title = {On the Impossibility of Key Agreements from Quantum Random Oracles}, booktitle = {Advances in Cryptology - {CRYPTO} 2022 - 42nd Annual International Cryptology Conference, {CRYPTO} 2022, Santa Barbara, CA, USA, August 15-18, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13508}, pages = {165--194}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15979-4\_6}, doi = {10.1007/978-3-031-15979-4\_6}, timestamp = {Wed, 19 Oct 2022 17:37:44 +0200}, biburl = {https://dblp.org/rec/conf/crypto/AustrinCCFLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ChungLWCCJLWC22, author = {Chih{-}Chi Chung and Yen{-}Chun Lin and Yu{-}Cheng Wang and Tze{-}Yu Chen and Chia{-}Yu Chen and Xinye Jiang and Fang{-}Yu Lin and Yu{-}Hao Weng and Yung{-}Ju Chang}, editor = {Gary Hsieh and Anthony Tang and Morgan G. Ames and Sharon Ding and Susan R. Fussell and Vera Liao and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and John Tang}, title = {{CAMPUS:} {A} University Crowdsourcing Platform for Reporting Facility, Status Update, and Problem Area Information}, booktitle = {Companion Computer Supported Cooperative Work and Social Computing, {CSCW} 2022, Virtual Event, Taiwan, November 8-22, 2022}, pages = {59--62}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3500868.3559447}, doi = {10.1145/3500868.3559447}, timestamp = {Sat, 30 Sep 2023 09:37:53 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ChungLWCCJLWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ErshovSSBBTKFTB22, author = {Egor I. Ershov and Alexey Savchik and Denis A. Shepelev and Nikola Banic and Michael S. Brown and Radu Timofte and Karlo Koscevic and Michael Freeman and Vasily Tesalin and Dmitry Bocharov and Illya Semenkov and Marko Subasic and Sven Loncaric and Arseniy P. Terekhin and Shuai Liu and Chaoyu Feng and Hao Wang and Ran Zhu and Yongqiang Li and Lei Lei and Zhihao Li and Si Yi and Linghao Han and Ruiqi Wu and Xin Jin and Chunle Guo and Furkan Kinli and Sami Mentes and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Simone Zini and Claudio Rota and Marco Buzzelli and Simone Bianco and Raimondo Schettini and Wei Li and Yipeng Ma and Tao Wang and Ruikang Xu and Fenglong Song and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Hua{-}En Chang and Sy{-}Yen Kuo and Zhexin Liang and Shangchen Zhou and Ruicheng Feng and Chongyi Li and Xiangyu Chen and Binbin Song and Shile Zhang and Lin Liu and Zhendong Wang and Dohoon Ryu and Hyokyoung Bae and Taesung Kwon and Chaitra Desai and Nikhil Akalwadi and Amogh Joshi and Chinmayee Mandi and Sampada Malagi and Akash Uppin and Sai Sudheer Reddy and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi}, title = {{NTIRE} 2022 Challenge on Night Photography Rendering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1286--1299}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00135}, doi = {10.1109/CVPRW56347.2022.00135}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ErshovSSBBTKFTB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YangTZXQXJLCBZF22, author = {Ren Yang and Radu Timofte and Meisong Zheng and Qunliang Xing and Minglang Qiao and Mai Xu and Lai Jiang and Huaida Liu and Ying Chen and Youcheng Ben and Xiao Zhou and Chen Fu and Pei Cheng and Gang Yu and Junyi Li and Renlong Wu and Zhilu Zhang and Wei Shang and Zhengyao Lv and Yunjin Chen and Mingcai Zhou and Dongwei Ren and Kai Zhang and Wangmeng Zuo and Pavel Ostyakov and Dmitry Vyal and Shakarim Soltanayev and Chervontsev Sergey and Magauiya Zhussip and Xueyi Zou and Youliang Yan and Pablo Navarrete Michelini and Yunhua Lu and Diankai Zhang and Shaoli Liu and Si Gao and Biao Wu and Chengjian Zheng and Xiaofeng Zhang and Kaidi Lu and Ning Wang and Thuong Nguyen Canh and Thong Bach and Qing Wang and Xiaopeng Sun and Haoyu Ma and Shijie Zhao and Junlin Li and Liangbin Xie and Shuwei Shi and Yujiu Yang and Xintao Wang and Jinjin Gu and Chao Dong and Xiaodi Shi and Chunmei Nian and Dong Jiang and Jucai Lin and Zhihuai Xie and Mao Ye and Dengyan Luo and Liuhan Peng and Shengjie Chen and Xin Liu and Qian Wang and Boyang Liang and Hang Dong and Yuhao Huang and Kai Chen and Xingbei Guo and Yujing Sun and Huilei Wu and Pengxu Wei and Yulin Huang and Junying Chen and Ik Hyun Lee and Sunder Ali Khowaja and Jiseok Yoon}, title = {{NTIRE} 2022 Challenge on Super-Resolution and Quality Enhancement of Compressed Video: Dataset, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1220--1237}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00129}, doi = {10.1109/CVPRW56347.2022.00129}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YangTZXQXJLCBZF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChaiZRKXZYB22, author = {Zenghao Chai and Haoxian Zhang and Jing Ren and Di Kang and Zhengzhuo Xu and Xuefei Zhe and Chun Yuan and Linchao Bao}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{REALY:} Rethinking the Evaluation of 3D Face Reconstruction}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13668}, pages = {74--92}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20074-8\_5}, doi = {10.1007/978-3-031-20074-8\_5}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ChaiZRKXZYB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/GaoZLCSLS22, author = {Yuting Gao and Jia{-}Xin Zhuang and Shaohui Lin and Hao Cheng and Xing Sun and Ke Li and Chunhua Shen}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {DisCo: Remedying Self-supervised Learning on Lightweight Models with Distilled Contrastive Learning}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXVI}}, series = {Lecture Notes in Computer Science}, volume = {13686}, pages = {237--253}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19809-0\_14}, doi = {10.1007/978-3-031-19809-0\_14}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/GaoZLCSLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LeeWHYTW22, author = {Chang{-}Shing Lee and Mei{-}Hui Wang and Sheng{-}Hui Huang and Fu{-}Jie Yang and Chun{-}Hao Tsai and Ling{-}Qing Wang}, title = {Fuzzy Ontology-based Intelligent Agent for High-School Student Learning in {AI-FML} Metaverse}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FUZZ-IEEE55066.2022.9882549}, doi = {10.1109/FUZZ-IEEE55066.2022.9882549}, timestamp = {Wed, 21 Sep 2022 17:47:58 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LeeWHYTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/SyuLWH22, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Chi{-}Jen Wu and Jan{-}Ming Ho}, title = {Fuzzy-Based Stock Selection System through Suitability Index and Position Sizing}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FUZZ-IEEE55066.2022.9882666}, doi = {10.1109/FUZZ-IEEE55066.2022.9882666}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/SyuLWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/MaLWYC22, author = {Shih{-}Yu Ma and Cong{-}Min Lin and Chung{-}Wei Wang and Neng{-}Hao Yu and Mike Y. Chen}, title = {InertiaVibe: Low-fidelity Simulation of Inertia using Head-mounted Vibrotactile Feedback to Reduce Cybersickness and Enhance {VR} Experience}, booktitle = {Adjunct Proceedings of the 2022 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and the 2022 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2022, Cambridge, United Kingdom, September 11-15, 2022}, pages = {510--514}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544793.3561319}, doi = {10.1145/3544793.3561319}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/MaLWYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbdt/LinLL22, author = {Chunxin Lin and Ying Li and Haoran Li}, title = {{STNCN:} {A} New Method for Traffic Flow Prediction Based on the Node Correlation}, booktitle = {5th International Conference on Big Data Technologies, {ICBDT} 2022, Qingdao, China, September 23-25, 2022}, pages = {320--326}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565291.3565343}, doi = {10.1145/3565291.3565343}, timestamp = {Thu, 29 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbdt/LinLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengW0L22, author = {Wei Cheng and Chun{-}Feng Wu and Yuan{-}Hao Chang and Ing{-}Chao Lin}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {GraphRC: Accelerating Graph Processing on Dual-Addressing Memory with Vertex Merging}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {21:1--21:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549408}, doi = {10.1145/3508352.3549408}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengW0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChiangCCLLDCDT22, author = {Ting{-}Hui Chiang and Chun{-}Hao Chang and Li{-}Hsin Chen and Chun{-}Ju Lin and An{-}Chun Luo and Yu{-}Shan Deng and Po{-}Han Chang and Ming{-}Ji Dai and Yu{-}Chee Tseng}, title = {Image Blending Methods for Defective {PCB} Image Generation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {261--262}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869213}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869213}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChiangCCLLDCDT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ZengYPKLT22, author = {Wen{-}Xuan Zeng and Wen{-}Hsuan Yang and Chun{-}Lin Pan and Ju{-}Chun Ko and Chi{-}Hao Lung and Hsiao{-}Yue Tsao}, title = {{WANA} Wallet: The Application Design of Currency Wallets with Transaction Entertainment and Socialization Capital and Display Functions}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {537--538}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9868984}, doi = {10.1109/ICCE-TAIWAN55306.2022.9868984}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ZengYPKLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/HaoCY22, author = {Fating Hao and Guilin Chen and Chunyan Yu}, editor = {Wenxing Hong and Yang Weng}, title = {Research on Co-construction and Sharing Mode of Curriculum Resources Based on Limited Crowdsourcing and On-Demand Monopoly}, booktitle = {Computer Science and Education - 17th International Conference, {ICCSE} 2022, Ningbo, China, August 18-21, 2022, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1812}, pages = {210--221}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-99-2446-2\_20}, doi = {10.1007/978-981-99-2446-2\_20}, timestamp = {Wed, 12 Jul 2023 10:21:27 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/HaoCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/LinHCSPC22, author = {Wen{-}Yen Lin and Tien{-}Chi Huang and Hao{-}Chun Chang and Jun{-}Xiang Soh and Hao{-}Lun Peng and Pei{-}Ling Chien}, editor = {Yueh{-}Min Huang and Shu{-}Chen Cheng and Jo{\~{a}}o Barroso and Frode Eika Sandnes}, title = {Study on the Learning Effect of "In-Depth Guidance Strategy" Combined with "Online Digital Teaching Materials" in Multimedia Integrated System Course}, booktitle = {Innovative Technologies and Learning - 5th International Conference, {ICITL} 2022, Virtual Event, August 29-31, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13449}, pages = {398--404}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15273-3\_44}, doi = {10.1007/978-3-031-15273-3\_44}, timestamp = {Fri, 02 Sep 2022 10:50:11 +0200}, biburl = {https://dblp.org/rec/conf/icitl/LinHCSPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/YenHTLC22, author = {Chih{-}Feng Yen and Yu{-}Ya Huang and Shen{-}Hao Tsao and Shih{-}Hao Lin and Chun{-}Hu Cheng}, title = {Influence of {Y2O3} Doped HfO2 High-k Films on Electrical Properties of {MOS} and {MIM} Devices}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {225--229}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983564}, doi = {10.1109/ICKII55100.2022.9983564}, timestamp = {Wed, 04 Jan 2023 16:48:28 +0100}, biburl = {https://dblp.org/rec/conf/ickii/YenHTLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChaoSCLCLCCL22, author = {Chen{-}Hao Chao and Wei{-}Fang Sun and Bo{-}Wun Cheng and Yi{-}Chen Lo and Chia{-}Che Chang and Yu{-}Lun Liu and Yu{-}Lin Chang and Chia{-}Ping Chen and Chun{-}Yi Lee}, title = {Denoising Likelihood Score Matching for Conditional Score-based Data Generation}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=LcF-EEt8cCC}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChaoSCLCLCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/HsuLNKTLCZ22, author = {Po{-}Hao Hsu and Che{-}Tsung Lin and Chun Chet Ng and Jie{-}Long Kew and Mei Yih Tan and Shang{-}Hong Lai and Chee Seng Chan and Christopher Zach}, title = {Extremely Low-Light Image Enhancement with Scene Text Restoration}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {317--323}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956716}, doi = {10.1109/ICPR56361.2022.9956716}, timestamp = {Thu, 01 Dec 2022 13:56:03 +0100}, biburl = {https://dblp.org/rec/conf/icpr/HsuLNKTLCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/ChenYL22, author = {Chun{-}Hao Chen and Yi{-}Chen Yang and Jerry Chun{-}Wei Lin}, editor = {Hamido Fujita and Philippe Fournier{-}Viger and Moonis Ali and Yinglin Wang}, title = {An Optimization Algorithm for Extractive Multi-document Summarization Based on Association of Sentences}, booktitle = {Advances and Trends in Artificial Intelligence. Theory and Practices in Artificial Intelligence - 35th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2022, Kitakyushu, Japan, July 19-22, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13343}, pages = {460--469}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08530-7\_39}, doi = {10.1007/978-3-031-08530-7\_39}, timestamp = {Fri, 02 Sep 2022 18:51:53 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/ChenYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/ChangCL22, author = {Chun{-}Hsiang Chang and Hao{-}Wei Chen and Chun{-}Cheng Lin}, title = {Detecting Multiclass Defects of Printed Circuit Boards in the Molded-interconnect-device Manufacturing Process Using Deep Object Detection Networks}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2022, Kuala Lumpur, Malaysia, December 7-10, 2022}, pages = {1536--1540}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEM55944.2022.9989934}, doi = {10.1109/IEEM55944.2022.9989934}, timestamp = {Thu, 05 Jan 2023 17:26:21 +0100}, biburl = {https://dblp.org/rec/conf/ieem/ChangCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/SunD00XHCWC22, author = {Yifei Sun and Haoran Deng and Yang Yang and Chunping Wang and Jiarong Xu and Renhong Huang and Linfeng Cao and Yang Wang and Lei Chen}, editor = {Luc De Raedt}, title = {Beyond Homophily: Structure-aware Path Aggregation Graph Neural Network}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {2233--2240}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/310}, doi = {10.24963/IJCAI.2022/310}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/SunD00XHCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LinTLLHLWL22, author = {Yu{-}Hsuan Lin and Po{-}Hao Tseng and Feng{-}Min Lee and Ming{-}Hsiu Lee and Chih{-}Chang Hsieh and Dai{-}Ying Lee and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {{NOR} Flash-based Multilevel In-Memory-Searching Architecture for Approximate Computing}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779250}, doi = {10.1109/IMW52921.2022.9779250}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LinTLLHLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangSHL22, author = {Yu{-}Lin Huang and Bo{-}Hao Su and Y.{-}W. Peter Hong and Chi{-}Chun Lee}, editor = {Hanseok Ko and John H. L. Hansen}, title = {An Attention-Based Method for Guiding Attribute-Aligned Speech Representation Learning}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {5030--5034}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-10419}, doi = {10.21437/INTERSPEECH.2022-10419}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangSHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/GuiZLF22, author = {Linqiu Gui and Chunnian Zeng and Jie Luo and Haotian Feng}, editor = {Hong Yuan and Dongyan Wei and Wen Li and Antoni P{\'{e}}rez{-}Navarro}, title = {Prior Map Aided LiDAR-Based Localization Framework via Factor Graph}, booktitle = {WiP Proceedings of the Twelfth International Conference on Indoor Positioning and Indoor Navigation - Work-in-Progress Papers (IPIN-WiP 2022) co-located with 12th International Conference on Indoor Positioning and Indoor Navigation {(IPIN} 2022), Beijing, China, 5 September - 7 September, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3248}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3248/paper8.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:10 +0100}, biburl = {https://dblp.org/rec/conf/ipin/GuiZLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SungFLCDYWL22, author = {Cheng{-}Lin Sung and Sheng{-}Ting Fan and Hang{-}Ting Lue and Wei{-}Chen Chen and Pei{-}Ying Du and Teng{-}Hao Yeh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {First Experimental Study of Floating-Body Cell Transient Reliability Characteristics of Both {N-} and P-Channel Vertical Gate-All-Around Devices with Split-Gate Structures}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764454}, doi = {10.1109/IRPS48227.2022.9764454}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/SungFLCDYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSH22, author = {Tsung{-}Lin Wu and Chung{-}An Shen and Yuan{-}Hao Huang}, title = {Tensor-Based Hybrid Precoding Processor for 8 {\texttimes} 8 {\texttimes} 8 mmWave 3D-MIMO Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {2167--2171}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937331}, doi = {10.1109/ISCAS48785.2022.9937331}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WangLHM22, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {Paired Cross-Modal Data Augmentation for Fine-Grained Image-to-Text Retrieval}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {5517--5526}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3547809}, doi = {10.1145/3503161.3547809}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/WangLHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZhuHZPLS0SDDZZZ22, author = {Yongjie Zhu and Chunhui Han and Yuefeng Zhan and Bochen Pang and Zhaoju Li and Hao Sun and Si Li and Boxin Shi and Nan Duan and Weiwei Deng and Ruofei Zhang and Liangjie Zhang and Qi Zhang}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {AdsCVLR: Commercial Visual-Linguistic Representation Modeling in Sponsored Search}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {444--452}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3548226}, doi = {10.1145/3503161.3548226}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ZhuHZPLS0SDDZZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HsiehLCWH22, author = {Hsin{-}Yi Hsieh and Chung{-}Hao Lin and Po{-}Chou Chen and Wei{-}Ko Wang and Chin{-}Chuan Hsieh}, title = {Nanowell-based Nano/Micropolarizer Array Biochip for Super-Resolution Imaging}, booktitle = {17th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2022, Taoyuan, Taiwan, April 14-17, 2022}, pages = {196--200}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NEMS54180.2022.9791091}, doi = {10.1109/NEMS54180.2022.9791091}, timestamp = {Wed, 22 Jun 2022 15:24:48 +0200}, biburl = {https://dblp.org/rec/conf/nems/HsiehLCWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChengL0WKLL22, author = {Chih{-}Hsien Cheng and Shao{-}Yung Lee and Xin Chen and Chia{-}Hsuan Wang and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {Beyond 66-Gbps Error-Free {NRZ-OOK} Encoded Dual-Mode {VCSEL} Back-to-Back Data Link}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850167}, doi = {10.23919/OECC/PSC53152.2022.9850167}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChengL0WKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/Zheng0YLLZDC22, author = {Hao Zheng and Chen Tian and Tong Yang and Huiping Lin and Chang Liu and Zhaochen Zhang and Wanchun Dou and Guihai Chen}, editor = {Fernando Kuipers and Ariel Orda}, title = {FlyMon: enabling on-the-fly task reconfiguration for network measurement}, booktitle = {{SIGCOMM} '22: {ACM} {SIGCOMM} 2022 Conference, Amsterdam, The Netherlands, August 22 - 26, 2022}, pages = {486--502}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544216.3544239}, doi = {10.1145/3544216.3544239}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/Zheng0YLLZDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TsengLLBLLHWL22, author = {Po{-}Hao Tseng and Yu{-}Hsuan Lin and Feng{-}Ming Lee and Tian{-}Cig Bo and Yung{-}Chun Li and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {In-Memory Approximate Computing Architecture Based on 3D-NAND Flash Memories}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {270--271}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830405}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830405}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/TsengLLBLLHWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WangLLCTY22, author = {Chuei{-}Tang Wang and Chia{-}Chai Lin and Chih{-}Hsin Lu and Wei{-}Ting Chen and Chung{-}Hao Tsai and Douglas C. H. Yu}, title = {SoIC{\_}H Technology for Heterogenous System Integration}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {258--259}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830182}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830182}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WangLLCTY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/PengHL022, author = {Haoran Peng and Cheng{-}Yuan Ho and Yen{-}Ting Lin and Li{-}Chun Wang}, title = {Energy-Efficient Symbiotic Radio Using Generalized Benders Decomposition}, booktitle = {96th Vehicular Technology Conference, {VTC} Fall 2022, London, United Kingdom, September 26-29, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Fall57202.2022.10013073}, doi = {10.1109/VTC2022-FALL57202.2022.10013073}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/PengHL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/TsengLLC22, author = {Fan{-}Shuo Tseng and Chun{-}Tao Lin and Wei{-}Lun Lin and Hao Chung}, title = {A Novel Common Beamforming and Superposition Coding Design for Massive {MISO-NOMA} Systems}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2022, Austin, TX, USA, April 10-13, 2022}, pages = {1016--1020}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WCNC51071.2022.9771627}, doi = {10.1109/WCNC51071.2022.9771627}, timestamp = {Tue, 24 May 2022 15:39:22 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/TsengLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/WeiLHL22, author = {Hao{-}Ting Wei and Chung{-}Shou Liao and Sung{-}Hsien Hsieh and Chun{-}Shien Lu}, title = {Dynamic Network Tomography with Sparse Recovery-based Link Delay Estimation}, booktitle = {8th {IEEE} World Forum on Internet of Things, WF-IoT 2022, Yokohama, Japan, October 26 - Nov. 11, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WF-IoT54382.2022.10152166}, doi = {10.1109/WF-IOT54382.2022.10152166}, timestamp = {Wed, 28 Jun 2023 15:42:23 +0200}, biburl = {https://dblp.org/rec/conf/wf-iot/WeiLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-03606, author = {Tong Geng and Chunshu Wu and Yongan Zhang and Cheng Tan and Chenhao Xie and Haoran You and Martin C. Herbordt and Yingyan Lin and Ang Li}, title = {{I-GCN:} {A} Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization}, journal = {CoRR}, volume = {abs/2203.03606}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.03606}, doi = {10.48550/ARXIV.2203.03606}, eprinttype = {arXiv}, eprint = {2203.03606}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-03606.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-09729, author = {Zenghao Chai and Haoxian Zhang and Jing Ren and Di Kang and Zhengzhuo Xu and Xuefei Zhe and Chun Yuan and Linchao Bao}, title = {{REALY:} Rethinking the Evaluation of 3D Face Reconstruction}, journal = {CoRR}, volume = {abs/2203.09729}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.09729}, doi = {10.48550/ARXIV.2203.09729}, eprinttype = {arXiv}, eprint = {2203.09729}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-09729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14206, author = {Chen{-}Hao Chao and Wei{-}Fang Sun and Bo{-}Wun Cheng and Yi{-}Chen Lo and Chia{-}Che Chang and Yu{-}Lun Liu and Yu{-}Lin Chang and Chia{-}Ping Chen and Chun{-}Yi Lee}, title = {Denoising Likelihood Score Matching for Conditional Score-based Data Generation}, journal = {CoRR}, volume = {abs/2203.14206}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14206}, doi = {10.48550/ARXIV.2203.14206}, eprinttype = {arXiv}, eprint = {2203.14206}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00630, author = {Po{-}Hao Hsu and Che{-}Tsung Lin and Chun Chet Ng and Jie{-}Long Kew and Mei Yih Tan and Shang{-}Hong Lai and Chee Seng Chan and Christopher Zach}, title = {Extremely Low-light Image Enhancement with Scene Text Restoration}, journal = {CoRR}, volume = {abs/2204.00630}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00630}, doi = {10.48550/ARXIV.2204.00630}, eprinttype = {arXiv}, eprint = {2204.00630}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00630.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09314, author = {Ren Yang and Radu Timofte and Meisong Zheng and Qunliang Xing and Minglang Qiao and Mai Xu and Lai Jiang and Huaida Liu and Ying Chen and Youcheng Ben and Xiao Zhou and Chen Fu and Pei Cheng and Gang Yu and Junyi Li and Renlong Wu and Zhilu Zhang and Wei Shang and Zhengyao Lv and Yunjin Chen and Mingcai Zhou and Dongwei Ren and Kai Zhang and Wangmeng Zuo and Pavel Ostyakov and Dmitry Vyal and Shakarim Soltanayev and Chervontsev Sergey and Magauiya Zhussip and Xueyi Zou and Youliang Yan and Pablo Navarrete Michelini and Yunhua Lu and Diankai Zhang and Shaoli Liu and Si Gao and Biao Wu and Chengjian Zheng and Xiaofeng Zhang and Kaidi Lu and Ning Wang and Thuong Nguyen Canh and Thong Bach and Qing Wang and Xiaopeng Sun and Haoyu Ma and Shijie Zhao and Junlin Li and Liangbin Xie and Shuwei Shi and Yujiu Yang and Xintao Wang and Jinjin Gu and Chao Dong and Xiaodi Shi and Chunmei Nian and Dong Jiang and Jucai Lin and Zhihuai Xie and Mao Ye and Dengyan Luo and Liuhan Peng and Shengjie Chen and Xin Liu and Qian Wang and Xin Liu and Boyang Liang and Hang Dong and Yuhao Huang and Kai Chen and Xingbei Guo and Yujing Sun and Huilei Wu and Pengxu Wei and Yulin Huang and Junying Chen and Ik Hyun Lee and Sunder Ali Khowaja and Jiseok Yoon}, title = {{NTIRE} 2022 Challenge on Super-Resolution and Quality Enhancement of Compressed Video: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2204.09314}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09314}, doi = {10.48550/ARXIV.2204.09314}, eprinttype = {arXiv}, eprint = {2204.09314}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09248, author = {Yuxuan Ding and Lingqiao Liu and Chunna Tian and Jingyuan Yang and Haoxuan Ding}, title = {Don't Stop Learning: Towards Continual Learning for the {CLIP} Model}, journal = {CoRR}, volume = {abs/2207.09248}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09248}, doi = {10.48550/ARXIV.2207.09248}, eprinttype = {arXiv}, eprint = {2207.09248}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09248.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10201, author = {Lingfeng Wang and Haocheng Li and Chunyin Liu}, title = {Hybrid CNN-Transformer Model For Facial Affect Recognition In the {ABAW4} Challenge}, journal = {CoRR}, volume = {abs/2207.10201}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10201}, doi = {10.48550/ARXIV.2207.10201}, eprinttype = {arXiv}, eprint = {2207.10201}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-14425, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {3D Cartoon Face Generation with Controllable Expressions from a Single {GAN} Image}, journal = {CoRR}, volume = {abs/2207.14425}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.14425}, doi = {10.48550/ARXIV.2207.14425}, eprinttype = {arXiv}, eprint = {2207.14425}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-14425.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-14428, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Paired Cross-Modal Data Augmentation for Fine-Grained Image-to-Text Retrieval}, journal = {CoRR}, volume = {abs/2207.14428}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.14428}, doi = {10.48550/ARXIV.2207.14428}, eprinttype = {arXiv}, eprint = {2207.14428}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-14428.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01604, author = {Yu{-}Jen Chen and Wei{-}Hsiang Shen and Hao{-}Wei Chung and Jing{-}Hao Chiu and Da{-}Cheng Juan and Tsung{-}Ying Ho and Chi{-}Tung Cheng and Meng{-}Lin Li and Tsung{-}Yi Ho}, title = {Representative Image Feature Extraction via Contrastive Learning Pretraining for Chest X-ray Report Generation}, journal = {CoRR}, volume = {abs/2209.01604}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01604}, doi = {10.48550/ARXIV.2209.01604}, eprinttype = {arXiv}, eprint = {2209.01604}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-00445, author = {Hao Wang and Guosheng Lin and Ana Garcia del Molino and Anran Wang and Zehuan Yuan and Chunyan Miao and Jiashi Feng}, title = {ManiCLIP: Multi-Attribute Face Manipulation from Text}, journal = {CoRR}, volume = {abs/2210.00445}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.00445}, doi = {10.48550/ARXIV.2210.00445}, eprinttype = {arXiv}, eprint = {2210.00445}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-00445.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08549, author = {Po{-}Han Hou and Hong{-}Chun Hou and Wei{-}Chih Lin and Yu{-}Hao Huang and Jih{-}Hong Shue}, title = {Automatic Emergency Dust-Free solution on-board International Space Station with Bi-GRU {(AED-ISS)}}, journal = {CoRR}, volume = {abs/2210.08549}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08549}, doi = {10.48550/ARXIV.2210.08549}, eprinttype = {arXiv}, eprint = {2210.08549}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09949, author = {Tzu{-}Quan Lin and Tsung{-}Huan Yang and Chun{-}Yao Chang and Kuang{-}Ming Chen and Tzu{-}hsun Feng and Hung{-}yi Lee and Hao Tang}, title = {Compressing Transformer-based self-supervised models for speech processing}, journal = {CoRR}, volume = {abs/2211.09949}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09949}, doi = {10.48550/ARXIV.2211.09949}, eprinttype = {arXiv}, eprint = {2211.09949}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-14834, author = {Yinlin Deng and Chunqiu Steven Xia and Haoran Peng and Chenyuan Yang and Lingming Zhang}, title = {Fuzzing Deep-Learning Libraries via Large Language Models}, journal = {CoRR}, volume = {abs/2212.14834}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.14834}, doi = {10.48550/ARXIV.2212.14834}, eprinttype = {arXiv}, eprint = {2212.14834}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-14834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/AustrinCCFLM22, author = {Per Austrin and Hao Chung and Kai{-}Min Chung and Shiuan Fu and Yao{-}Ting Lin and Mohammad Mahmoody}, title = {On the Impossibility of Key Agreements from Quantum Random Oracles}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {218}, year = {2022}, url = {https://eprint.iacr.org/2022/218}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/AustrinCCFLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChungC21, author = {Yi{-}Hao Chung and Yen{-}Lin Chen}, title = {Adaptive Vision-Based Method for Rotor Dynamic Balance System}, journal = {{IEEE} Access}, volume = {9}, pages = {22996--23006}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3055257}, doi = {10.1109/ACCESS.2021.3055257}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChungC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaiYWLLWCLZHWKH21, author = {Hong{-}Jie Dai and Yi{-}Hsin Connie Yang and Ti{-}Hao Wang and Yan{-}Jie Lin and Pin{-}Jou Lu and Chung{-}Yang Wu and Yu{-}Cheng Chang and You{-}Qian Lee and You{-}Chen Zhang and Yuan{-}Chi Hsu and Han{-}Hsiang Wu and Cheng{-}Rong Ke and Chih{-}Jen Huang and Yu{-}Tsang Wang and Sheau{-}Fang Yang and Kuan{-}Chung Hsiao and Ko{-}Jiunn Liu and Li{-}Tzong Chen and I{-}Shou Chang and K. S. Clifford Chao and Tsang{-}Wu Liu}, title = {Cancer Registry Coding via Hybrid Neural Symbolic Systems in the Cross-Hospital Setting}, journal = {{IEEE} Access}, volume = {9}, pages = {112081--112096}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3099175}, doi = {10.1109/ACCESS.2021.3099175}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DaiYWLLWCLZHWKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiLLCGL21, author = {Jinyuan Li and Yunong Liu and Yaosheng Li and Zhongyuan Chen and Chunsheng Guo and Hao Li}, title = {On-Line Measurement of Chip Temperature Based on Blocking Leakage Current of the Insulated-Gate Bipolar Transistor Module in the High-Temperature Reverse-Bias Test}, journal = {{IEEE} Access}, volume = {9}, pages = {87697--87705}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3057538}, doi = {10.1109/ACCESS.2021.3057538}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiLLCGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiYCYGDSWH21, author = {Tong Li and Chungang Yang and Lizhong Chang and Lingli Yang and Peng Gong and Haoxiang Dai and Jingyu Shen and Meng Wen and Zhu Han}, title = {Joint Power Control and Scheduling for High-Dynamic Multi-Hop {UAV} Communication: {A} Robust Mean Field Game}, journal = {{IEEE} Access}, volume = {9}, pages = {130649--130664}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113909}, doi = {10.1109/ACCESS.2021.3113909}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiYCYGDSWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChenWKCHCCWL21, author = {Li{-}Wei Chen and Wei{-}Chun Wang and Shao{-}Han Ko and Chien{-}Yu Chen and Chih{-}Ting Hsu and Fu{-}Ching Chiao and Tse{-}Wei Chen and Kai{-}Chiang Wu and Hao{-}Wu Lin}, title = {Highly Uniform All-Vacuum-Deposited Inorganic Perovskite Artificial Synapses for Reservoir Computing}, journal = {Adv. Intell. Syst.}, volume = {3}, number = {1}, pages = {2000196}, year = {2021}, url = {https://doi.org/10.1002/aisy.202000196}, doi = {10.1002/AISY.202000196}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChenWKCHCCWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChenWKCHCCWL21a, author = {Li{-}Wei Chen and Wei{-}Chun Wang and Shao{-}Han Ko and Chien{-}Yu Chen and Chih{-}Ting Hsu and Fu{-}Ching Chiao and Tse{-}Wei Chen and Kai{-}Chiang Wu and Hao{-}Wu Lin}, title = {Highly Uniform All-Vacuum-Deposited Inorganic Perovskite Artificial Synapses for Reservoir Computing}, journal = {Adv. Intell. Syst.}, volume = {3}, number = {1}, pages = {2170010}, year = {2021}, url = {https://doi.org/10.1002/aisy.202170010}, doi = {10.1002/AISY.202170010}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChenWKCHCCWL21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WuSLH21, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Portfolio management system in equity market neutral using reinforcement learning}, journal = {Appl. Intell.}, volume = {51}, number = {11}, pages = {8119--8131}, year = {2021}, url = {https://doi.org/10.1007/s10489-021-02262-0}, doi = {10.1007/S10489-021-02262-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/WuSLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/ChenCWLC21, author = {Mao{-}Lin Chen and Chun{-}Yen Chen and Chien{-}Hung Wen and Pin{-}Hao Liao and Kai{-}Jung Chen}, title = {Advanced Proportional-Integral-Derivative Control Compensation Based on a Grey Estimated Model in Dynamic Balance of Single-Wheeled Robot}, journal = {Axioms}, volume = {10}, number = {4}, pages = {326}, year = {2021}, url = {https://doi.org/10.3390/axioms10040326}, doi = {10.3390/AXIOMS10040326}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/ChenCWLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhangXSYLYL21, author = {Dan Zhang and Zhao{-}Chun Xu and Wei Su and Yu{-}He Yang and Hao Lv and Hui Yang and Hao Lin}, title = {iCarPS: a computational tool for identifying protein carbonylation sites by novel encoded features}, journal = {Bioinform.}, volume = {37}, number = {2}, pages = {171--177}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa702}, doi = {10.1093/BIOINFORMATICS/BTAA702}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhangXSYLYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HaoXHZMZGZHSLYZ21, author = {Yue Hao and Shuiying Xiang and Genquan Han and Jincheng Zhang and Xiaohua Ma and Zhangming Zhu and Xingxing Guo and Yahui Zhang and Yanan Han and Ziwei Song and Yan Liu and Ling Yang and Hong Zhou and Jiangyi Shi and Wei Zhang and Min Xu and Weisheng Zhao and Biao Pan and Yangqi Huang and Qi Liu and Yimao Cai and Jian Zhu and Xin Ou and Tiangui You and Huaqiang Wu and Bin Gao and Zhiyong Zhang and Guoping Guo and Yonghua Chen and Yong Liu and Xiangfei Chen and Chunlai Xue and Xingjun Wang and Lixia Zhao and Xihua Zou and Lianshan Yan and Ming Li}, title = {Recent progress of integrated circuits and optoelectronic chips}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {10}, year = {2021}, url = {https://doi.org/10.1007/s11432-021-3235-7}, doi = {10.1007/S11432-021-3235-7}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/HaoXHZMZGZHSLYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YuYCTKCHLCLSS21, author = {Chih{-}Jui Yu and Hsing{-}Jung Yeh and Chun{-}Chao Chang and Jui{-}Hsiang Tang and Wei{-}Yu Kao and Wen{-}Chao Chen and Yi{-}Jin Huang and Chien{-}Hung Li and Wei{-}Hao Chang and Yun{-}Ting Lin and Herdiantri Sufriyana and Emily Chia{-}Yu Su}, title = {Lightweight deep neural networks for cholelithiasis and cholecystitis detection by point-of-care ultrasound}, journal = {Comput. Methods Programs Biomed.}, volume = {211}, pages = {106382}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106382}, doi = {10.1016/J.CMPB.2021.106382}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YuYCTKCHLCLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/diot/VanZCTWT21, author = {Lan{-}Da Van and Ling{-}Yan Zhang and Chun{-}Hao Chang and Kit{-}Lun Tong and Kun{-}Ru Wu and Yu{-}Chee Tseng}, title = {Things in the air: tagging wearable IoT information on drone videos}, journal = {Discov. Internet Things}, volume = {1}, number = {1}, year = {2021}, url = {https://doi.org/10.1007/s43926-021-00005-8}, doi = {10.1007/S43926-021-00005-8}, timestamp = {Fri, 04 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/diot/VanZCTWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/LiMLL21, author = {Yuqiang Li and Haochen Meng and Hong Lin and Chun Liu}, title = {{AFF-UNIT:} Adaptive feature fusion for unsupervised image-to-image translation}, journal = {{IET} Image Process.}, volume = {15}, number = {13}, pages = {3172--3188}, year = {2021}, url = {https://doi.org/10.1049/ipr2.12314}, doi = {10.1049/IPR2.12314}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/LiMLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/LiCZLL21, author = {Wenkuan Li and Haoyuan Cai and Shenglin Zhao and Ya{-}Qian Liu and Chunxiu Liu}, title = {A Fast Vision-inertial Odometer Based on Line Midpoint Descriptor}, journal = {Int. J. Autom. Comput.}, volume = {18}, number = {4}, pages = {667--679}, year = {2021}, url = {https://doi.org/10.1007/s11633-021-1303-2}, doi = {10.1007/S11633-021-1303-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijautcomp/LiCZLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/ChangYYHL21, author = {Hao{-}Chun Chang and Yu{-}Chieh Yang and Liang{-}Yan Yu and Yao{-}Hua Ho and Chun{-}Han Lin}, title = {A power-saving scrolling scheme for browsers on mobile {OLED} systems}, journal = {Int. J. Embed. Syst.}, volume = {14}, number = {4}, pages = {378--390}, year = {2021}, url = {https://doi.org/10.1504/IJES.2021.117950}, doi = {10.1504/IJES.2021.117950}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/ChangYYHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiangJWCWLL21, author = {Pengpeng Liang and Haoxuanye Ji and Yifan Wu and Yumei Chai and Liming Wang and Chunyuan Liao and Haibin Ling}, title = {Planar object tracking benchmark in the wild}, journal = {Neurocomputing}, volume = {454}, pages = {254--267}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.05.030}, doi = {10.1016/J.NEUCOM.2021.05.030}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiangJWCWLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SyuWSCL21, author = {Jia{-}Hao Syu and Mu{-}En Wu and Gautam Srivastava and Chi{-}Fang Chao and Jerry Chun{-}Wei Lin}, title = {An IoT-Based Hedge System for Solar Power Generation}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {13}, pages = {10347--10355}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3064384}, doi = {10.1109/JIOT.2021.3064384}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/SyuWSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SunBLLSKIGWLYW21, author = {Yingcheng Sun and Alex M. Butler and Fengyang Lin and Hao Liu and Latoya A. Stewart and Jae Hyun Kim and Betina Ross S. Idnay and Qingyin Ge and Xinyi Wei and Cong Liu and Chi Yuan and Chunhua Weng}, title = {The {COVID-19} Trial Finder}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {3}, pages = {616--621}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa304}, doi = {10.1093/JAMIA/OCAA304}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/SunBLLSKIGWLYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/WeiLBLL21, author = {Yan{-}Qiao Wei and Da{-}Yan Liu and Driss Boutat and Hao{-}Ran Liu and Chunwan Lv}, title = {Modulating functions based differentiator of the pseudo-state for a class of fractional order linear systems}, journal = {J. Comput. Appl. Math.}, volume = {384}, pages = {113161}, year = {2021}, url = {https://doi.org/10.1016/j.cam.2020.113161}, doi = {10.1016/J.CAM.2020.113161}, timestamp = {Sat, 07 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcam/WeiLBLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/MaXMLWS21, author = {Linhua Ma and Chunshan Xu and Haoyang Ma and Yujie Li and Jiali Wang and Jin Sun}, title = {Effective Metaheuristic Algorithms for Bag-of-Tasks Scheduling Problems Under Budget Constraints on Hybrid Clouds}, journal = {J. Circuits Syst. Comput.}, volume = {30}, number = {5}, pages = {2150091:1--2150091:22}, year = {2021}, url = {https://doi.org/10.1142/S0218126621500912}, doi = {10.1142/S0218126621500912}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/MaXMLWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImZCZKCWHTLRCCC21, author = {Jay Im and Kevin Zheng and Chuen{-}Huei Adam Chou and Lei Zhou and Jae Wook Kim and Stanley Chen and Yipeng Wang and Hao{-}Wei Hung and Kee Hian Tan and Winson Lin and Arianne Roldan and Declan Carey and Ilias Chlis and Ronan Casey and Ade Bekele and Ying Cao and David Mahashin and Hong Ahn and Hongtao Zhang and Yohan Frans and Ken Chang}, title = {A 112-Gb/s {PAM-4} Long-Reach Wireline Transceiver Using a 36-Way Time-Interleaved {SAR} {ADC} and Inverter-Based {RX} Analog Front-End in 7-nm FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {7--18}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3024261}, doi = {10.1109/JSSC.2020.3024261}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ImZCZKCWHTLRCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WuSLH21, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Evolutionary ORB-based model with protective closing strategies}, journal = {Knowl. Based Syst.}, volume = {216}, pages = {106769}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.106769}, doi = {10.1016/J.KNOSYS.2021.106769}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WuSLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangBQMZ21, author = {Xing Wang and Hao{-}xuan Bian and Dai{-}li Qian and Chun{-}sheng Miao and Shao{-}wei Zhan}, title = {An automatic identifying method of the squall line based on Hough transform}, journal = {Multim. Tools Appl.}, volume = {80}, number = {12}, pages = {18993--19009}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-10689-3}, doi = {10.1007/S11042-021-10689-3}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WangBQMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/0002LLLZ0021, author = {Hao Luo and Yan Lin and Tao Liu and Fei{-}Liao Lai and Chun{-}Ting Zhang and Feng Gao and Ren Zhang}, title = {{DEG} 15, an update of the Database of Essential Genes that includes built-in analysis tools}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D677--D686}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa917}, doi = {10.1093/NAR/GKAA917}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/0002LLLZ0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/ChenLHLMF21, author = {Kwang{-}Cheng Chen and Shih{-}Chun Lin and Jen{-}Hao Hsiao and Chun{-}Hung Liu and Andreas F. Molisch and Gerhard P. Fettweis}, title = {Wireless Networked Multirobot Systems in Smart Factories}, journal = {Proc. {IEEE}}, volume = {109}, number = {4}, pages = {468--494}, year = {2021}, url = {https://doi.org/10.1109/JPROC.2020.3033753}, doi = {10.1109/JPROC.2020.3033753}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/ChenLHLMF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KangCYCKYLLLLCN21, author = {Keng{-}Hao Kang and Wei{-}An Chao and Che{-}Ming Yang and Ming{-}Chien Chung and Yu{-}Ting Kuo and Chih{-}Hsiang Yeh and Hsin{-}Chang Liu and Chun{-}Hung Lin and Chih{-}Pin Lin and Jyh{-}Jong Liao and Jui{-}Ming Chang and Yin Jeh Ngui and Chien{-}Hsin Chen and Tung{-}Lin Tai}, title = {Rigidity Strengthening of Landslide Materials Measured by Seismic Interferometry}, journal = {Remote. Sens.}, volume = {13}, number = {14}, pages = {2834}, year = {2021}, url = {https://doi.org/10.3390/rs13142834}, doi = {10.3390/RS13142834}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KangCYCKYLLLLCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLLHL21, author = {Shih{-}Yu Chen and Chinsu Lin and Guan{-}Jie Li and Yu{-}Chun Hsu and Keng{-}Hao Liu}, title = {Hybrid Deep Learning Models with Sparse Enhancement Technique for Detection of Newly Grown Tree Leaves}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2077}, year = {2021}, url = {https://doi.org/10.3390/s21062077}, doi = {10.3390/S21062077}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLLHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuLTLHMLLWKLWC21, author = {Wen{-}Te Liu and Shang{-}Yang Lin and Cheng{-}Yu Tsai and Yi{-}Shin Liu and Wen{-}Hua Hsu and Arnab Majumdar and Chia{-}Mo Lin and Kang{-}Yun Lee and Dean Wu and Yi{-}Chun Kuan and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Wun{-}Hao Cheng and Ying{-}Shuo Hsu}, title = {Comparison of Hospital-Based and Home-Based Obstructive Sleep Apnoea Severity Measurements with a Single-Lead Electrocardiogram Patch}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8097}, year = {2021}, url = {https://doi.org/10.3390/s21238097}, doi = {10.3390/S21238097}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiuLTLHMLLWKLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SharmaCWL21, author = {Lokesh Sharma and Chung{-}Hao Chao and Shih{-}Lin Wu and Mei{-}Chen Li}, title = {High Accuracy WiFi-Based Human Activity Classification System with Time-Frequency Diagram {CNN} Method for Different Places}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3797}, year = {2021}, url = {https://doi.org/10.3390/s21113797}, doi = {10.3390/S21113797}, timestamp = {Thu, 17 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SharmaCWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangCCSSHCL21, author = {Ting{-}Wei Wang and Hsiao{-}Wei Chu and Lin Chou and Yen{-}Ling Sung and Yuan{-}Ta Shih and Po{-}Chun Hsu and Hao{-}Min Cheng and Shien{-}Fong Lin}, title = {Bio-Impedance Measurement Optimization for High-Resolution Carotid Pulse Sensing}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1600}, year = {2021}, url = {https://doi.org/10.3390/s21051600}, doi = {10.3390/S21051600}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangCCSSHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/LeeSLLSB21, author = {Chi{-}Chun Lee and Kusha Sridhar and Jeng{-}Lin Li and Wei{-}Cheng Lin and Bo{-}Hao Su and Carlos Busso}, title = {Deep Representation Learning for Affective Speech Signal Analysis and Processing: Preventing unwanted signal disparities}, journal = {{IEEE} Signal Process. Mag.}, volume = {38}, number = {6}, pages = {22--38}, year = {2021}, url = {https://doi.org/10.1109/MSP.2021.3105939}, doi = {10.1109/MSP.2021.3105939}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spm/LeeSLLSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/YangWCLCC21, author = {Ming{-}Chang Yang and Chun{-}Feng Wu and Shuo{-}Han Chen and Yi{-}Ling Lin and Che{-}Wei Chang and Yuan{-}Hao Chang}, title = {On Minimizing Internal Data Migrations of Flash Devices via Lifetime-Retention Harmonization}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {3}, pages = {428--439}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2989554}, doi = {10.1109/TC.2020.2989554}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/YangWCLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/FuHWSL21, author = {Haotian Fu and Qinghui Hong and Chunhua Wang and Jingru Sun and Ya Li}, title = {Solving Non-Homogeneous Linear Ordinary Differential Equations Using Memristor-Capacitor Circuit}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {11}, pages = {4495--4507}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3111620}, doi = {10.1109/TCSI.2021.3111620}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/FuHWSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/LinPSL21, author = {Che{-}Chern Lin and Hao{-}Chun Pu and Sin{-}Jia Su and Min{-}Shan Lee}, title = {A Concept Map-Based Remedial Learning System With Applications to the {IEEE} Floating-Point Standard and {MIPS} Encoding}, journal = {{IEEE} Trans. Educ.}, volume = {64}, number = {2}, pages = {147--154}, year = {2021}, url = {https://doi.org/10.1109/TE.2020.3019034}, doi = {10.1109/TE.2020.3019034}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/LinPSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LuZLZWM21, author = {Jianghua Lu and Guorong Zhu and Deyan Lin and Yiming Zhang and Haoran Wang and Chunting Chris Mi}, title = {Realizing Constant Current and Constant Voltage Outputs and Input Zero Phase Angle of Wireless Power Transfer Systems With Minimum Component Counts}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {1}, pages = {600--610}, year = {2021}, url = {https://doi.org/10.1109/TITS.2020.2985658}, doi = {10.1109/TITS.2020.2985658}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/LuZLZWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WuLL21, author = {Hao Wu and Youlong Luo and Chunlin Li}, title = {Optimization of heat-based cache replacement in edge computing system}, journal = {J. Supercomput.}, volume = {77}, number = {3}, pages = {2268--2301}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03356-1}, doi = {10.1007/S11227-020-03356-1}, timestamp = {Fri, 17 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/WuLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HaoZLLC21, author = {Li{-}Ying Hao and He Zhang and Tieshan Li and Bin Lin and C. L. Philip Chen}, title = {Fault Tolerant Control for Dynamic Positioning of Unmanned Marine Vehicles Based on {T-S} Fuzzy Model With Unknown Membership Functions}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {1}, pages = {146--157}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3050044}, doi = {10.1109/TVT.2021.3050044}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HaoZLLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChenLHW21, author = {Chun{-}Hao Chen and Yin{-}Ting Lin and Shih{-}Ting Hung and Mu{-}En Wu}, editor = {Ngoc Thanh Nguyen and Suphamit Chittayasothorn and Dusit Niyato and Bogdan Trawinski}, title = {Forecasting Stock Trend Based on the Constructed Anomaly-Patterns Based Decision Tree}, booktitle = {Intelligent Information and Database Systems - 13th Asian Conference, {ACIIDS} 2021, Phuket, Thailand, April 7-10, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12672}, pages = {606--615}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-73280-6\_48}, doi = {10.1007/978-3-030-73280-6\_48}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/ChenLHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HwangCFLWTLL21, author = {Yin{-}Tsung Hwang and Kuan{-}Hong Chen and Chih{-}Peng Fan and Yong{-}Kang Lai and Chung{-}Bin Wu and Hsiao{-}Ping Tsai and Wei{-}Liang Lin and Kuang{-}Hao Lin}, title = {iAMEC, an Intelligent Autonomous Mover for Navigation in Indoor People Rich Environments}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458563}, doi = {10.1109/AICAS51828.2021.9458563}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HwangCFLWTLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LinCCH21, author = {Chun{-}Yeon Lin and Hao{-}Tse Chen and Hao{-}Fang Cheng and Yu Jun He}, title = {Electrical Impedance Sensing System Design for Abnormal Object Detection}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2021, Delft, The Netherlands, July 12-16, 2021}, pages = {1313--1318}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIM46487.2021.9517604}, doi = {10.1109/AIM46487.2021.9517604}, timestamp = {Tue, 31 Aug 2021 10:22:22 +0200}, biburl = {https://dblp.org/rec/conf/aimech/LinCCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/CaoHCSLGF21, author = {Chuxue Cao and Yiming He and Yuzhen Chen and Chunli Song and Hao Ling and Renchu Guan and Xiaoyue Feng}, editor = {Yufei Huang and Lukasz A. Kurgan and Feng Luo and Xiaohua Hu and Yidong Chen and Edward R. Dougherty and Andrzej Kloczkowski and Yaohang Li}, title = {Medical Scene Graphs and Reasoning}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2021, Houston, TX, USA, December 9-12, 2021}, pages = {645--648}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BIBM52615.2021.9669882}, doi = {10.1109/BIBM52615.2021.9669882}, timestamp = {Tue, 20 Aug 2024 07:54:45 +0200}, biburl = {https://dblp.org/rec/conf/bibm/CaoHCSLGF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChiangCLFWLYC21, author = {Chia{-}En Chiang and Yu{-}Chun Chen and Fang{-}Yu Lin and Felicia Feng and Hao{-}An Wu and Hao{-}Ping Lee and Chang{-}Hsuan Yang and Yung{-}Ju Chang}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {"I Got Some Free Time": Investigating Task-execution and Task-effort Metrics in Mobile Crowdsourcing Tasks}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {648:1--648:14}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445477}, doi = {10.1145/3411764.3445477}, timestamp = {Mon, 17 May 2021 13:31:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChiangCLFWLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuYYXCYW21, author = {Chunyu Liu and Yongjian Yang and Zijun Yao and Yuanbo Xu and Weitong Chen and Lin Yue and Haomeng Wu}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {Discovering Urban Functions of High-Definition Zoning with Continuous Human Traces}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {1048--1057}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3482253}, doi = {10.1145/3459637.3482253}, timestamp = {Sun, 28 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiuYYXCYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChengWHC0CYK21, author = {Hsiang{-}Yun Cheng and Chun{-}Feng Wu and Christian Hakert and Kuan{-}Hsun Chen and Yuan{-}Hao Chang and Jian{-}Jia Chen and Chia{-}Lin Yang and Tei{-}Wei Kuo}, title = {Future Computing Platform Design: {A} Cross-Layer Design Approach}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {312--317}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474229}, doi = {10.23919/DATE51398.2021.9474229}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChengWHC0CYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/WuCKHL21, author = {Yi{-}Chien Wu and Chih{-}Hsien Cheng and Hao{-}Chung Kuo and Jr{-}Hau He and Gong{-}Ru Lin}, title = {Ultrafast Perovskite Color Conversion of Blue Laser Diode for White-Lighting Optical Wireless Link}, booktitle = {European Conference on Optical Communication, {ECOC} 2021, Bordeaux, France, September 13-16, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ECOC52684.2021.9605916}, doi = {10.1109/ECOC52684.2021.9605916}, timestamp = {Wed, 01 Dec 2021 17:46:03 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/WuCKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HuangYL21, author = {Ya{-}Lin Huang and Hao{-}Chun Yang and Chi{-}Chun Lee}, title = {Federated Learning via Conditional Mutual Learning for Alzheimer's Disease Classification on T1w {MRI}}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {2427--2432}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630382}, doi = {10.1109/EMBC46164.2021.9630382}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HuangYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChienLHHL21, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {8588--8592}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413880}, doi = {10.1109/ICASSP39728.2021.9413880}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChienLHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LinCLLL21, author = {Yist Y. Lin and Chung{-}Ming Chien and Jheng{-}Hao Lin and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Fragmentvc: Any-To-Any Voice Conversion by End-To-End Extracting and Fusing Fine-Grained Voice Fragments with Attention}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5939--5943}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413699}, doi = {10.1109/ICASSP39728.2021.9413699}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LinCLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLCWWLJH21, author = {Yu{-}Neng Wang and Yun{-}Rong Luo and Po{-}Chun Chien and Ping{-}Lun Wang and Hao{-}Ren Wang and Wan{-}Hsuan Lin and Jie{-}Hong Roland Jiang and Chung{-}Yang (Ric) Huang}, title = {Compatible Equivalence Checking of X-Valued Circuits}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643515}, doi = {10.1109/ICCAD51958.2021.9643515}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLCWWLJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChungYWC21, author = {Yi{-}Hao Chung and Chao{-}Wei Yu and Bo{-}Rui Wen and Yen{-}Lin Chen}, title = {Depth Information based Image Restoration Method for Rotors}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602981}, doi = {10.1109/ICCE-TW52618.2021.9602981}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChungYWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YangYLCWC21, author = {Hao{-}Chun Yang and Chung{-}Yu Yeh and Yu{-}Rong Lin and Hsin{-}Yu Chen and Yu{-}Hsiang Wang and Yi{-}Chung Chen}, title = {Optimized Manpower and Location Scheduling Query for Shop Promotion Based on Records of Bicycle Sharing System}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603084}, doi = {10.1109/ICCE-TW52618.2021.9603084}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/YangYLCWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChungCW21, author = {Hao{-}Lin Chung and Kai{-}Yi Chin and Ching{-}Sheng Wang}, editor = {Teen{-}Hang Meen}, title = {Development of a Head-Mounted Mixed Reality Museum Navigation System}, booktitle = {4th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2021, Taichung, Taiwan, July 23-25, 2021}, pages = {111--114}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICKII51822.2021.9574731}, doi = {10.1109/ICKII51822.2021.9574731}, timestamp = {Mon, 08 Nov 2021 09:04:12 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChungCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LueHSYWL21, author = {Hang{-}Ting Lue and Tzu{-}Hsuan Hsu and Cheng{-}Lin Sung and Teng{-}Hao Yeh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Write-In-Place Operation and It's Advantages to Upgrade the 3D AND-type Flash Memory Performances}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2021, Dresden, Germany, May 16-19, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMW51353.2021.9439621}, doi = {10.1109/IMW51353.2021.9439621}, timestamp = {Mon, 19 Jun 2023 16:03:11 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LueHSYWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangSHL21, author = {Yu{-}Lin Huang and Bo{-}Hao Su and Y.{-}W. Peter Hong and Chi{-}Chun Lee}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {An Attribute-Aligned Strategy for Learning Speech Representation}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {1179--1183}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1341}, doi = {10.21437/INTERSPEECH.2021-1341}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangSHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LinLCL21, author = {Jheng{-}Hao Lin and Yist Y. Lin and Chung{-}Ming Chien and Hung{-}yi Lee}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {{S2VC:} {A} Framework for Any-to-Any Voice Conversion with Self-Supervised Pretrained Representations}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {836--840}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1356}, doi = {10.21437/INTERSPEECH.2021-1356}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LinLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZouLYLWZM21, author = {Yuxiang Zou and Shichao Liu and Xiang Yin and Haopeng Lin and Chunfeng Wang and Haoyu Zhang and Zejun Ma}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Fine-Grained Prosody Modeling in Neural Speech Synthesis Using ToBI Representation}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {3146--3150}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-883}, doi = {10.21437/INTERSPEECH.2021-883}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/ZouLYLWZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihLFSLNCLLMZS21, author = {Yu{-}Der Chih and Po{-}Hao Lee and Hidehiro Fujiwara and Yi{-}Chun Shih and Chia{-}Fu Lee and Rawan Naous and Yu{-}Lin Chen and Chieh{-}Pu Lo and Cheng{-}Han Lu and Haruki Mori and Wei{-}Cheng Zhao and Dar Sun and Mahmut E. Sinangil and Yen{-}Huei Chen and Tan{-}Li Chou and Kerem Akarvardar and Hung{-}Jen Liao and Yih Wang and Meng{-}Fan Chang and Tsung{-}Yung Jonathan Chang}, title = {An 89TOPS/W and 16.3TOPS/mm\({}^{\mbox{2}}\) All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {252--254}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365766}, doi = {10.1109/ISSCC42613.2021.9365766}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihLFSLNCLLMZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mco/LinWWW21, author = {You{-}Xing Lin and Tzu{-}Hao Wang and Chun{-}Wei Wu and Jyh{-}Horng Wen}, editor = {Hoai An Le Thi and Tao Pham Dinh and Hoai Minh Le}, title = {Maximizing Achievable Rate for Incremental OFDM-Based Cooperative Communication Systems with Out-of-Band Energy Harvesting Technique}, booktitle = {Modelling, Computation and Optimization in Information Systems and Management Sciences - Proceedings of the 4th International Conference on Modelling, Computation and Optimization in Information Systems and Management Sciences - {MCO} 2021, Hanoi, Vietnam, 13-14 December 2021}, series = {Lecture Notes in Networks and Systems}, volume = {363}, pages = {100--111}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-92666-3\_9}, doi = {10.1007/978-3-030-92666-3\_9}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mco/LinWWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LinLMW21, author = {Fengyang Lin and Hao Liu and Paul Moon and Chunhua Weng}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {A Sample Size Extractor for {RCT} Reports}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {617--621}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220151}, doi = {10.3233/SHTI220151}, timestamp = {Wed, 08 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LinLMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/GengWZ00YHLL21, author = {Tong Geng and Chunshu Wu and Yongan Zhang and Cheng Tan and Chenhao Xie and Haoran You and Martin C. Herbordt and Yingyan Lin and Ang Li}, title = {{I-GCN:} {A} Graph Convolutional Network Accelerator with Runtime Locality Enhancement through Islandization}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {1051--1063}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480113}, doi = {10.1145/3466752.3480113}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/GengWZ00YHLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WangLHM21, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, editor = {Heng Tao Shen and Yueting Zhuang and John R. Smith and Yang Yang and Pablo C{\'{e}}sar and Florian Metze and Balakrishnan Prabhakaran}, title = {Cycle-Consistent Inverse {GAN} for Text-to-Image Synthesis}, booktitle = {{MM} '21: {ACM} Multimedia Conference, Virtual Event, China, October 20 - 24, 2021}, pages = {630--638}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474085.3475226}, doi = {10.1145/3474085.3475226}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/WangLHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/Li0ZTDZLGLDLL21, author = {Yuanjie Li and Chunyi Peng and Zhehui Zhang and Zhaowei Tan and Haotian Deng and Jinghao Zhao and Qianru Li and Yunqi Guo and Kai Ling and Boyan Ding and Hewu Li and Songwu Lu}, title = {Experience: a five-year retrospective of MobileInsight}, booktitle = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, New Orleans, Louisiana, USA, October 25-29, 2021}, pages = {28--41}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447993.3448138}, doi = {10.1145/3447993.3448138}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/Li0ZTDZLGLDLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/PanHQSPY21, author = {Lin Pan and Chung{-}Wei Hang and Haode Qi and Abhishek Shah and Saloni Potdar and Mo Yu}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {Multilingual {BERT} Post-Pretraining Alignment}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {210--219}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.20}, doi = {10.18653/V1/2021.NAACL-MAIN.20}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/PanHQSPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MaKWZMMZ21, author = {Xuezhe Ma and Xiang Kong and Sinong Wang and Chunting Zhou and Jonathan May and Hao Ma and Luke Zettlemoyer}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Luna: Linear Unified Nested Attention}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {2441--2453}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/14319d9cfc6123106878dc20b94fbaf3-Abstract.html}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/MaKWZMMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeCLLWTCWKLL21, author = {Shao{-}Yung Lee and Xin Chen and Wei{-}Chi Lo and Kangmei Li and Chia{-}Hsuan Wang and Cheng{-}Ting Tsai and Chih{-}Hsien Cheng and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {850-nm Dual-Mode {VCSEL} Carried 53-Gbps {NRZ-OOK} Transmission in 100-m Graded-Index Single-Mode Fiber}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489901}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeCLLWTCWKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qrs/MaHDZSWZLYZR21, author = {Siyou Ma and Chi Hu and Fei Deng and Yuyao Zhou and Xiaohu Shang and Linbo Wu and Wei Zou and Chunlei Li and Wansheng Yang and Hao Zhang and Fei Ren}, title = {Combinational Metamorphic Testing for Deep Learning based Target Detection {CPS} Systems}, booktitle = {21st {IEEE} International Conference on Software Quality, Reliability and Security, {QRS} 2021 - Companion, Hainan, China, December 6-10, 2021}, pages = {250--255}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/QRS-C55045.2021.00045}, doi = {10.1109/QRS-C55045.2021.00045}, timestamp = {Tue, 12 Apr 2022 17:57:49 +0200}, biburl = {https://dblp.org/rec/conf/qrs/MaHDZSWZLYZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HsuKCCSC21, author = {Ying{-}Yu Hsu and Po{-}Chun Kuo and Chih{-}Lun Chuang and Po{-}Hao Chang and Hung{-}Hao Shen and Chen{-}Feng Chiang}, title = {A 7nm 0.46pJ/bit 20Gbps with {BER} 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492439}, doi = {10.23919/VLSICIRCUITS52068.2021.9492439}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HsuKCCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HsuLLLL21, author = {Hao{-}Wei Hsu and Yu{-}Chien Lin and Ming{-}Chun Lee and Chia{-}Hung Lin and Ta{-}Sung Lee}, title = {Deep Learning-Based Range-Doppler Map Reconstruction in Automotive Radar Systems}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448786}, doi = {10.1109/VTC2021-SPRING51267.2021.9448786}, timestamp = {Fri, 18 Jun 2021 10:49:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HsuLLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-04088, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, journal = {CoRR}, volume = {abs/2103.04088}, year = {2021}, url = {https://arxiv.org/abs/2103.04088}, eprinttype = {arXiv}, eprint = {2103.04088}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-04088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02901, author = {Jheng{-}Hao Lin and Yist Y. Lin and Chung{-}Ming Chien and Hung{-}yi Lee}, title = {{S2VC:} {A} Framework for Any-to-Any Voice Conversion with Self-Supervised Pretrained Representations}, journal = {CoRR}, volume = {abs/2104.02901}, year = {2021}, url = {https://arxiv.org/abs/2104.02901}, eprinttype = {arXiv}, eprint = {2104.02901}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02901.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021}, url = {https://arxiv.org/abs/2105.07809}, eprinttype = {arXiv}, eprint = {2105.07809}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-11309, author = {Chen{-}Xin Qin and Ru{-}Hao Liu and Mao{-}Cai Li and Chi{-}Chun Zhou and Yi{-}Liua}, title = {An Effective and Efficient Method to Solve the High-Order and the Non-Linear Ordinary Differential Equations: the Ratio Net}, journal = {CoRR}, volume = {abs/2105.11309}, year = {2021}, url = {https://arxiv.org/abs/2105.11309}, eprinttype = {arXiv}, eprint = {2105.11309}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-11309.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01540, author = {Xuezhe Ma and Xiang Kong and Sinong Wang and Chunting Zhou and Jonathan May and Hao Ma and Luke Zettlemoyer}, title = {Luna: Linear Unified Nested Attention}, journal = {CoRR}, volume = {abs/2106.01540}, year = {2021}, url = {https://arxiv.org/abs/2106.01540}, eprinttype = {arXiv}, eprint = {2106.01540}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-02810, author = {Yu{-}Lin Huang and Bo{-}Hao Su and Y.{-}W. Peter Hong and Chi{-}Chun Lee}, title = {An Attribute-Aligned Strategy for Learning Speech Representation}, journal = {CoRR}, volume = {abs/2106.02810}, year = {2021}, url = {https://arxiv.org/abs/2106.02810}, eprinttype = {arXiv}, eprint = {2106.02810}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-02810.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-01361, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Cycle-Consistent Inverse {GAN} for Text-to-Image Synthesis}, journal = {CoRR}, volume = {abs/2108.01361}, year = {2021}, url = {https://arxiv.org/abs/2108.01361}, eprinttype = {arXiv}, eprint = {2108.01361}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-01361.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-06458, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Cross-Modal Graph with Meta Concepts for Video Captioning}, journal = {CoRR}, volume = {abs/2108.06458}, year = {2021}, url = {https://arxiv.org/abs/2108.06458}, eprinttype = {arXiv}, eprint = {2108.06458}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-06458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-00747, author = {Chien{-}Ming Lin and Hao{-}Chung Cheng and Yen{-}Huan Li}, title = {Maximum-Likelihood Quantum State Tomography by Cover's Method with Non-Asymptotic Analysis}, journal = {CoRR}, volume = {abs/2110.00747}, year = {2021}, url = {https://arxiv.org/abs/2110.00747}, eprinttype = {arXiv}, eprint = {2110.00747}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-00747.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01209, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Learning Structural Representations for Recipe Generation and Food Retrieval}, journal = {CoRR}, volume = {abs/2110.01209}, year = {2021}, url = {https://arxiv.org/abs/2110.01209}, eprinttype = {arXiv}, eprint = {2110.01209}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-15130, author = {Junaid Anees and Hao{-}Chun Zhang}, title = {Thermal entropy based hesitant fuzzy linguistic term set analysis in energy efficient opportunistic clustering}, journal = {CoRR}, volume = {abs/2111.15130}, year = {2021}, url = {https://arxiv.org/abs/2111.15130}, eprinttype = {arXiv}, eprint = {2111.15130}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-15130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04401, author = {Xudong Huang and Chunyu Lin and Haojie Liu and Lang Nie and Yao Zhao}, title = {{FPPN:} Future Pseudo-LiDAR Frame Prediction for Autonomous Driving}, journal = {CoRR}, volume = {abs/2112.04401}, year = {2021}, url = {https://arxiv.org/abs/2112.04401}, eprinttype = {arXiv}, eprint = {2112.04401}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04401.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Chun-LeiHCJ20, author = {Chunlei Luo and Hao Sha and Chun{-}Lai Ling and Jin{-}Yang Li}, title = {Intelligent Detection for Tunnel Shotcrete Spray Using Deep Learning and LiDAR}, journal = {{IEEE} Access}, volume = {8}, pages = {1755--1766}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2019.2962496}, doi = {10.1109/ACCESS.2019.2962496}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Chun-LeiHCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangCLLCZLKSLL20, author = {Che{-}Hsuan Huang and Shu Hsiu Chang and Been{-}Yu Liaw and Cheng{-}Yi Liu and Chia{-}Yueh Chou and Jia{-}Rou Zhou and Chien{-}Chung Lin and Hao{-}Chung Kuo and Li{-}jun Song and Feng Li and Xinke Liu}, title = {Research on a Novel GaN-Based Converted Mini-LED Backlight Module via a Spectrum-Decouple System}, journal = {{IEEE} Access}, volume = {8}, pages = {138823--138833}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3010026}, doi = {10.1109/ACCESS.2020.3010026}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuangCLLCZLKSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangCCSHCL20, author = {Ting{-}Wei Wang and Hsiao{-}Wei Chu and Wen{-}Xiang Chen and Yuan{-}Ta Shih and Po{-}Chun Hsu and Hao{-}Min Cheng and Shien{-}Fong Lin}, title = {Single-Channel Impedance Plethysmography Neck Patch Device for Unobtrusive Wearable Cardiovascular Monitoring}, journal = {{IEEE} Access}, volume = {8}, pages = {184909--184919}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3029604}, doi = {10.1109/ACCESS.2020.3029604}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangCCSHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChenSWSCGCCLL20, author = {Guan{-}Ting Chen and Chun{-}Hao Su and Shih{-}Han Wei and Tien{-}Lin Shen and Pei{-}Hsuan Chung and Qiao{-}Miao Guo and Wei{-}Jen Chen and Yang{-}Fang Chen and Ying{-}Chih Liao and Wen{-}Ya Lee}, title = {Photo-Curable Ion-Enhanced Fluorinated Elastomers for Pressure-Sensitive Textiles}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {4}, pages = {1900180}, year = {2020}, url = {https://doi.org/10.1002/aisy.201900180}, doi = {10.1002/AISY.201900180}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChenSWSCGCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChenSWSCGCCLL20a, author = {Guan{-}Ting Chen and Chun{-}Hao Su and Shih{-}Han Wei and Tien{-}Lin Shen and Pei{-}Hsuan Chung and Qiao{-}Miao Guo and Wei{-}Jen Chen and Yang{-}Fang Chen and Ying{-}Chih Liao and Wen{-}Ya Lee}, title = {Photo-Curable Ion-Enhanced Fluorinated Elastomers for Pressure-Sensitive Textiles}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {4}, pages = {2070041}, year = {2020}, url = {https://doi.org/10.1002/aisy.202070041}, doi = {10.1002/AISY.202070041}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChenSWSCGCCLL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LinWLCCH20, author = {Hao{-}Chiang Koong Lin and Tao{-}Hua Wang and Guo{-}Chung Lin and Shu{-}Chen Cheng and Hong{-}Ren Chen and Yueh{-}Min Huang}, title = {Applying sentiment analysis to automatically classify consumer comments concerning marketing 4Cs aspects}, journal = {Appl. Soft Comput.}, volume = {97}, number = {Part}, pages = {106755}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106755}, doi = {10.1016/J.ASOC.2020.106755}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LinWLCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LiZHL20, author = {Chunlin Li and Yihan Zhang and Zhiqiang Hao and Youlong Luo}, title = {An effective scheduling strategy based on hypergraph partition in geographically distributed datacenters}, journal = {Comput. Networks}, volume = {170}, pages = {107096}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2020.107096}, doi = {10.1016/J.COMNET.2020.107096}, timestamp = {Wed, 26 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LiZHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/WangLKLSXH20, author = {Haoxin Wang and Tingting Liu and BaekGyu Kim and Chung{-}Wei Lin and Shinichi Shiraishi and Jiang (Linda) Xie and Zhu Han}, title = {Architectural Design Alternatives Based on Cloud/Edge/Fog Computing for Connected Vehicles}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {22}, number = {4}, pages = {2349--2377}, year = {2020}, url = {https://doi.org/10.1109/COMST.2020.3020854}, doi = {10.1109/COMST.2020.3020854}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comsur/WangLKLSXH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/YangSLLLGHLZTWW20, author = {Chaowei Yang and Dexuan Sha and Qian Liu and Yun Li and Hai Lan and Weihe Wendy Guan and Tao Hu and Zhenlong Li and Zhiran Zhang and John Hoot Thompson and Zifu Wang and David W. S. Wong and Shiyang Ruan and Manzhu Yu and Douglas Richardson and Luyao Zhang and Ruizhi Hou and You Zhou and Cheng Zhong and Yifei Tian and Fayez Beaini and Kyla Carte and Colin Flynn and Wei Liu and Dieter Pfoser and Shuming Bao and Mei Li and Haoyuan Zhang and Chunbo Liu and Jie Jiang and Shihong Du and Liang Zhao and Mingyue Lu and Lin Li and Huan Zhou and Andrew Ding}, title = {Taking the pulse of {COVID-19:} a spatiotemporal perspective}, journal = {Int. J. Digit. Earth}, volume = {13}, number = {10}, pages = {1186--1211}, year = {2020}, url = {https://doi.org/10.1080/17538947.2020.1809723}, doi = {10.1080/17538947.2020.1809723}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/digearth/YangSLLLGHLZTWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/YangWLLCLKCLHWK20, author = {Shih{-}Hung Yang and Han{-}Lin Wang and Yu{-}Chun Lo and Hsin{-}Yi Lai and Kuan{-}Yu Chen and Yu{-}Hao Lan and Ching{-}Chia Kao and Chin Chou and Sheng{-}Huang Lin and Jyun{-}We Huang and Ching{-}Fu Wang and Chao{-}Hung Kuo and You{-}Yin Chen}, title = {Inhibition of Long-Term Variability in Decoding Forelimb Trajectory Using Evolutionary Neural Networks With Error-Correction Learning}, journal = {Frontiers Comput. Neurosci.}, volume = {14}, pages = {22}, year = {2020}, url = {https://doi.org/10.3389/fncom.2020.00022}, doi = {10.3389/FNCOM.2020.00022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/YangWLLCLKCLHWK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YeLHLJZXJZASKSW20, author = {Chengyin Ye and Jinmei Li and Shiying Hao and Modi Liu and Hua Jin and Le Zheng and Minjie Xia and Bo Jin and Chunqing Zhu and Shaun T. Alfreds and Frank Stearns and Laura Kanov and Karl G. Sylvester and Eric Widen and Doff D. McElhinney and Xuefeng Bruce Ling}, title = {Identification of elders at higher risk for fall with statewide electronic health records and a machine learning algorithm}, journal = {Int. J. Medical Informatics}, volume = {137}, pages = {104105}, year = {2020}, url = {https://doi.org/10.1016/j.ijmedinf.2020.104105}, doi = {10.1016/J.IJMEDINF.2020.104105}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/YeLHLJZXJZASKSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/ChienCQLHLKCS20, author = {Hung{-}Yu Chien and Yi{-}Jui Chen and Guo{-}Hao Qiu and Jian Fu Liao and Ruo{-}Wei Hung and Pei{-}Chih Lin and Xi{-}An Kou and Mao{-}Lun Chiang and Chunhua Su}, title = {A MQTT-API-compatible IoT security-enhanced platform}, journal = {Int. J. Sens. Networks}, volume = {32}, number = {1}, pages = {54--68}, year = {2020}, url = {https://doi.org/10.1504/IJSNET.2020.104463}, doi = {10.1504/IJSNET.2020.104463}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsnet/ChienCQLHLKCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WuLYCSL20, author = {Tsu{-}Yang Wu and Jerry Chun{-}Wei Lin and Unil Yun and Chun{-}Hao Chen and Gautam Srivastava and Xianbiao Lv}, title = {An efficient algorithm for fuzzy frequent itemset mining}, journal = {J. Intell. Fuzzy Syst.}, volume = {38}, number = {5}, pages = {5787--5797}, year = {2020}, url = {https://doi.org/10.3233/JIFS-179666}, doi = {10.3233/JIFS-179666}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/WuLYCSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YoungCKT20, author = {Kuu{-}young Young and Shu{-}Ling Cheng and Chun{-}Hsu Ko and Hao{-}Wei Tsou}, title = {Development of a Comfort-Based Motion Guidance System for a Robot Walking Helper}, journal = {J. Intell. Robotic Syst.}, volume = {100}, number = {2}, pages = {379--388}, year = {2020}, url = {https://doi.org/10.1007/s10846-020-01168-2}, doi = {10.1007/S10846-020-01168-2}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YoungCKT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/XueCCKCLKLLHTCC20, author = {Cheng{-}Xin Xue and Ting{-}Wei Chang and Tung{-}Cheng Chang and Hui{-}Yao Kao and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Ya{-}Chin King and Chrong Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Wei{-}Hao Chen and Meng{-}Fan Chang and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Tsung{-}Yuan Huang}, title = {Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based {AI} Edge Processors}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {203--215}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951363}, doi = {10.1109/JSSC.2019.2951363}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/XueCCKCLKLLHTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/ChenLL20, author = {Chun{-}Hao Chen and Cheng{-}Yu Lu and Cheng{-}Bon Lin}, title = {An intelligence approach for group stock portfolio optimization with a trading mechanism}, journal = {Knowl. Inf. Syst.}, volume = {62}, number = {1}, pages = {287--316}, year = {2020}, url = {https://doi.org/10.1007/s10115-019-01353-2}, doi = {10.1007/S10115-019-01353-2}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kais/ChenLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/JiangLLSZ20, author = {Hao Jiang and Zhenjie Liu and Chunlong Liu and Yansen Su and Xingyi Zhang}, title = {Community detection in complex networks with an ambiguous structure using central node based link prediction}, journal = {Knowl. Based Syst.}, volume = {195}, pages = {105626}, year = {2020}, url = {https://doi.org/10.1016/j.knosys.2020.105626}, doi = {10.1016/J.KNOSYS.2020.105626}, timestamp = {Tue, 26 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/JiangLLSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/YangQXLDW20, author = {Ming Yang and Yeqiang Qian and Linji Xue and Hao Li and Liuyuan Deng and Chunxiang Wang}, title = {{G2P:} a new descriptor for pedestrian detection}, journal = {Neural Comput. Appl.}, volume = {32}, number = {9}, pages = {4665--4674}, year = {2020}, url = {https://doi.org/10.1007/s00521-018-3815-4}, doi = {10.1007/S00521-018-3815-4}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/YangQXLDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ChenSCWLY20, author = {Yu Chen and Chunhua Shen and Hao Chen and Xiu{-}Shen Wei and Lingqiao Liu and Jian Yang}, title = {Adversarial Learning of Structure-Aware Fully Convolutional Networks for Landmark Localization}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {42}, number = {7}, pages = {1654--1669}, year = {2020}, url = {https://doi.org/10.1109/TPAMI.2019.2901875}, doi = {10.1109/TPAMI.2019.2901875}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/ChenSCWLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhuYYWLZFZ20, author = {Yaohui Zhu and Guijun Yang and Hao Yang and Jintao Wu and Lei Lei and Fa Zhao and Lingling Fan and Chunjiang Zhao}, title = {Identification of Apple Orchard Planting Year Based on Spatiotemporally Fused Satellite Images and Clustering Analysis of Foliage Phenophase}, journal = {Remote. Sens.}, volume = {12}, number = {7}, pages = {1199}, year = {2020}, url = {https://doi.org/10.3390/rs12071199}, doi = {10.3390/RS12071199}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhuYYWLZFZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/HeXL20, author = {Cheng He and Chunqi Xu and Hao Lin}, title = {Serial-batching scheduling with two agents to minimize makespan and maximum cost}, journal = {J. Sched.}, volume = {23}, number = {5}, pages = {609--617}, year = {2020}, url = {https://doi.org/10.1007/s10951-020-00656-5}, doi = {10.1007/S10951-020-00656-5}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/HeXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuLLZL20, author = {Haojie Liu and Kang Liao and Chunyu Lin and Yao Zhao and Meiqin Liu}, title = {{PLIN:} {A} Network for Pseudo-LiDAR Point Cloud Interpolation}, journal = {Sensors}, volume = {20}, number = {6}, pages = {1573}, year = {2020}, url = {https://doi.org/10.3390/s20061573}, doi = {10.3390/S20061573}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuLLZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/YuLLC20, author = {Chun{-}Min Yu and Kuo{-}Ping Lin and Gia{-}Shie Liu and Chia{-}Hao Chang}, title = {A Parameterized Intuitionistic Type-2 Fuzzy Inference System with Particle Swarm Optimization}, journal = {Symmetry}, volume = {12}, number = {4}, pages = {562}, year = {2020}, url = {https://doi.org/10.3390/sym12040562}, doi = {10.3390/SYM12040562}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/YuLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/ChouCLC20, author = {Chien{-}Lung Chou and Chia{-}Hui Chang and Yuan{-}Hao Lin and Kuo{-}Chun Chien}, title = {On the Construction of Web {NER} Model Training Tool based on Distant Supervision}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {19}, number = {6}, pages = {87:1--87:28}, year = {2020}, url = {https://doi.org/10.1145/3422817}, doi = {10.1145/3422817}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/ChouCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/KumarVAZOTCHLHW20, author = {Neeraj Kumar and Ruchika Verma and Deepak Anand and Yanning Zhou and Omer Fahri Onder and Efstratios Tsougenis and Hao Chen and Pheng{-}Ann Heng and Jiahui Li and Zhiqiang Hu and Yunzhi Wang and Navid Alemi Koohbanani and Mostafa Jahanifar and Neda Zamani Tajeddin and Ali Gooya and Nasir M. Rajpoot and Xuhua Ren and Sihang Zhou and Qian Wang and Dinggang Shen and Cheng{-}Kun Yang and Chi{-}Hung Weng and Wei{-}Hsiang Yu and Chao{-}Yuan Yeh and Shuang Yang and Shuoyu Xu and Pak{-}Hei Yeung and Peng Sun and Amirreza Mahbod and Gerald Schaefer and Isabella Ellinger and Rupert Ecker and {\"{O}}rjan Smedby and Chunliang Wang and Benjamin Chidester and That{-}Vinh Ton and Minh{-}Triet Tran and Jian Ma and Minh N. Do and Simon Graham and Quoc Dang Vu and Jin Tae Kwak and Akshaykumar Gunda and Raviteja Chunduri and Corey Hu and Xiaoyang Zhou and Dariush Lotfi and Reza Safdari and Antanas Kascenas and Alison O'Neil and Dennis Eschweiler and Johannes Stegmaier and Yanping Cui and Baocai Yin and Kailin Chen and Xinmei Tian and Philipp Gr{\"{u}}ning and Erhardt Barth and Elad Arbel and Itay Remer and Amir Ben{-}Dor and Ekaterina Sirazitdinova and Matthias Kohl and Stefan Braunewell and Yuexiang Li and Xinpeng Xie and Linlin Shen and Jun Ma and Krishanu Das Baksi and Mohammad Azam Khan and Jaegul Choo and Adri{\'{a}}n Colomer and Valery Naranjo and Linmin Pei and Khan M. Iftekharuddin and Kaushiki Roy and Debotosh Bhattacharjee and An{\'{\i}}bal Pedraza and Maria Gloria Bueno and Sabarinathan Devanathan and Saravanan Radhakrishnan and Praveen Koduganty and Zihan Wu and Guanyu Cai and Xiaojie Liu and Yuqin Wang and Amit Sethi}, title = {A Multi-Organ Nucleus Segmentation Challenge}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {5}, pages = {1380--1391}, year = {2020}, url = {https://doi.org/10.1109/TMI.2019.2947628}, doi = {10.1109/TMI.2019.2947628}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/KumarVAZOTCHLHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/ChouLLCTSLYT20, author = {Li{-}Der Chou and Chien{-}Chang Liu and Meng{-}Sheng Lai and Kai{-}Cheng Chiu and Hsuan{-}Hao Tu and Sen Su and Chun{-}Lin Lai and Chia{-}Kuan Yen and Wei{-}Hsiang Tsai}, title = {Behavior Anomaly Detection in {SDN} Control Plane: {A} Case Study of Topology Discovery Attacks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2020}, pages = {8898949:1--8898949:16}, year = {2020}, url = {https://doi.org/10.1155/2020/8898949}, doi = {10.1155/2020/8898949}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wicomm/ChouLLCTSLYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LinJHHW20, author = {Hsin{-}Piao Lin and Chun{-}Yao Jung and Teng{-}Yi Huang and Hendrick Hendrick and Zhi{-}Hao Wang}, title = {NB-IoT Application on Decision Support System of Building Information Management}, journal = {Wirel. Pers. Commun.}, volume = {114}, number = {1}, pages = {711--729}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07389-w}, doi = {10.1007/S11277-020-07389-W}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LinJHHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HsuCHLLHK20, author = {Chao{-}Chun Hsu and Zi{-}Yuan Chen and Chi{-}Yang Hsu and Chih{-}Chia Li and Tzu{-}Yuan Lin and Ting{-}Hao Kenneth Huang and Lun{-}Wei Ku}, title = {Knowledge-Enriched Visual Storytelling}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {7952--7960}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i05.6303}, doi = {10.1609/AAAI.V34I05.6303}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HsuCHLLHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/LinDZWCLHWHCLCY20, author = {Yan{-}Jie Lin and Hong{-}Jie Dai and You{-}Chen Zhang and Chung{-}Yang Wu and Yu{-}Cheng Chang and Pin{-}Jou Lu and Chih{-}Jen Huang and Yu{-}Tsang Wang and Hui{-}Min Hsieh and Kun{-}San Chao and Tsang{-}Wu Liu and I{-}Shou Chang and Yi{-}Hsin Connie Yang and Ti{-}Hao Wang and Ko{-}Jiunn Liu and Li{-}Tzong Chen and Sheau{-}Fang Yang}, editor = {Anna Rumshisky and Kirk Roberts and Steven Bethard and Tristan Naumann}, title = {Cancer Registry Information Extraction via Transfer Learning}, booktitle = {Proceedings of the 3rd Clinical Natural Language Processing Workshop, ClinicalNLP@EMNLP 2020, Online, November 19, 2020}, pages = {201--208}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.clinicalnlp-1.22}, doi = {10.18653/V1/2020.CLINICALNLP-1.22}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/LinDZWCLHWHCLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/LiLWJLYCFZ20, author = {Yongping Li and Ling Li and Hao Wu and Chunyan Jiang and Chaozhang Liu and Shumin Yan and Xinhua Chen and Guodong Feng and Huanyun Zhang}, title = {The Application of SiC Devices in Photovoltaic Grid-connected Inverters}, booktitle = {{AIAM2020:} 2nd International Conference on Artificial Intelligence and Advanced Manufacture, Manchester, United Kingdom, October 15-17, 2020}, pages = {523--527}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3421766.3421819}, doi = {10.1145/3421766.3421819}, timestamp = {Thu, 29 Oct 2020 10:49:51 +0100}, biburl = {https://dblp.org/rec/conf/aiam/LiLWJLYCFZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HaoTDDD0GC20, author = {Shilei Hao and Yiwu Tang and Xuan Ding and Li Du and Yuan Du and Adrian Tang and Qun Jane Gu and Mau{-}Chung Frank Chang}, title = {An 8.3{\%} Efficiency 96-134 GHz {CMOS} Frequency Doubler Using Distributed Amplifier and Nonlinear Transmission Line}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336113}, doi = {10.1109/A-SSCC48613.2020.9336113}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HaoTDDD0GC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhaoXHLW20, author = {Lingling Zhao and Peijin Xie and Lingfeng Hao and Tiantian Li and Chunyu Wang}, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {Gene Ontology aided Compound Protein Binding Affinity Prediction Using {BERT} Encoding}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, pages = {1231--1236}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020.9312985}, doi = {10.1109/BIBM49941.2020.9312985}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ZhaoXHLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/LinHYY20, author = {Ching{-}Hua Vivian Lin and Ching{-}Chun Jim Huang and Yang{-}Hao Yuan and Zih{-}shiuan Spin Yuan}, title = {A Fully Decentralized Infrastructure for Subscription-based IoT Data Trading}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2020, Rhodes, Greece, November 2-6, 2020}, pages = {162--169}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/Blockchain50366.2020.00027}, doi = {10.1109/BLOCKCHAIN50366.2020.00027}, timestamp = {Fri, 30 Apr 2021 12:35:39 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/LinHYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/CaiAAMD20, author = {Qing Cai and Haojie Ang and Sameer Alam and Chunyao Ma and Vu N. Duong}, title = {Enhancing the Robustness of Airport Networks By Removing Links}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2020, Glasgow, United Kingdom, July 19-24, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CEC48606.2020.9185852}, doi = {10.1109/CEC48606.2020.9185852}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cec/CaiAAMD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WangLHM20, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Structure-Aware Generation Network for Recipe Generation from Images}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXVII}}, series = {Lecture Notes in Computer Science}, volume = {12372}, pages = {359--374}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58583-9\_22}, doi = {10.1007/978-3-030-58583-9\_22}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WangLHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiLWFLCZTZWSYC20, author = {Jianqiao Li and Chunyuan Li and Guoyin Wang and Hao Fu and Yuh{-}Chen Lin and Liqun Chen and Yizhe Zhang and Chenyang Tao and Ruiyi Zhang and Wenlin Wang and Dinghan Shen and Qian Yang and Lawrence Carin}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Improving Text Generation with Student-Forcing Optimal Transport}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {9144--9156}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.735}, doi = {10.18653/V1/2020.EMNLP-MAIN.735}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiLWFLCZTZWSYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/FengLHYCYL20, author = {Chia{-}Hui Feng and Yu{-}Chun Lin and Yu{-}Hsiu Hung and Chao{-}Kuang Yang and Liang{-}Chi Chen and Shih{-}Wei Yeh and Shih{-}Hao Lin}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Research on Aesthetic Perception of Artificial Intelligence Style Transfer}, booktitle = {{HCI} International 2020 - Posters - 22nd International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1224}, pages = {641--649}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50726-8\_83}, doi = {10.1007/978-3-030-50726-8\_83}, timestamp = {Thu, 16 Jul 2020 15:56:07 +0200}, biburl = {https://dblp.org/rec/conf/hci/FengLHYCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuangHYL20, author = {Ya{-}Lin Huang and Wan{-}Ting Hsieh and Hao{-}Chun Yang and Chi{-}Chun Lee}, title = {Conditional Domain Adversarial Transfer for Robust Cross-Site {ADHD} Classification Using Functional {MRI}}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1190--1194}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054606}, doi = {10.1109/ICASSP40776.2020.9054606}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HuangHYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/WeiFZL20, author = {Chunyu Wei and Yushun Fan and Jia Zhang and Haozhe Lin}, title = {{A-HSG:} Neural Attentive Service Recommendation based on High-order Social Graph}, booktitle = {2020 {IEEE} International Conference on Web Services, {ICWS} 2020, Beijing, China, October 19-23, 2020}, pages = {338--346}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICWS49710.2020.00051}, doi = {10.1109/ICWS49710.2020.00051}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/WeiFZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/LinCWOC20, author = {Kai{-}Hsiang Lin and Jui{-}Hung Chang and Ti{-}Hao Wang and Hoe{-}Yuan Ong and Pau{-}Choo Chung}, title = {Rectum Segmentation in Brachytherapy Dataset Using Recurrent Network}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {232--236}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00054}, doi = {10.1109/ICS51289.2020.00054}, timestamp = {Wed, 03 Mar 2021 13:05:39 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/LinCWOC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SuCLL20, author = {Bo{-}Hao Su and Chun{-}Min Chang and Yun{-}Shao Lin and Chi{-}Chun Lee}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Improving Speech Emotion Recognition Using Graph Attentive Bi-Directional Gated Recurrent Unit Network}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {506--510}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1733}, doi = {10.21437/INTERSPEECH.2020-1733}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SuCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeYHCCNSKLNKTJ20, author = {Tae Young Lee and Kazutaka Yamane and Lee Yong Hau and Robin Chao and Nyuk Leong Chung and Vinayak Bharat Naik and K. Sivabalan and Jae Hyun Kwon and Jia Hao Lim and Wah{-}Peng Neo and Kevin Khua and Naganivetha Thiyagarajah and Suk Hee Jang and Behtash Behin{-}Aein and Eng{-}Huat Toh and Yuichi Otani and Dinggui Zeng and Nivetha Balasankaran and Lian Choo Goh and Timothy Ling and Jay Hwang and Lei Zhang and Rachel Low and Soon Leng Tan and Chim Seng Seet and Jia Wen Ting and Stanley Ong and Young Seon You and Swee Tuck Woo and Elgin Quek and Soh Yun Siah}, title = {Magnetic Immunity Guideline for Embedded {MRAM} Reliability to Realize Mass Production}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128317}, doi = {10.1109/IRPS45951.2020.9128317}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LeeYHCCNSKLNKTJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihSLCLLCLSSCC20, author = {Yu{-}Der Chih and Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Chieh{-}Pu Lo and Meng{-}Chun Shih and Kuei{-}Hung Shen and Harry Chuang and Tsung{-}Yung Jonathan Chang}, title = {13.3 {A} 22nm 32Mb Embedded {STT-MRAM} with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150{\textdegree}C and High Immunity to Magnetic Field Interference}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {222--224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062955}, doi = {10.1109/ISSCC19947.2020.9062955}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihSLCLLCLSSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImZCZKCWHTLRCCC20, author = {Jay Im and Kevin Zheng and Adam Chou and Lei Zhou and Jae Wook Kim and Stanley Chen and Yipeng Wang and Hao{-}Wei Hung and Kee Hian Tan and Winson Lin and Arianne Roldan and Declan Carey and Ilias Chlis and Ronan Casey and Ade Bekele and Ying Cao and David Mahashin and Hong Ahn and Hongtao Zhang and Yohan Frans and Ken Chang}, title = {6.1 {A} 112Gb/s {PAM-4} Long-Reach Wireline Transceiver Using a 36-Way Time-Interleaved {SAR-ADC} and Inverter-Based {RX} Analog Front-End in 7nm FinFET}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {116--118}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063081}, doi = {10.1109/ISSCC19947.2020.9063081}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImZCZKCWHTLRCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsuTYLK20, author = {Chih{-}Chung Hsu and Wen{-}Hai Tseng and Hao{-}Ting Yang and Chia{-}Hsiang Lin and Chi{-}Hung Kao}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Rethinking Relation between Model Stacking and Recurrent Neural Networks for Social Media Prediction}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {4585--4589}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3417332}, doi = {10.1145/3394171.3417332}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HsuTYLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/ChangHLTWCKC20, author = {Yun{-}Sheng Chang and Yao Hsiao and Tzu{-}Chi Lin and Che{-}Wei Tsao and Chun{-}Feng Wu and Yuan{-}Hao Chang and Hsiang{-}Shang Ko and Yu{-}Fang Chen}, title = {Determinizing Crash Behavior with a Verified Snapshot-Consistent Flash Translation Layer}, booktitle = {14th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2020, Virtual Event, November 4-6, 2020}, pages = {81--97}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/osdi20/presentation/chang}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/osdi/ChangHLTWCKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/WangYZLHHLM20, author = {Yu{-}Jung Wang and Siou{-}Lin You and Zhen{-}Hao Zhu and Wei{-}Ting Lin and Cheng{-}You Ho and Chi{-}Lun Hsu and Chun{-}Hsing Lee and Hsi{-}Pin Ma}, title = {A 24 Mbit/s Red LED-based Visible Light Communication System Employing {DCO-OFDM} Modulation}, booktitle = {2020 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196282}, doi = {10.1109/VLSI-DAT49148.2020.9196282}, timestamp = {Tue, 29 Sep 2020 11:35:15 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/WangYZLHHLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/DengLGP20, author = {Haotian Deng and Kai Ling and Junpeng Guo and Chunyi Peng}, editor = {Padmanabhan Pillai and Qin Lv}, title = {Unveiling the Missed 4.5G Performance In the Wild}, booktitle = {HotMobile '20: The 21st International Workshop on Mobile Computing Systems and Applications, Austin, TX, USA, March 3-4, 2020}, pages = {86--91}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3376897.3377857}, doi = {10.1145/3376897.3377857}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmcsa/DengLGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/LingDGP20, author = {Kai Ling and Haotian Deng and Junpeng Guo and Chunyi Peng}, editor = {Padmanabhan Pillai and Qin Lv}, title = {Unveiling the Missed 4.5G Performance In the Wild}, booktitle = {HotMobile '20: The 21st International Workshop on Mobile Computing Systems and Applications, Austin, TX, USA, March 3-4, 2020}, pages = {99}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3376897.3379170}, doi = {10.1145/3376897.3379170}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmcsa/LingDGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-11481, author = {Haojie Liu and Kang Liao and Chunyu Lin and Yao Zhao and Yulan Guo}, title = {Pseudo-LiDAR Point Cloud Interpolation Based on 3D Motion Representation and Spatial Supervision}, journal = {CoRR}, volume = {abs/2006.11481}, year = {2020}, url = {https://arxiv.org/abs/2006.11481}, eprinttype = {arXiv}, eprint = {2006.11481}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-11481.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-13374, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Decomposed Generation Networks with Structure Prediction for Recipe Generation from Food Images}, journal = {CoRR}, volume = {abs/2007.13374}, year = {2020}, url = {https://arxiv.org/abs/2007.13374}, eprinttype = {arXiv}, eprint = {2007.13374}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-13374.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-00944, author = {Hao Wang and Guosheng Lin and Steven C. H. Hoi and Chunyan Miao}, title = {Structure-Aware Generation Network for Recipe Generation from Images}, journal = {CoRR}, volume = {abs/2009.00944}, year = {2020}, url = {https://arxiv.org/abs/2009.00944}, eprinttype = {arXiv}, eprint = {2009.00944}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-00944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12509, author = {Haoxin Wang and Tingting Liu and BaekGyu Kim and Chung{-}Wei Lin and Shinichi Shiraishi and Jiang (Linda) Xie and Zhu Han}, title = {Architectural Design Alternatives based on Cloud/Edge/Fog Computing for Connected Vehicles}, journal = {CoRR}, volume = {abs/2009.12509}, year = {2020}, url = {https://arxiv.org/abs/2009.12509}, eprinttype = {arXiv}, eprint = {2009.12509}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-05994, author = {Guoyin Wang and Chunyuan Li and Jianqiao Li and Hao Fu and Yuh{-}Chen Lin and Liqun Chen and Yizhe Zhang and Chenyang Tao and Ruiyi Zhang and Wenlin Wang and Dinghan Shen and Qian Yang and Lawrence Carin}, title = {Improving Text Generation with Student-Forcing Optimal Transport}, journal = {CoRR}, volume = {abs/2010.05994}, year = {2020}, url = {https://arxiv.org/abs/2010.05994}, eprinttype = {arXiv}, eprint = {2010.05994}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-05994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12547, author = {Lin Pan and Chung{-}Wei Hang and Haode Qi and Abhishek Shah and Mo Yu and Saloni Potdar}, title = {Multilingual {BERT} Post-Pretraining Alignment}, journal = {CoRR}, volume = {abs/2010.12547}, year = {2020}, url = {https://arxiv.org/abs/2010.12547}, eprinttype = {arXiv}, eprint = {2010.12547}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12547.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-14150, author = {Yist Y. Lin and Chung{-}Ming Chien and Jheng{-}Hao Lin and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {FragmentVC: Any-to-Any Voice Conversion by End-to-End Extracting and Fusing Fine-Grained Voice Fragments With Attention}, journal = {CoRR}, volume = {abs/2010.14150}, year = {2020}, url = {https://arxiv.org/abs/2010.14150}, eprinttype = {arXiv}, eprint = {2010.14150}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-14150.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCLW19, author = {Chun{-}Hao Chen and Yu{-}Hsuan Chen and Jerry Chun{-}Wei Lin and Mu{-}En Wu}, title = {An Effective Approach for Obtaining a Group Trading Strategy Portfolio Using Grouping Genetic Algorithm}, journal = {{IEEE} Access}, volume = {7}, pages = {7313--7325}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2889737}, doi = {10.1109/ACCESS.2018.2889737}, timestamp = {Wed, 13 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLHLG19, author = {Chun{-}Hao Chen and Cheng{-}Yu Lu and Tzung{-}Pei Hong and Jerry Chun{-}Wei Lin and Matteo Gaeta}, title = {An Effective Approach for the Diverse Group Stock Portfolio Optimization Using Grouping Genetic Algorithm}, journal = {{IEEE} Access}, volume = {7}, pages = {155871--155884}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2949055}, doi = {10.1109/ACCESS.2019.2949055}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLHLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenZZMQL19, author = {Bing Chen and Chun{-}Hao Zhong and Xuan Zhao and Hao Ma and Ling Qin and Wei{-}Hsin Liao}, title = {Reference Joint Trajectories Generation of {CUHK-EXO} Exoskeleton for System Balance in Walking Assistance}, journal = {{IEEE} Access}, volume = {7}, pages = {33809--33821}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2904296}, doi = {10.1109/ACCESS.2019.2904296}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenZZMQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinWFDCZ19, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Philippe Fournier{-}Viger and Youcef Djenouri and Chun{-}Hao Chen and Yuyu Zhang}, title = {A Sanitization Approach to Secure Shared Data in an IoT Environment}, journal = {{IEEE} Access}, volume = {7}, pages = {25359--25368}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899831}, doi = {10.1109/ACCESS.2019.2899831}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinWFDCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/QiLSL19, author = {Fei Qi and Chunhuan Lin and Guangming Shi and Hao Li}, title = {A Convolutional Encoder-Decoder Network With Skip Connections for Saliency Prediction}, journal = {{IEEE} Access}, volume = {7}, pages = {60428--60438}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2915630}, doi = {10.1109/ACCESS.2019.2915630}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/QiLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YanYHGAZKC19, author = {Dong Yan and Haofan Yi and Danping He and Ke Guan and Bo Ai and Zhangdui Zhong and Junhyeong Kim and Hee{-}Sang Chung}, title = {Channel Characterization for Satellite Link and Terrestrial Link of Vehicular Communication in the mmWave Band}, journal = {{IEEE} Access}, volume = {7}, pages = {173559--173570}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2956821}, doi = {10.1109/ACCESS.2019.2956821}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YanYHGAZKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/LinC19, author = {Hsiung{-}Cheng Lin and Chung{-}Hao Cheng}, title = {Achievement of Automatic Copper Wire Elongation System}, journal = {Algorithms}, volume = {12}, number = {5}, pages = {105}, year = {2019}, url = {https://doi.org/10.3390/a12050105}, doi = {10.3390/A12050105}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/LinC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/XuFYQCL19, author = {Zhao{-}Chun Xu and Peng{-}Mian Feng and Hui Yang and Wangren Qiu and Wei Chen and Hao Lin}, title = {iRNAD: a computational tool for identifying {D} modification sites in {RNA} sequence}, journal = {Bioinform.}, volume = {35}, number = {23}, pages = {4922--4929}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/btz358}, doi = {10.1093/BIOINFORMATICS/BTZ358}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/XuFYQCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChenWZLX19, author = {Ming{-}Puu Chen and Li{-}Chun Wang and Di Zou and Shu{-}Yuan Lin and Haoran Xie}, title = {Effects of caption and gender on junior high students' {EFL} learning from iMap-enhanced contextualized learning}, journal = {Comput. Educ.}, volume = {140}, year = {2019}, url = {https://doi.org/10.1016/j.compedu.2019.103602}, doi = {10.1016/J.COMPEDU.2019.103602}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/ChenWZLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/FengCLCL19, author = {Po{-}Hao Feng and Tzu{-}Tao Chen and Yin{-}Tzu Lin and Shang{-}Yu Chiang and Chung{-}Ming Lo}, title = {Corrigendum to "Classification of lung cancer subtypes based on autofluorescence bronchoscopic pattern recognition: {A} preliminary study" [Comput Methods Programs Biomed. 163 {(2018)} 33-38]}, journal = {Comput. Methods Programs Biomed.}, volume = {182}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.105095}, doi = {10.1016/J.CMPB.2019.105095}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/FengCLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/HuangLXLCLHFL19, author = {Hao Huang and Lin Lyu and Maobin Xie and Weiwen Luo and Zhaopin Chen and Zhihuan Luo and Chunqing Huang and Shenhe Fu and Yongyao Li}, title = {Spatiotemporal solitary modes in a twisted cylinder waveguide shell with the self-focusing Kerr nonlinearity}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {67}, pages = {617--626}, year = {2019}, url = {https://doi.org/10.1016/j.cnsns.2018.07.040}, doi = {10.1016/J.CNSNS.2018.07.040}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/HuangLXLCLHFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/LinHZ19, author = {Chun{-}Yu Lin and Chung{-}Kai Huang and Hao Zhang}, title = {Enhancing Employee Job satisfaction via E-learning: The Mediating Role of an Organizational Learning Culture}, journal = {Int. J. Hum. Comput. Interact.}, volume = {35}, number = {7}, pages = {584--595}, year = {2019}, url = {https://doi.org/10.1080/10447318.2018.1480694}, doi = {10.1080/10447318.2018.1480694}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/LinHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/LinHC19, author = {Hsiung{-}Cheng Lin and Jhih{-}Yao Hu and Chung{-}Hao Cheng}, title = {Enhancement of Copper Wire Lengthening Automatic System using Contactless Heater}, journal = {Int. J. Networked Distributed Comput.}, volume = {8}, number = {1}, pages = {9--15}, year = {2019}, url = {https://doi.org/10.2991/ijndc.k.191115.002}, doi = {10.2991/IJNDC.K.191115.002}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/LinHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ChangYKCYLYHHW19, author = {Yung{-}Ju Chang and Chu{-}Yuan Yang and Ying{-}Hsuan Kuo and Wen{-}Hao Cheng and Chun{-}Liang Yang and Fang{-}Yu Lin and I{-}Hui Yeh and Chih{-}Kuan Hsieh and Ching{-}Yu Hsieh and Yu{-}Shuen Wang}, title = {Tourgether: Exploring Tourists' Real-time Sharing of Experiences as a Means of Encouraging Point-of-Interest Exploration}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {3}, number = {4}, pages = {128:1--128:25}, year = {2019}, url = {https://doi.org/10.1145/3369832}, doi = {10.1145/3369832}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ChangYKCYLYHHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/ChengCT19, author = {Hsiu{-}Wen Cheng and Tsung{-}Lin Cheng and Chung{-}Hao Tien}, title = {Learning-based risk assessment and motion estimation by vision for unmanned aerial vehicle landing in an unvisited area}, journal = {J. Electronic Imaging}, volume = {28}, number = {6}, pages = {063011}, year = {2019}, url = {https://doi.org/10.1117/1.JEI.28.6.063011}, doi = {10.1117/1.JEI.28.6.063011}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/ChengCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ChenCHWLG19, author = {Chun{-}Hao Chen and Bing{-}Yang Chiang and Tzung{-}Pei Hong and Ding{-}Chau Wang and Jerry Chun{-}Wei Lin and Munkhjargal Gankhuyag}, title = {A fuzzy GGA-based approach to speed up the evolutionary process for diverse group stock portfolio optimization}, journal = {J. Intell. Fuzzy Syst.}, volume = {37}, number = {6}, pages = {7465--7479}, year = {2019}, url = {https://doi.org/10.3233/JIFS-179354}, doi = {10.3233/JIFS-179354}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ChenCHWLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitr/LuTLMC19, author = {Ruei{-}Shan Lu and Hsiu{-}Yuan Tsao and Hao{-}Chiang Koong Lin and Yu Chun Ma and Cheng{-}Tung Chuang}, title = {Sentiment Analysis of Brand Personality Positioning Through Text Mining}, journal = {J. Inf. Technol. Res.}, volume = {12}, number = {3}, pages = {93--103}, year = {2019}, url = {https://doi.org/10.4018/JITR.2019070106}, doi = {10.4018/JITR.2019070106}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jitr/LuTLMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShihLCLLCLYYCCC19, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40-nm 16-Mb, Embedded Perpendicular-MRAM With Hybrid-Resistance Reference, Sub- {\textdollar}{\textbackslash}mu{\textdollar} {A} Sensing Resolution, and 17.5-nS Read Access Time}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {4}, pages = {1029--1038}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2889106}, doi = {10.1109/JSSC.2018.2889106}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShihLCLLCLYYCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LiQSL19, author = {Hao Li and Fei Qi and Guangming Shi and Chunhuan Lin}, title = {A multiscale dilated dense convolutional network for saliency prediction with instance-level attention competition}, journal = {J. Vis. Commun. Image Represent.}, volume = {64}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2019.102611}, doi = {10.1016/J.JVCIR.2019.102611}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/LiQSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncn/LinLHLWY19, author = {Lin Lin and Zhan Luo and Li Huang and Chunfu Luo and Qian Wu and Hao Yan}, title = {High-accuracy distance estimation for molecular communication systems via diffusion}, journal = {Nano Commun. Networks}, volume = {19}, pages = {47--53}, year = {2019}, url = {https://doi.org/10.1016/j.nancom.2018.11.005}, doi = {10.1016/J.NANCOM.2018.11.005}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ncn/LinLHLWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/YuYSHZYDCXHHLHP19, author = {Ying Yu and Lin{-}Feng Yan and Qian Sun and Bo Hu and Jin Zhang and Yang Yang and Yu{-}jie Dai and Wu{-}Xun Cui and Si{-}Jie Xiu and Yu{-}Chuan Hu and Chun{-}Ni Heng and Qing{-}Quan Liu and Jun{-}Feng Hou and Yu{-}Yun Pan and Liang{-}Hao Zhai and Teng{-}Hui Han and Guang{-}Bin Cui and Wen Wang}, title = {Neurovascular decoupling in type 2 diabetes mellitus without mild cognitive impairment: Potential biomarker for early cognitive impairment}, journal = {NeuroImage}, volume = {200}, pages = {644--658}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.06.058}, doi = {10.1016/J.NEUROIMAGE.2019.06.058}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/YuYSHZYDCXHHLHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/TsaoCLM19, author = {Hsiu{-}Yuan Tsao and Ming{-}Yi Chen and Hao{-}Chiang Koong Lin and Yu Chun Ma}, title = {The asymmetric effect of review valence on numerical rating}, journal = {Online Inf. Rev.}, volume = {43}, number = {2}, pages = {283--300}, year = {2019}, url = {https://doi.org/10.1108/OIR-11-2017-0307}, doi = {10.1108/OIR-11-2017-0307}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/TsaoCLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangWLPYP19, author = {Tianfang Zhang and Hao Wu and Yuhan Liu and Lingbing Peng and Chunping Yang and Zhenming Peng}, title = {Infrared Small Target Detection Based on Non-Convex Optimization with \emph{Lp}-Norm Constraint}, journal = {Remote. Sens.}, volume = {11}, number = {5}, pages = {559}, year = {2019}, url = {https://doi.org/10.3390/rs11050559}, doi = {10.3390/RS11050559}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangWLPYP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenMYLCHDH19, author = {Dongwei Chen and Rui Miao and Wei{-}Qi Yang and Yong Liang and Hao{-}Heng Chen and Lan Huang and Chunjian Deng and Na Han}, title = {A Feature Extraction Method Based on Differential Entropy and Linear Discriminant Analysis for Emotion Recognition}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1631}, year = {2019}, url = {https://doi.org/10.3390/s19071631}, doi = {10.3390/S19071631}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenMYLCHDH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChengCT19, author = {Hsiu{-}Wen Cheng and Tsung{-}Lin Chen and Chung{-}Hao Tien}, title = {Motion Estimation by Hybrid Optical Flow Technology for {UAV} Landing in an Unvisited Area}, journal = {Sensors}, volume = {19}, number = {6}, pages = {1380}, year = {2019}, url = {https://doi.org/10.3390/s19061380}, doi = {10.3390/S19061380}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChengCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VanLWLPKC19, author = {Lan{-}Da Van and Yi{-}Bing Lin and Tsung{-}Han Wu and Yun{-}Wei Lin and Syuan{-}Ru Peng and Lin{-}Hang Kao and Chun{-}Hao Chang}, title = {PlantTalk: {A} Smartphone-Based Intelligent Hydroponic Plant Box}, journal = {Sensors}, volume = {19}, number = {8}, pages = {1763}, year = {2019}, url = {https://doi.org/10.3390/s19081763}, doi = {10.3390/S19081763}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/VanLWLPKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeCCH19, author = {Ling Lee and Chun{-}An Chen and Chiao{-}En Chen and Yuan{-}Hao Huang}, title = {Square-Root Generalized Eigenvalue Decomposition Processor for Leakage-Based Multi-User {MIMO} Precoding With Multi-Antenna Users}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {6}, pages = {2382--2393}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2893274}, doi = {10.1109/TCSI.2019.2893274}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thri/ChienCLYLYWY19, author = {Sung{-}En Chien and Li Chu and Hsing{-}Hao Lee and Chien{-}Chun Yang and Fo{-}Hui Lin and Pei{-}Ling Yang and Te{-}Mei Wang and Su{-}Ling Yeh}, title = {Age Difference in Perceived Ease of Use, Curiosity, and Implicit Negative Attitude toward Robots}, journal = {{ACM} Trans. Hum. Robot Interact.}, volume = {8}, number = {2}, pages = {9:1--9:19}, year = {2019}, url = {https://doi.org/10.1145/3311788}, doi = {10.1145/3311788}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thri/ChienCLYLYWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LinLHTCW19, author = {Chun{-}Fu Lin and Sheng{-}Fuu Lin and Chi{-}Hung Hwang and Hao{-}Kai Tu and Chih{-}Yen Chen and Chun{-}Jen Weng}, title = {Real-Time Image-Based Defect Inspection System of Internal Thread for Nut}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {68}, number = {8}, pages = {2830--2848}, year = {2019}, url = {https://doi.org/10.1109/TIM.2018.2872310}, doi = {10.1109/TIM.2018.2872310}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LinLHTCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/DuanYLLX19, author = {Chunhui Duan and Lei Yang and Qiongzheng Lin and Yunhao Liu and Lei Xie}, title = {Robust Spinning Sensing with Dual-RFID-Tags in Noisy Settings}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {18}, number = {11}, pages = {2647--2659}, year = {2019}, url = {https://doi.org/10.1109/TMC.2018.2877985}, doi = {10.1109/TMC.2018.2877985}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/DuanYLLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LinYDL19, author = {Qiongzheng Lin and Lei Yang and Chunhui Duan and Yunhao Liu}, title = {Revisiting Reading Rate with Mobility: Rate-Adaptive Reading of {COTS} {RFID} Systems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {18}, number = {7}, pages = {1631--1646}, year = {2019}, url = {https://doi.org/10.1109/TMC.2018.2864936}, doi = {10.1109/TMC.2018.2864936}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/LinYDL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCLCCCS19, author = {Hao{-}Ping Lee and Kuan{-}yin Chen and Chih{-}Heng Lin and Chia{-}Yu Chen and Yu{-}Lin Chung and Yung{-}Ju Chang and Chien{-}Ru Sun}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Does \emph{Who} Matter?: Studying the Impact of Relationship Characteristics on Receptivity to Mobile {IM} Messages}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {526}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300756}, doi = {10.1145/3290605.3300756}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeCLCCCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedTB19, author = {Abdelrahman Abdelhamed and Radu Timofte and Michael S. Brown and Songhyun Yu and Bumjun Park and Jechang Jeong and Seung{-}Won Jung and Dong{-}Wook Kim and Jae Ryun Chung and Jiaming Liu and Yuzhi Wang and Chi{-}Hao Wu and Qin Xu and Chuan Wang and Shaofan Cai and Yifan Ding and Haoqiang Fan and Jue Wang and Kai Zhang and Wangmeng Zuo and Magauiya Zhussip and Dongwon Park and Shakarim Soltanayev and Se Young Chun and Zhiwei Xiong and Chang Chen and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Sung{-}Jea Ko and Dong{-}Pan Lim and Seung{-}Wook Kim and Seo{-}Won Ji and Sang{-}Won Lee and Wenyi Tang and Yuchen Fan and Yuqian Zhou and Ding Liu and Thomas S. Huang and Deyu Meng and Lei Zhang and Hongwei Yong and Yiyun Zhao and Pengliang Tang and Yue Lu and Raimondo Schettini and Simone Bianco and Simone Zini and Chi Li and Yang Wang and Zhiguo Cao}, title = {{NTIRE} 2019 Challenge on Real Image Denoising: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2197--2210}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Abdelhamed\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Denoising\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00273}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JiaNJK0L19, author = {Haoge Jia and Zuyao Ni and Chunxiao Jiang and Linling Kuang and Song Guo and Jianhua Lu}, title = {Enhanced Irregular Repetition Slotted {ALOHA} with Degree Distribution Adjustment in Satellite Network}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9013984}, doi = {10.1109/GLOBECOM38437.2019.9013984}, timestamp = {Wed, 04 Mar 2020 10:00:45 +0100}, biburl = {https://dblp.org/rec/conf/globecom/JiaNJK0L19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChangLL19, author = {Wei{-}Hao Chang and Jeng{-}Lin Li and Chi{-}Chun Lee}, title = {Learning Semantic-preserving Space Using User Profile and Multimodal Media Content from Political Social Network}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {3990--3994}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682596}, doi = {10.1109/ICASSP.2019.8682596}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChangLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLLHCC19, author = {Wei{-}Chen Wang and Ping{-}Hsien Lin and Yung{-}Chun Li and Chien{-}Chung Ho and Yu{-}Ming Chang and Yuan{-}Hao Chang}, editor = {David Z. Pan}, title = {Toward Instantaneous Sanitization through Disturbance-induced Errors and Recycling Programming over 3D Flash Memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942084}, doi = {10.1109/ICCAD45719.2019.8942084}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLLHCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbdc/LinWL19, author = {Yu{-}Shiou Lin and Chung{-}Hao Wu and Henry Horng{-}Shing Lu}, title = {Budgeted Algorithm for Linearized Confidence-Weighted Learning}, booktitle = {Proceedings of the 2019 3rd International Conference on Cloud and Big Data Computing, {ICCBDC} 2019, Oxford, UK, August 28-30, 2019}, pages = {6--10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3358505.3358510}, doi = {10.1145/3358505.3358510}, timestamp = {Wed, 30 Oct 2019 12:40:04 +0100}, biburl = {https://dblp.org/rec/conf/iccbdc/LinWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangCL19, author = {Tsung{-}Chu Huang and Chun{-}Hao Chiang and Mo{-}Han Lin}, title = {Low-Cost and Fast Design of Precise Activation Functions in Neural Network}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991997}, doi = {10.1109/ICCE-TW46550.2019.8991997}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/DuZBSZHZLWWWZFC19, author = {Dawei Du and Yue Zhang and Liefeng Bo and Hailin Shi and Rui Zhu and Bo Han and Chunhui Zhang and Guizhong Liu and Han Wu and Hao Wen and Haoran Wang and Pengfei Zhu and Jiaqing Fan and Jie Chen and Jie Gao and Jie Zhang and Jinghao Zhou and Jinliu Zhou and Jinwang Wang and Jiuqing Wan and Josef Kittler and Kaihua Zhang and Longyin Wen and Kaiqi Huang and Kang Yang and Kangkai Zhang and Lianghua Huang and Lijun Zhou and Lingling Shi and Lu Ding and Ning Wang and Peng Wang and Qintao Hu and Xiao Bian and Robert Lagani{\`{e}}re and Ruiyan Ma and Ruohan Zhang and Shanrong Zou and Shengwei Zhao and Shengyang Li and Shengyin Zhu and Shikun Li and Shiming Ge and Shiyu Xuan and Haibin Ling and Tianyang Xu and Ting He and Wei Shi and Wei Song and Weiming Hu and Wenhua Zhang and Wenjun Zhu and Xi Yu and Xianhai Wang and Xiaojun Wu and Qinghua Hu and Xiaotong Li and Xiaoxue Li and Xiaoyue Yin and Xin Zhang and Xin Zhao and Xizhe Xue and Xu Lei and Xueyuan Yang and Yanjie Gao and Yanyun Zhao and Jiayu Zheng and Yinda Xu and Ying Li and Yong Wang and Yong Yang and Yuting Yang and Yuxuan Li and Zeyu Wang and Zhen{-}Hua Feng and Zhipeng Zhang and Zhiyong Yu and Tao Peng and Zhizhao Duan and Zhuojin Sun and Xinyao Wang}, title = {VisDrone-SOT2019: The Vision Meets Drone Single Object Tracking Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {199--212}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00029}, doi = {10.1109/ICCVW.2019.00029}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/DuZBSZHZLWWWZFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/DuZWWSLBSZKLZAS19, author = {Dawei Du and Yue Zhang and Zexin Wang and Zhikang Wang and Zichen Song and Ziming Liu and Liefeng Bo and Hailin Shi and Rui Zhu and Aashish Kumar and Aijin Li and Almaz Zinollayev and Anuar Askergaliyev and Arne Schumann and Binjie Mao and Pengfei Zhu and Byeongwon Lee and Chang Liu and Changrui Chen and Chunhong Pan and Chunlei Huo and Da Yu and Dechun Cong and Dening Zeng and Dheeraj Reddy Pailla and Di Li and Longyin Wen and Dong Wang and Donghyeon Cho and Dongyu Zhang and Furui Bai and George Jose and Guangyu Gao and Guizhong Liu and Haitao Xiong and Hao Qi and Haoran Wang and Xiao Bian and Heqian Qiu and Hongliang Li and Huchuan Lu and Ildoo Kim and Jaekyum Kim and Jane Shen and Jihoon Lee and Jing Ge and Jingjing Xu and Jingkai Zhou and Haibin Ling and Jonas Meier and Jun Won Choi and Junhao Hu and Junyi Zhang and Junying Huang and Kaiqi Huang and Keyang Wang and Lars Sommer and Lei Jin and Lei Zhang and Qinghua Hu and Lianghua Huang and Lin Sun and Lucas Steinmann and Meixia Jia and Nuo Xu and Pengyi Zhang and Qiang Chen and Qingxuan Lv and Qiong Liu and Qishang Cheng and Tao Peng and Sai Saketh Chennamsetty and Shuhao Chen and Shuo Wei and Srinivas S. S. Kruthiventi and Sungeun Hong and Sungil Kang and Tong Wu and Tuo Feng and Varghese Alex Kollerathu and Wanqi Li and Jiayu Zheng and Wei Dai and Weida Qin and Weiyang Wang and Xiaorui Wang and Xiaoyu Chen and Xin Chen and Xin Sun and Xin Zhang and Xin Zhao and Xindi Zhang and Xinyao Wang and Xinyu Zhang and Xuankun Chen and Xudong Wei and Xuzhang Zhang and Yanchao Li and Yifu Chen and Yu Heng Toh and Yu Zhang and Yu Zhu and Yunxin Zhong}, title = {VisDrone-DET2019: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {213--226}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00030}, doi = {10.1109/ICCVW.2019.00030}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/DuZWWSLBSZKLZAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/WenZBSZJDLLZWZN19, author = {Longyin Wen and Yue Zhang and Liefeng Bo and Hailin Shi and Rui Zhu and Ajit Jadhav and Bing Dong and Brejesh Lall and Chang Liu and Chunhui Zhang and Dong Wang and Pengfei Zhu and Feng Ni and Filiz Bunyak and Gaoang Wang and Guizhong Liu and Guna Seetharaman and Guorong Li and H{\aa}kan Ard{\"{o}} and Haotian Zhang and Hongyang Yu and Huchuan Lu and Dawei Du and Jenq{-}Neng Hwang and Jiatong Mu and Jinrong Hu and Kannappan Palaniappan and Long Chen and Lu Ding and Martin Lauer and Mikael G. Nilsson and Noor M. Al{-}Shakarji and Prerana Mukherjee and Xiao Bian and Qingming Huang and Robert Lagani{\`{e}}re and Shuhao Chen and Siyang Pan and Vinay Kaushik and Wei Shi and Wei Tian and Weiqiang Li and Xin Chen and Xinyu Zhang and Haibin Ling and Yanting Zhang and Yanyun Zhao and Yong Wang and Yuduo Song and Yuehan Yao and Zhaotang Chen and Zhenyu Xu and Zhibin Xiao and Zhihang Tong and Zhipeng Luo and Qinghua Hu and Zhuojin Sun and Jiayu Zheng and Tao Peng and Xinyao Wang}, title = {VisDrone-MOT2019: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {189--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00028}, doi = {10.1109/ICCVW.2019.00028}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/WenZBSZJDLLZWZN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChouLLCTSLYT19, author = {Li{-}Der Chou and Chien{-}Chang Liu and Meng{-}Sheng Lai and Kai{-}Cheng Chiu and Hsuan{-}Hao Tu and Sen Su and Chun{-}Lin Lai and Chia{-}Kuan Yen and Wei{-}Hsiang Tsai}, title = {Behavior Anomaly Detection in {SDN} Control Plane: {A} Case Study of Topology Discovery Attacks}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {357--362}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939903}, doi = {10.1109/ICTC46691.2019.8939903}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChouLLCTSLYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idaacs/SongYWY19, author = {Haoqiu Song and Zhiwei Ye and Chunzhi Wang and Lingyu Yan}, title = {Image Classification Based on {BP} Neural Network and Sine Cosine Algorithm}, booktitle = {10th {IEEE} International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, {IDAACS} 2019, Metz, France, September 18-21, 2019}, pages = {562--566}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IDAACS.2019.8924322}, doi = {10.1109/IDAACS.2019.8924322}, timestamp = {Thu, 09 Jan 2020 11:15:29 +0100}, biburl = {https://dblp.org/rec/conf/idaacs/SongYWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChenWLZXT19, author = {Ming{-}Puu Chen and Li{-}Chun Wang and Shu{-}Yuan Lin and Di Zou and Haoran Xie and Chin{-}Chung Tsai}, title = {Enhancing Contextualized Learning via {AR}}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {286--289}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00064}, doi = {10.1109/IIAI-AAI.2019.00064}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChenWLZXT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/LinC19, author = {Shu{-}Chuan Lin and Chung{-}Hao Chen}, editor = {Leonard Barolli and Fatos Xhafa and Omar Khadeer Hussain}, title = {A Model of Chain Restaurant Organizational Achievement in Taiwan: {A} Structural Equation Modeling Approach}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 13th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2019), Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {994}, pages = {781--790}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22263-5\_75}, doi = {10.1007/978-3-030-22263-5\_75}, timestamp = {Tue, 25 Jun 2019 20:42:25 +0200}, biburl = {https://dblp.org/rec/conf/imis/LinC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YehCSHLTTLCTTLL19, author = {Sung{-}Lin Yeh and Gao{-}Yi Chao and Bo{-}Hao Su and Yu{-}Lin Huang and Meng{-}Han Lin and Yin{-}Chun Tsai and Yu{-}Wen Tai and Zheng{-}Chi Lu and Chieh{-}Yu Chen and Tsung{-}Ming Tai and Chiu{-}Wang Tseng and Cheng{-}Kuang Lee and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Using Attention Networks and Adversarial Augmentation for Styrian Dialect Continuous Sleepiness and Baby Sound Recognition}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {2398--2402}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2110}, doi = {10.21437/INTERSPEECH.2019-2110}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YehCSHLTTLCTTLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChangYYL19, author = {Hao{-}Chun Chang and Yu{-}Chieh Yang and Liang{-}Yan Yu and Chun{-}Han Lin}, title = {{FLASH:} Content-based Power-saving Design for Scrolling Operations in Browser Applications on Mobile {OLED} Devices}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824875}, doi = {10.1109/ISLPED.2019.8824875}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChangYYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/PengLTW19, author = {Yan{-}Tsung Peng and Ming{-}Hao Lin and Chun{-}Lin Tang and Chin{-}Hsien Wu}, title = {Image Denoising Based on Overlapped and Adaptive Gaussian Smoothing and Convolutional Refinement Networks}, booktitle = {{IEEE} International Symposium on Multimedia, {ISM} 2019, San Diego, CA, USA, December 9-11, 2019}, pages = {136--139}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISM46123.2019.00032}, doi = {10.1109/ISM46123.2019.00032}, timestamp = {Fri, 31 Jan 2020 16:44:43 +0100}, biburl = {https://dblp.org/rec/conf/ism/PengLTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/MaZLLDLWMZ19, author = {Zhaohui Ma and Gansen Zhao and Chengchuang Lin and Haoyu Luo and Chunyun Deng and Shuangyin Li and Qinglan Wu and Zefeng Mo and Zanbo Zhang}, title = {Research on Strategy Optimization of OpenFlow Switch Flow Table Based on "Bus Route" Idea}, booktitle = {2019 {IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2019, Xiamen, China, December 16-18, 2019}, pages = {1402--1409}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00201}, doi = {10.1109/ISPA-BDCLOUD-SUSTAINCOM-SOCIALCOM48970.2019.00201}, timestamp = {Fri, 03 Apr 2020 09:58:46 +0200}, biburl = {https://dblp.org/rec/conf/ispa/MaZLLDLWMZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliYPCWHLWHLWTT19, author = {Tamer A. Ali and Ramy Yousry and Henry Park and Ehung Chen and Po{-}Shuan Weng and Yi{-}Chieh Huang and Chun{-}Cheng Liu and Chien{-}Hua Wu and Shih{-}Hao Huang and Chungshi Lin and Ke{-}Chung Wu and Kun{-}Hung Tsai and Kai{-}Wen Tan and Ahmed ElShater and Kuang{-}Ren Chen and Wei{-}Hao Tsai and Huan{-}Sheng Chen and Weiyu Leng and Mazen Soliman}, title = {A 180mW 56Gb/s DSP-Based Transceiver for High Density IOs in Data Center Switches in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {118--120}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662523}, doi = {10.1109/ISSCC.2019.8662523}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliYPCWHLWHLWTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeTHCLLLLH19, author = {Shuenn{-}Yuh Lee and Chieh Tsou and Peng{-}Wei Huang and Po{-}Hao Cheng and Chi{-}Chung Liao and Zhan{-}Xien Liao and Hao{-}Yun Lee and Chou{-}Ching K. Lin and Chia{-}Hsiang Hsieh}, title = {A Programmable Wireless {EEG} Monitoring SoC with Open/Closed-Loop Optogenetic and Electrical Stimulation for Epilepsy Control}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {372--374}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662385}, doi = {10.1109/ISSCC.2019.8662385}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeTHCLLLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangLSLSWCCBCHR19, author = {Chih{-}Chun Tang and Yi{-}Bin Lee and Chih{-}hao Eric Sun and Cheng{-}Chieh Lin and Jin{-}Siang Syu and Min{-}Hua Wu and YangChuan Chen and Tzu{-}Chan Chueh and Carl Bryant and Manel Collados and Mohammed Hassan and Joao Ramos and Yu{-}Lin Hsieh and Hsinhung Chen and Xiaochuan Guo and Hsinhua Chen and Changhua Cao and Daniel Li and Jon Strange and Caiyi Wang and Guang{-}Kaai Dehng}, title = {An {LTE-A} Multimode Multiband {RF} Transceiver with 4RX/2TX Inter-Band Carrier Aggregation, 2-Carrier 4{\texttimes}4 {MIMO} with 256QAM and {HPUE} Capability in 28nm {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {350--352}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662362}, doi = {10.1109/ISSCC.2019.8662362}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/TangLSLSWCCBCHR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueCLLLLWWCCHKW19, author = {Cheng{-}Xin Xue and Wei{-}Hao Chen and Je{-}Syu Liu and Jia{-}Fang Li and Wei{-}Yu Lin and Wei{-}En Lin and Jing{-}Hong Wang and Wei{-}Chen Wei and Ting{-}Wei Chang and Tung{-}Cheng Chang and Tsung{-}Yuan Huang and Hui{-}Yao Kao and Shih{-}Ying Wei and Yen{-}Cheng Chiu and Chun{-}Ying Lee and Chung{-}Chuan Lo and Ya{-}Chin King and Chorng{-}Jung Lin and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 1Mb Multibit ReRAM Computing-In-Memory Macro with 14.6ns Parallel {MAC} Computing Time for {CNN} Based {AI} Edge Processors}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {388--390}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662395}, doi = {10.1109/ISSCC.2019.8662395}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XueCLLLLWWCCHKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ChangCCKH19, author = {Chun{-}Young Chang and Lin{-}Chien Chien and Yo{-}Hao Chang and En{-}Chun Kuo and Yuh{-}Shyan Hwang}, editor = {Imre J. Rudas and J{\'{a}}nos Csirik and Carlos Toro and J{\'{a}}nos Botzheim and Robert J. Howlett and Lakhmi C. Jain}, title = {A Smart Public Security Strategy: The New Taipei City Technology Defense Plan}, booktitle = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 23rd International Conference KES-2019, Budapest, Hungary, 4-6 September 2019}, series = {Procedia Computer Science}, volume = {159}, pages = {1715--1719}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.09.342}, doi = {10.1016/J.PROCS.2019.09.342}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/ChangCCKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/LeeDLCCCC19, author = {Hao{-}Ping Lee and Tilman Dingler and Chih{-}Heng Lin and Kuan{-}yin Chen and Yu{-}Lin Chung and Chia{-}Yu Chen and Yung{-}Ju Chang}, title = {Predicting Smartphone Users' General Responsiveness to {IM} Contacts Based on {IM} Behavior}, booktitle = {Proceedings of the 21st International Conference on Human-Computer Interaction with Mobile Devices and Services, MobileHCI 2019, Taipei, Taiwan, October 1-4, 2019}, pages = {40:1--40:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338286.3344387}, doi = {10.1145/3338286.3344387}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/LeeDLCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangCCCYLCLLCCC19, author = {Hao{-}Jen Wang and Leng{-}Rong Chen and Li{-}Wei Chen and Yi{-}Chang Chen and Shun{-}Mao Yang and Mong{-}Wei Lin and Joseph Chang and Chia{-}Chen Li and Chia{-}Yen Lee and Jin{-}Shing Chen and Yeun{-}Chung Chang and Chung{-}Ming Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Discrimination of benign and malignant pulmonary tumors in computed tomography: effective priori information of fast learning network architecture}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493B}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512846}, doi = {10.1117/12.2512846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangCCCYLCLLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlicom/QiaoZSWLY19, author = {Lin Qiao and Qiaoni Zhou and Chunhe Song and Hao Wu and Biqi Liu and Shimao Yu}, editor = {Xiangping Bryce Zhai and Bing Chen and Kun Zhu}, title = {Design of Overall Framework of Self-Service Big Data Governance for Power Grid}, booktitle = {Machine Learning and Intelligent Communications - 4th International Conference, {MLICOM} 2019, Nanjing, China, August 24-25, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {294}, pages = {222--234}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32388-2\_19}, doi = {10.1007/978-3-030-32388-2\_19}, timestamp = {Thu, 06 May 2021 14:32:55 +0200}, biburl = {https://dblp.org/rec/conf/mlicom/QiaoZSWLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/VanCTWZT19, author = {Lan{-}Da Van and Chun{-}Hao Chang and Kit{-}Lun Tong and Kun{-}Ru Wu and Ling{-}Yan Zhang and Yu{-}Chee Tseng}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Demo: Tagging IoT Data in a Drone View}, booktitle = {The 25th Annual International Conference on Mobile Computing and Networking, MobiCom 2019, Los Cabos, Mexico, October 21-25, 2019}, pages = {70:1--70:3}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3300061.3343378}, doi = {10.1145/3300061.3343378}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/VanCTWZT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WuHWLWKCWFL19, author = {Wei{-}Li Wu and Cheng{-}Yi Huang and Huai{-}Yung Wang and Yu{-}Hong Lin and Cheng{-}Han Wu and Hao{-}Chung Kuo and Wood{-}Hi Cheng and Chao{-}Hsin Wu and Milton Feng and Gong{-}Ru Lin}, title = {{VCSEL} with Bi-Layer Oxidized Aperture Enables 140-Gbit/s {OFDM} Transmission over 100-m-Long {OM5} {MMF}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696961}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WuHWLWKCWFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/WuSWWCTKL19, author = {Yi{-}Chien Wu and Chia{-}Yu Su and Wei{-}Chun Wang and Huai{-}Yung Wang and Chih{-}Hsien Cheng and Cheng{-}Ting Tsai and Hao{-}Chung Kuo and Gong{-}Ru Lin}, title = {30 Gbit/s Optical Wireless Communication with Red/Green/Violet {LD} Mixed White Light}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817890}, doi = {10.23919/PS.2019.8817890}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ps/WuSWWCTKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenCHWLL19, author = {Chun{-}Hao Chen and Chia{-}Yuan Cheng and Tzung{-}Pei Hong and Mu{-}En Wu and Kawuu W. Lin and Jerry Chun{-}Wei Lin}, title = {An Evolutionary-based Algorithm for Multi-Period Grouping Stock Portfolio Optimization}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2530--2534}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914400}, doi = {10.1109/SMC.2019.8914400}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenCHWLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ChengL19, author = {Chung{-}Hao Cheng and Hsiung{-}Cheng Lin}, editor = {Masahide Nakamura and Hiroaki Hirata and Takayuki Ito and Takanobu Otsuka and Shun Okuhara}, title = {Development of Automatic Mechanical Control System for Copper Wire Elongation}, booktitle = {20th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2019, Toyama, Japan, July 8-11, 2019}, pages = {195--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SNPD.2019.8935685}, doi = {10.1109/SNPD.2019.8935685}, timestamp = {Tue, 07 Sep 2021 18:19:39 +0200}, biburl = {https://dblp.org/rec/conf/snpd/ChengL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LinWFCL19, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Philippe Fournier{-}Viger and Chun{-}Hao Chen and Ting Li}, title = {A Project-based PMiner Algorithm in Uncertain Databases}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959890}, doi = {10.1109/TAAI48200.2019.8959890}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/LinWFCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/HsuCCLHK19, author = {Chao{-}Chun Hsu and Yu{-}Hua Chen and Zi{-}Yuan Chen and Hsin{-}Yu Lin and Ting{-}Hao (Kenneth) Huang and Lun{-}Wei Ku}, editor = {Ling Liu and Ryen W. White and Amin Mantrach and Fabrizio Silvestri and Julian J. McAuley and Ricardo Baeza{-}Yates and Leila Zia}, title = {Dixit: Interactive Visual Storytelling via Term Manipulation}, booktitle = {The World Wide Web Conference, {WWW} 2019, San Francisco, CA, USA, May 13-17, 2019}, pages = {3531--3535}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3308558.3314131}, doi = {10.1145/3308558.3314131}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/HsuCCLHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-02184, author = {Zenan Ling and Haotian Ma and Yu Yang and Robert C. Qiu and Song{-}Chun Zhu and Quanshi Zhang}, title = {Explaining AlphaGo: Interpreting Contextual Effects in Neural Networks}, journal = {CoRR}, volume = {abs/1901.02184}, year = {2019}, url = {http://arxiv.org/abs/1901.02184}, eprinttype = {arXiv}, eprint = {1901.02184}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-02184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-04844, author = {Shengjun Wei and Hao Zhong and Chun Shan and Lin Ye and Xiaojiang Du and Mohsen Guizani}, title = {Vulnerability Prediction Based on Weighted Software Network for Secure Software Building}, journal = {CoRR}, volume = {abs/1902.04844}, year = {2019}, url = {http://arxiv.org/abs/1902.04844}, eprinttype = {arXiv}, eprint = {1902.04844}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-04844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-01214, author = {Wei{-}Wen Hsu and Chung{-}Hao Chen and Chang Hoa and Yu{-}Ling Hou and Xiang Gao and Yun Shao and Xueli Zhang and Jingjing Wang and Tao He and Yanghong Tai}, title = {Understanding the Mechanism of Deep Learning Framework for Lesion Detection in Pathological Images with Breast Cancer}, journal = {CoRR}, volume = {abs/1903.01214}, year = {2019}, url = {http://arxiv.org/abs/1903.01214}, eprinttype = {arXiv}, eprint = {1903.01214}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-01214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-02230, author = {Chao{-}Chun Hsu and Yu{-}Hua Chen and Zi{-}Yuan Chen and Hsin{-}Yu Lin and Ting{-}Hao Kenneth Huang and Lun{-}Wei Ku}, title = {Dixit: Interactive Visual Storytelling via Term Manipulation}, journal = {CoRR}, volume = {abs/1903.02230}, year = {2019}, url = {http://arxiv.org/abs/1903.02230}, eprinttype = {arXiv}, eprint = {1903.02230}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-02230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07386, author = {Kong Aik Lee and Ville Hautam{\"{a}}ki and Tomi Kinnunen and Hitoshi Yamamoto and Koji Okabe and Ville Vestman and Jing Huang and Guohong Ding and Hanwu Sun and Anthony Larcher and Rohan Kumar Das and Haizhou Li and Mickael Rouvier and Pierre{-}Michel Bousquet and Wei Rao and Qing Wang and Chunlei Zhang and Fahimeh Bahmaninezhad and H{\'{e}}ctor Delgado and Jose Patino and Qiongqiong Wang and Ling Guo and Takafumi Koshinaka and Jiacen Zhang and Koichi Shinoda and Trung Ngo Trong and Md. Sahidullah and Fan Lu and Yun Tang and Ming Tu and Kah Kuan Teh and Tran Huy Dat and Kuruvachan K. George and Ivan Kukanov and Florent Desnous and Jichen Yang and Emre Yilmaz and Longting Xu and Jean{-}Fran{\c{c}}ois Bonastre and Chenglin Xu and Zhi Hao Lim and Eng Siong Chng and Shivesh Ranjan and John H. L. Hansen and Massimiliano Todisco and Nicholas W. D. Evans}, title = {{I4U} Submission to {NIST} {SRE} 2018: Leveraging from a Decade of Shared Experiences}, journal = {CoRR}, volume = {abs/1904.07386}, year = {2019}, url = {http://arxiv.org/abs/1904.07386}, eprinttype = {arXiv}, eprint = {1904.07386}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-00317, author = {Pei{-}Chuan Chen and Erik D. Demaine and Chung{-}Shou Liao and Hao{-}Ting Wei}, title = {Waiting is not easy but worth it: the online {TSP} on the line revisited}, journal = {CoRR}, volume = {abs/1907.00317}, year = {2019}, url = {http://arxiv.org/abs/1907.00317}, eprinttype = {arXiv}, eprint = {1907.00317}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-00317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-04013, author = {Kun Cheng and Hao{-}Zhi Huang and Chun Yuan and Lingyiqing Zhou and Wei Liu}, title = {Multi-Frame Content Integration with a Spatio-Temporal Attention Mechanism for Person Video Motion Transfer}, journal = {CoRR}, volume = {abs/1908.04013}, year = {2019}, url = {http://arxiv.org/abs/1908.04013}, eprinttype = {arXiv}, eprint = {1908.04013}, timestamp = {Mon, 14 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-04013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-07137, author = {Haojie Liu and Kang Liao and Chunyu Lin and Yao Zhao and Yulan Guo}, title = {{PLIN:} {A} Network for Pseudo-LiDAR Point Cloud Interpolation}, journal = {CoRR}, volume = {abs/1909.07137}, year = {2019}, url = {http://arxiv.org/abs/1909.07137}, eprinttype = {arXiv}, eprint = {1909.07137}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-07137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-01496, author = {Chao{-}Chun Hsu and Zi{-}Yuan Chen and Chi{-}Yang Hsu and Chih{-}Chia Li and Tzu{-}Yuan Lin and Ting{-}Hao Kenneth Huang and Lun{-}Wei Ku}, title = {Knowledge-Enriched Visual Storytelling}, journal = {CoRR}, volume = {abs/1912.01496}, year = {2019}, url = {http://arxiv.org/abs/1912.01496}, eprinttype = {arXiv}, eprint = {1912.01496}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-01496.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsaoCFLL18, author = {Yu Tsao and Hao{-}Chun Chu and Shih{-}Hau Fang and Junghsi Lee and Chih{-}Min Lin}, title = {Adaptive Noise Cancellation Using Deep Cerebellar Model Articulation Controller}, journal = {{IEEE} Access}, volume = {6}, pages = {37395--37402}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2827699}, doi = {10.1109/ACCESS.2018.2827699}, timestamp = {Fri, 20 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TsaoCFLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuHWLB18, author = {Zehao Wu and Guoshun Huang and Chufen Wu and Chunwan Lv and Lingxin Bao}, title = {On Convergence of Extended State Observer for a Class of {MIMO} Uncertain Stochastic Nonlinear Systems}, journal = {{IEEE} Access}, volume = {6}, pages = {37758--37766}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2849199}, doi = {10.1109/ACCESS.2018.2849199}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuHWLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengGYLLKCZWG18, author = {Lili Zheng and Ziquan Guo and Wei Yan and Yue Lin and Yi{-}Jun Lu and Hao{-}Chung Kuo and Zhong Chen and Lihong Zhu and Tingzhu Wu and Yulin Gao}, title = {Research on a Camera-Based Microscopic Imaging System to Inspect the Surface Luminance of the Micro-LED Array}, journal = {{IEEE} Access}, volume = {6}, pages = {51329--51336}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2869778}, doi = {10.1109/ACCESS.2018.2869778}, timestamp = {Sat, 06 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhengGYLLKCZWG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/FengCLCL18, author = {Po{-}Hao Feng and Tzu{-}Tao Chen and Yin{-}Tzu Lin and Shang{-}Yu Chiang and Chung{-}Ming Lo}, title = {Classification of lung cancer subtypes based on autofluorescence bronchoscopic pattern recognition: {A} preliminary study}, journal = {Comput. Methods Programs Biomed.}, volume = {163}, pages = {33--38}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2018.05.016}, doi = {10.1016/J.CMPB.2018.05.016}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/FengCLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/FanCTYCH18, author = {Ching{-}Lin Fan and Chun{-}Yuan Chen and Fan{-}Ping Tseng and Chuang{-}Cheng Yang and Kuo{-}En Chien and Yu{-}Hao Hsiao}, title = {3T0.5C Compensating pixel circuit with all p-type LTPS-TFTs for {AMOLED} displays}, journal = {Displays}, volume = {53}, pages = {8--13}, year = {2018}, url = {https://doi.org/10.1016/j.displa.2018.04.001}, doi = {10.1016/J.DISPLA.2018.04.001}, timestamp = {Thu, 13 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/FanCTYCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dpd/LinCCYL18, author = {Chun{-}Cheng Lin and Sheng{-}Hao Chung and Ju{-}Chin Chen and Yuan{-}Tse Yu and Kawuu W. Lin}, title = {A fast and low idle time method for mining frequent patterns in distributed and many-task computing environments}, journal = {Distributed Parallel Databases}, volume = {36}, number = {4}, pages = {613--641}, year = {2018}, url = {https://doi.org/10.1007/s10619-018-7221-9}, doi = {10.1007/S10619-018-7221-9}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dpd/LinCCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ZhaoZ0AHLS18, author = {Ruonan Zhao and Lichen Zhang and Xiaoming Wang and Chunyu Ai and Fei Hao and Yaguang Lin and Lei Shi}, title = {A novel energy-efficient probabilistic routing method for mobile opportunistic networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {263}, year = {2018}, url = {https://doi.org/10.1186/s13638-018-1277-0}, doi = {10.1186/S13638-018-1277-0}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ZhaoZ0AHLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChenKTNSLVHCTT18, author = {Ying Chen and Shih Ling Kao and Maudrene Tan and Yilin Ning and Mark Kevin Salloway and Wee Hwee Lin and Kavita Venkataraman and Eric Khoo Yin Hao and Yeow Leng Chow and E. Shyong Tai and Chuen Seng Tan}, title = {Feasibility of representing adherence to blood glucose monitoring through visualizations: {A} pilot survey study among healthcare workers}, journal = {Int. J. Medical Informatics}, volume = {120}, pages = {172--178}, year = {2018}, url = {https://doi.org/10.1016/j.ijmedinf.2018.09.006}, doi = {10.1016/J.IJMEDINF.2018.09.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChenKTNSLVHCTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangYSYL18, author = {Haoran Wang and Chunfeng Yuan and Jifeng Shen and Wankou Yang and Haibin Ling}, title = {Action unit detection and key frame selection for human activity prediction}, journal = {Neurocomputing}, volume = {318}, pages = {109--119}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2018.08.037}, doi = {10.1016/J.NEUCOM.2018.08.037}, timestamp = {Sat, 06 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WangYSYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/YouLCLH0CCCHSTW18, author = {Chuang{-}Wen You and Ya{-}Fang Lin and Yaliang Chuang and Ya{-}Han Lee and Pei{-}Yi Hsu and Shih{-}Yao Lin and Chih{-}Chun Chang and Yi{-}Ju Chung and Yi{-}Ling Chen and Ming{-}Chyi Huang and Ping{-}Hsuan Shen and Hsin{-}Tung Tseng and Hao{-}Chuan Wang}, title = {SoberMotion: Leveraging the Force of Probation Officers to Reduce the Risk of {DUI} Recidivism}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {2}, number = {3}, pages = {146:1--146:34}, year = {2018}, url = {https://doi.org/10.1145/3264956}, doi = {10.1145/3264956}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/YouLCLH0CCCHSTW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/ZhangFLLZL18, author = {Xiao Zhang and Haosheng Fan and Victor C. S. Lee and Minming Li and Yingchao Zhao and Chuang Liu}, title = {Minimizing the total cost of barrier coverage in a linear domain}, journal = {J. Comb. Optim.}, volume = {36}, number = {2}, pages = {434--457}, year = {2018}, url = {https://doi.org/10.1007/s10878-018-0306-6}, doi = {10.1007/S10878-018-0306-6}, timestamp = {Sun, 26 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jco/ZhangFLLZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YehHPWHKLL18, author = {Shih{-}Ching Yeh and Chung{-}Lin Hou and Wei{-}Hao Peng and Zhen{-}Zhan Wei and Shiuan Huang and Edward Yu{-}Chen Kung and Longsong Lin and Yi{-}Hung Liu}, title = {A multiplayer online car racing virtual-reality game based on internet of brains}, journal = {J. Syst. Archit.}, volume = {89}, pages = {30--40}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.06.004}, doi = {10.1016/J.SYSARC.2018.06.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YehHPWHKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HuangFL18, author = {Guo{-}Lun Huang and Wei{-}Hao Fu and Chun{-}Yu Lin}, title = {Investigation and application of vertical {NPN} devices for {RF} {ESD} protection in BiCMOS technology}, journal = {Microelectron. Reliab.}, volume = {83}, pages = {271--280}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.06.068}, doi = {10.1016/J.MICROREL.2017.06.068}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/HuangFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KurniawanYLW18, author = {Erry Dwi Kurniawan and Hao Yang and Chia{-}Chou Lin and Yung{-}Chun Wu}, title = {Effect of fin shape of tapered FinFETs on the device performance in 5-nm node {CMOS} technology}, journal = {Microelectron. Reliab.}, volume = {83}, pages = {254--259}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.06.037}, doi = {10.1016/J.MICROREL.2017.06.037}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KurniawanYLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangHCKL18, author = {Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Ping{-}Hsien Lin}, title = {Scrubbing-Aware Secure Deletion for 3-D {NAND} Flash}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {11}, pages = {2790--2801}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2018.2857260}, doi = {10.1109/TCAD.2018.2857260}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WangHCKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/DuanWXLPJ18, author = {Jiajun Duan and Cheng Wang and Hao Xu and Wenxin Liu and Jian{-}Chun Peng and Hui Jiang}, title = {Distributed Control of Inverter-Interfaced Microgrids With Bounded Transient Line Currents}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {14}, number = {5}, pages = {2052--2061}, year = {2018}, url = {https://doi.org/10.1109/TII.2018.2791988}, doi = {10.1109/TII.2018.2791988}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/DuanWXLPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/DuanYLL18, author = {Chunhui Duan and Lei Yang and Qiongzheng Lin and Yunhao Liu}, title = {Tagspin: High Accuracy Spatial Calibration of {RFID} Antennas via Spinning Tags}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {17}, number = {10}, pages = {2438--2451}, year = {2018}, url = {https://doi.org/10.1109/TMC.2018.2796092}, doi = {10.1109/TMC.2018.2796092}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/DuanYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LiXSCLH18, author = {Ruibo Li and Ke Xian and Chunhua Shen and Zhiguo Cao and Hao Lu and Lingxiao Hang}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Deep Attention-Based Classification Network for Robust Depth Prediction}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {11364}, pages = {663--678}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20870-7\_41}, doi = {10.1007/978-3-030-20870-7\_41}, timestamp = {Tue, 25 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/LiXSCLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChenCHWL18, author = {Chun{-}Hao Chen and Bing{-}Yang Chiang and Tzung{-}Pei Hong and Ding{-}Chau Wang and Jerry Chun{-}Wei Lin}, editor = {Ngoc Thanh Nguyen and Duong Hung Hoang and Tzung{-}Pei Hong and Hoang Pham and Bogdan Trawinski}, title = {An Approach for Diverse Group Stock Portfolio Optimization Using the Fuzzy Grouping Genetic Algorithm}, booktitle = {Intelligent Information and Database Systems - 10th Asian Conference, {ACIIDS} 2018, Dong Hoi City, Vietnam, March 19-21, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10751}, pages = {510--518}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-75417-8\_48}, doi = {10.1007/978-3-319-75417-8\_48}, timestamp = {Thu, 16 Mar 2023 20:00:29 +0100}, biburl = {https://dblp.org/rec/conf/aciids/ChenCHWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/DingZZLSN18, author = {Hao Ding and Ying Zhang and Lingxi Zhao and Yujia Liu and Chunxun Shi and Zhichao Nie}, editor = {Wei Li and Qingli Li and Lipo Wang}, title = {Development of a Rotating Endothelial Cell Culture Device}, booktitle = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CISP-BMEI.2018.8633258}, doi = {10.1109/CISP-BMEI.2018.8633258}, timestamp = {Thu, 02 Feb 2023 10:46:28 +0100}, biburl = {https://dblp.org/rec/conf/bmei/DingZZLSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/LinCY18, author = {Teng Yung Lin and Howard Hao{-}Chun Chuang and Fang Yu}, title = {Tracking Supply Chain Process Variability with Unsupervised Cluster Traversal}, booktitle = {2018 {IEEE} 16th Intl Conf on Dependable, Autonomic and Secure Computing, 16th Intl Conf on Pervasive Intelligence and Computing, 4th Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress, DASC/PiCom/DataCom/CyberSciTech 2018, Athens, Greece, August 12-15, 2018}, pages = {966--973}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DASC/PiCom/DataCom/CyberSciTec.2018.000-2}, doi = {10.1109/DASC/PICOM/DATACOM/CYBERSCITEC.2018.000-2}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/LinCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/SongYLLHLK18, author = {Yuhang Song and Chao Yang and Zhe L. Lin and Xiaofeng Liu and Qin Huang and Hao Li and C.{-}C. Jay Kuo}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {Contextual-Based Image Inpainting: Infer, Match, and Translate}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11206}, pages = {3--18}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01216-8\_1}, doi = {10.1007/978-3-030-01216-8\_1}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/SongYLLHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WenZDBLHLCLMNWW18, author = {Longyin Wen and Pengfei Zhu and Dawei Du and Xiao Bian and Haibin Ling and Qinghua Hu and Chenfeng Liu and Hao Cheng and Xiaoyu Liu and Wenya Ma and Qinqin Nie and Haotian Wu and Lianjie Wang and Asanka G. Perera and Baochang Zhang and Byeongho Heo and Chunlei Liu and Dongdong Li and Emmanouil Michail and Hanlin Chen and Hao Liu and Haojie Li and Ioannis Kompatsiaris and Jian Cheng and Jiaqing Fan and Jie Zhang and Jin Young Choi and Jing Li and Jinyu Yang and Jongwon Choi and Juanping Zhao and Jungong Han and Kaihua Zhang and Kaiwen Duan and Ke Song and Konstantinos Avgerinakis and Kyuewang Lee and Lu Ding and Martin Lauer and Panagiotis Giannakeris and Peizhen Zhang and Qiang Wang and Qianqian Xu and Qingming Huang and Qingshan Liu and Robert Lagani{\`{e}}re and Ruixin Zhang and Sangdoo Yun and Shengyin Zhu and Sihang Wu and Stefanos Vrochidis and Wei Tian and Wei Zhang and Weidong Chen and Weiming Hu and Wenhao Wang and Wenhua Zhang and Wenrui Ding and Xiaohao He and Xiaotong Li and Xin Zhang and Xinbin Luo and Xixi Hu and Yang Meng and Yangliu Kuai and Yanyun Zhao and Yaxuan Li and Yifan Yang and Yifan Zhang and Yong Wang and Yuankai Qi and Zhipeng Deng and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {VisDrone-SOT2018: The Vision Meets Drone Single-Object Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {469--495}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_28}, doi = {10.1007/978-3-030-11021-5\_28}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WenZDBLHLCLMNWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/WuHQFPSHKLCFW18, author = {Cheng{-}Han Wu and Ting{-}Yu Huang and Junyi Qiu and Wenning Fu and Chun{-}Yen Peng and Tien{-}Tsorng Shih and Jian{-}Jang Huang and Hao{-}Chung Kuo and Gong{-}Ru Lin and Wood{-}Hi Cheng and Milton Feng and Chao{-}Hsin Wu}, title = {50 Gb/s Error-Free Data Transmission Using a {NRZ-OOK} Modulated 850 nm {VCSEL}}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535442}, doi = {10.1109/ECOC.2018.8535442}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/WuHQFPSHKLCFW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/WuHWWPKWL18, author = {Wei{-}Li Wu and Cheng{-}Yi Huang and Huai{-}Yung Wang and Cheng{-}Han Wu and Chun{-}Yen Peng and Hao{-}Chung Kuo and Chao{-}Hsin Wu and Gong{-}Ru Lin}, title = {{RC} Time Constant and Resistance Reduced {VCSEL} for Broadband {QAM-OFDM}}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535576}, doi = {10.1109/ECOC.2018.8535576}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/WuHWWPKWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LinCC18, author = {Yi{-}Hsun Lin and Chia{-}Hao Chung and Homer H. Chen}, title = {Playlist-Based Tag Propagation for Improving Music Auto-Tagging}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {2270--2274}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553318}, doi = {10.23919/EUSIPCO.2018.8553318}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LinCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WeiZSYDG18, author = {Shengjun Wei and Hao Zhong and Chun Shan and Lin Ye and Xiaojiang Du and Mohsen Guizani}, title = {Vulnerability Prediction Based on Weighted Software Network for Secure Software Building}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8647583}, doi = {10.1109/GLOCOM.2018.8647583}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WeiZSYDG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/HsiehLC18, author = {Sheng{-}Ta Hsieh and Chun{-}Ling Lin and Hao{-}Wen Cheng}, title = {Adaptive Artificial Bee Colony for Numerical Optimization}, booktitle = {Sixth International Symposium on Computing and Networking, {CANDAR} Workshops 2018, Takayama, Japan, November 27-30, 2018}, pages = {174--177}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CANDARW.2018.00040}, doi = {10.1109/CANDARW.2018.00040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic-nc/HsiehLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/HanLCLSHC18, author = {Chin{-}Chuan Han and Hao{-}Pu Lin and Chao{-}Hsu Chang and Chang{-}Hsing Lee and Jau{-}Ling Shih and Chun{-}Sheng Hsu and Jen{-}Chih Chang}, title = {The Assistance for Drug Dispensing Using {LED} Notification and {IR} Sensor-based Monitoring Methods}, booktitle = {9th International Conference on Awareness Science and Technology, iCAST 2018, Fukuoka, Japan, September 19-21, 2018}, pages = {264--267}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICAwST.2018.8517168}, doi = {10.1109/ICAWST.2018.8517168}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/HanLCLSHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc/HwangCLCLJ18, author = {Gwan{-}Hwan Hwang and Po{-}Han Chen and Chun{-}Hao Lu and Chun Chiu and Hsuan{-}Cheng Lin and An{-}Jie Jheng}, editor = {Shiping Chen and Harry Wang and Liang{-}Jie Zhang}, title = {InfiniteChain: {A} Multi-chain Architecture with Distributed Auditing of Sidechains for Public Blockchains}, booktitle = {Blockchain - {ICBC} 2018 - First International Conference, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10974}, pages = {47--60}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94478-4\_4}, doi = {10.1007/978-3-319-94478-4\_4}, timestamp = {Tue, 19 Nov 2019 12:34:54 +0100}, biburl = {https://dblp.org/rec/conf/icbc/HwangCLCLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLWHC18, author = {Ping{-}Hsien Lin and Yu{-}Ming Chang and Yung{-}Chun Li and Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang}, editor = {Iris Bahar}, title = {Achieving fast sanitization with zero live data copy for {MLC} flash memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {41}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240773}, doi = {10.1145/3240765.3240773}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdpa/CaiHWZD18, author = {Ying Cai and Fang Huang and Shuai Wang and Hao Zhang and Chunxiu Du}, title = {Research Hotspots Mining and Visualized Analysis Based on Linking Cluster and K-Core Decomposition}, booktitle = {Proceedings of the International Conference on Data Processing and Applications, {ICDPA} 2018, Guangdong, China, May 12-14, 2018}, pages = {27--33}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3224207.3224216}, doi = {10.1145/3224207.3224216}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdpa/CaiHWZD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/TsaiLL18, author = {Meng{-}Chun Tsai and Hao{-}Chiang Koong Lin and Chad Lin}, editor = {Ting{-}Ting Wu and Yueh{-}Min Huang and Rustam Shadiev and Lin Lin and Andreja Istenic Starcic}, title = {Usability Evaluation of the Game Based E-Book System on Natural Science Teaching System}, booktitle = {Innovative Technologies and Learning - First International Conference, {ICITL} 2018, Portoroz, Slovenia, August 27-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11003}, pages = {463--472}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99737-7\_49}, doi = {10.1007/978-3-319-99737-7\_49}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icitl/TsaiLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChangLLL18, author = {Wei{-}Hao Chang and Jeng{-}Lin Li and Yun{-}Shao Lin and Chi{-}Chun Lee}, title = {A Genre-Affect Relationship Network with Task-Specific Uncertainty Weighting foR Recognizing Induced Emotion in Music}, booktitle = {2018 {IEEE} International Conference on Multimedia and Expo, {ICME} 2018, San Diego, CA, USA, July 23-27, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICME.2018.8486570}, doi = {10.1109/ICME.2018.8486570}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChangLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChuangLCHHTHYGW18, author = {Tzu{-}Kuan Chuang and Ni{-}Ching Lin and Jih{-}Shi Chen and Chen{-}Hao Hung and Yi{-}Wei Huang and Chunchih Tengl and Haikun Huang and Lap{-}Fai Yu and Laura Giarr{\'{e}} and Hsueh{-}Cheng Wang}, title = {Deep Trail-Following Robotic Guide Dog in Pedestrian Environments for People who are Blind and Visually Impaired - Learning from Virtual and Real Worlds}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460994}, doi = {10.1109/ICRA.2018.8460994}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChuangLCHHTHYGW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/DuanYJLLX18, author = {Chunhui Duan and Lei Yang and Huanyu Jia and Qiongzheng Lin and Yunhao Liu and Lei Xie}, title = {Robust Spinning Sensing with Dual-RFID-Tags in Noisy Settings}, booktitle = {2018 {IEEE} Conference on Computer Communications, {INFOCOM} 2018, Honolulu, HI, USA, April 16-19, 2018}, pages = {855--863}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INFOCOM.2018.8486312}, doi = {10.1109/INFOCOM.2018.8486312}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/DuanYJLLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SuYKCZLL18, author = {Bo{-}Hao Su and Sung{-}Lin Yeh and Ming{-}Ya Ko and Huan{-}Yu Chen and Shun{-}Chang Zhong and Jeng{-}Lin Li and Chi{-}Chun Lee}, editor = {B. Yegnanarayana}, title = {Self-Assessed Affect Recognition Using Fusion of Attentional {BLSTM} and Static Acoustic Features}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {536--540}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-2261}, doi = {10.21437/INTERSPEECH.2018-2261}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SuYKCZLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuYCLHSWHCLYS18, author = {Meng{-}Yi Wu and Tsao{-}Hsin Yang and Lun{-}Chun Chen and Chi{-}Chang Lin and Hao{-}Chun Hu and Fang{-}Ying Su and Chih{-}Min Wang and James Po{-}Hao Huang and Hsin{-}Ming Chen and Chris Chun{-}Hung Lu and Evans Ching{-}Song Yang and Rick Shih{-}Jye Shen}, title = {A {PUF} scheme using competing oxide rupture with bit error rate approaching zero}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {130--132}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310218}, doi = {10.1109/ISSCC.2018.8310218}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuYCLHSWHCLYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YehLKHXLYCLL18, author = {Che{-}Hao Yeh and Yen{-}Ting Lin and Chun{-}Chieh Kuo and Chao{-}Jen Huang and Cheng{-}Yu Xie and Shen{-}Fu Lu and Wen{-}Hau Yang and Ke{-}Horng Chen and Kuo{-}Chi Liu and Ying{-}Hsi Lin}, title = {A 70W and 90{\%} GaN-based class-E wireless-power-transfer system with automatic-matching-point-search control for zero-voltage switching and zero-voltage-derivative switching}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {138--140}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310222}, doi = {10.1109/ISSCC.2018.8310222}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YehLKHXLYCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwaenc/KaoHLTYLLLW18, author = {Yi{-}Ying Kao and Hsiang{-}Ping Hsu and Chien{-}Feng Liao and Yu Tsao and Hao{-}Chun Yang and Jeng{-}Lin Li and Chi{-}Chun Lee and Hung{-}Shin Lee and Hsin{-}Min Wang}, title = {Automatic Detection of Speech Under Cold Using Discriminative Autoencoders and Strength Modeling with Multiple Sub-Dictionary Generation}, booktitle = {16th International Workshop on Acoustic Signal Enhancement, {IWAENC} 2018, Tokyo, Japan, September 17-20, 2018}, pages = {416--420}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IWAENC.2018.8521319}, doi = {10.1109/IWAENC.2018.8521319}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwaenc/KaoHLTYLLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mesa/LinJLLW18, author = {Po Ting Lin and Po{-}Chun Juan and Shu{-}Ping Lin and Wei{-}Hao Lu and Zai{-}Gen Wu}, title = {How End Effector Absolute Accuracy Plays {A} Role in Industry 4.0}, booktitle = {14th {IEEE/ASME} International Conference on Mechatronic and Embedded Systems and Applications, {MESA} 2018, Oulu, Finland, July 2-4, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MESA.2018.8449176}, doi = {10.1109/MESA.2018.8449176}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mesa/LinJLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/ChenYWLCHLC18, author = {Li{-}Wei Chen and Shun{-}Mao Yang and Hao{-}Jen Wang and Mong{-}Wei Lin and Leng{-}Rong Chen and Fu{-}Sheng Hsu and Chia{-}Chen Li and Chung{-}Ming Chen}, editor = {Barjor Gimi and Andrzej Kr{\'{o}}l}, title = {A competing round-robin prediction model for histologic subtype prediction of lung adenocarcinomas based on thoracic computed tomography}, booktitle = {Medical Imaging 2018: Biomedical Applications in Molecular, Structural, and Functional Imaging, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10578}, pages = {105782M}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2291968}, doi = {10.1117/12.2291968}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mibam/ChenYWLCHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/ChaoHLCH18, author = {Chi{-}Ju Chao and Chun{-}Wei Huang and Chuan{-}Jie Lin and Hao{-}Hua Chu and Polly Huang}, editor = {Kazuya Murao and Ren Ohmura and Sozo Inoue and Yusuke Gotoh}, title = {DanceVibe: Assistive Dancing for the Hearing Impaired}, booktitle = {Mobile Computing, Applications, and Services - 9th International Conference, MobiCASE 2018, Osaka, Japan, February 28 - March 2, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {240}, pages = {21--39}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-90740-6\_2}, doi = {10.1007/978-3-319-90740-6\_2}, timestamp = {Mon, 07 May 2018 12:47:46 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/ChaoHLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HoLLWC18, author = {Chien{-}Chung Ho and Yung{-}Chun Li and Ping{-}Hsien Lin and Wei{-}Chen Wang and Yuan{-}Hao Chang}, title = {A Stride-Away Programming Scheme to Resolve Crash Recoverability and Data Readability Issues of Multi-Level-Cell Flash Memory}, booktitle = {{IEEE} 7th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, pages = {67--72}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NVMSA.2018.00019}, doi = {10.1109/NVMSA.2018.00019}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/HoLLWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HsuYWLLLZF18, author = {Jhih{-}Hao Hsu and Min Yu and Chia{-}Chien Wei and Chi{-}Hsiang Lin and Chun{-}Ting Lin and Fumin Liu and Lei Zhou and Liming Fang}, title = {Novel {DDM-OFDM-PON} with Hybrid Sub-Nyquist Sampling Rates Featuring Heterogeneous ONUs with Different Capacities}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8386183}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HsuYWLLLZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/CastroZBL18, author = {Davide Lo Castro and Chun{-}Hao Zhong and Francesco Braghin and Wei{-}Hsin Liao}, title = {Lower Limb Exoskeleton Control via Linear Quadratic Regulator and Disturbance Observer}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2018, Kuala Lumpur, Malaysia, December 12-15, 2018}, pages = {1743--1748}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ROBIO.2018.8665159}, doi = {10.1109/ROBIO.2018.8665159}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/CastroZBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rv/RaghavanWKLJS18, author = {Santhana Gopalan Raghavan and Kosuke Watanabe and Eunsuk Kang and Chung{-}Wei Lin and Zhihao Jiang and Shinichi Shiraishi}, editor = {Christian Colombo and Martin Leucker}, title = {Property-Driven Runtime Resolution of Feature Interactions}, booktitle = {Runtime Verification - 18th International Conference, {RV} 2018, Limassol, Cyprus, November 10-13, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11237}, pages = {316--333}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03769-7\_18}, doi = {10.1007/978-3-030-03769-7\_18}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rv/RaghavanWKLJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LinZCWCH18, author = {Jerry Chun{-}Wei Lin and Yuyu Zhang and Chun{-}Hao Chen and Jimmy Ming{-}Tai Wu and Chien{-}Ming Chen and Tzung{-}Pei Hong}, title = {A Multiple Objective PSO-Based Approach for Data Sanitization}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2018, Taichung, Taiwan, November 30 - December 2, 2018}, pages = {148--151}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/TAAI.2018.00039}, doi = {10.1109/TAAI.2018.00039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/LinZCWCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/ChenHKLY18, author = {Tian{-}Xiang Chen and Rong{-}Shue Hsiao and Chun{-}Hao Kao and Ding{-}Bing Lin and Bo{-}Ru Yang}, editor = {Guojun Wang and Qi Han and Md. Zakirul Alam Bhuiyan and Xiaoxing Ma and Fr{\'{e}}d{\'{e}}ric Loulergue and Peng Li and Manuel Roveri and Lei Chen}, title = {Bed-Exit Prediction Based on 3D Convolutional Neural Network}, booktitle = {2018 {IEEE} SmartWorld, Ubiquitous Intelligence {\&} Computing, Advanced {\&} Trusted Computing, Scalable Computing {\&} Communications, Cloud {\&} Big Data Computing, Internet of People and Smart City Innovation, SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI 2018, Guangzhou, China, October 8-12, 2018}, pages = {1185--1188}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SmartWorld.2018.00206}, doi = {10.1109/SMARTWORLD.2018.00206}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/uic/ChenHKLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShihLCLLCLYYCCC18, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, Sub-{\(\mu\)}A Sensing Resolution, and 17.5NS Read Access Time}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {79--80}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502260}, doi = {10.1109/VLSIC.2018.8502260}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShihLCLLCLYYCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/ChengCCLHHKL18, author = {Chih{-}Hao Cheng and Chia{-}Chi Chang and Ying{-}Hsuan Chen and Ying{-}Li Lin and Jing{-}Yuan Huang and Ping{-}Hsuan Han and Ju{-}Chun Ko and Lai{-}Chung Lee}, editor = {Stephen N. Spencer and Shigeo Morishima and Yuichi Itoh and Takaaki Shiratori and Yonghao Yue and Rob Lindeman}, title = {GravityCup: a liquid-based haptics for simulating dynamic weight in virtual reality}, booktitle = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, pages = {51:1--51:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3281505.3281569}, doi = {10.1145/3281505.3281569}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrst/ChengCCLHHKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/Zhao00AHL18, author = {Ruonan Zhao and Lichen Zhang and Xiaoming Wang and Chunyu Ai and Fei Hao and Yaguang Lin}, editor = {Sriram Chellappan and Wei Cheng and Wei Li}, title = {An Efficient Energy-Aware Probabilistic Routing Approach for Mobile Opportunistic Networks}, booktitle = {Wireless Algorithms, Systems, and Applications - 13th International Conference, {WASA} 2018, Tianjin, China, June 20-22, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10874}, pages = {671--682}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94268-1\_55}, doi = {10.1007/978-3-319-94268-1\_55}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wasa/Zhao00AHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ChangLLHL18, author = {Tang{-}Hsien Chang and Der{-}Horng Lee and Chun{-}Yin Lin and Siyu Hao and Shang{-}Chun Lin}, title = {A practical dynamic positioning and tracking on the vehicular ad-hoc network}, booktitle = {4th {IEEE} World Forum on Internet of Things, WF-IoT 2018, Singapore, February 5-8, 2018}, pages = {338--341}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WF-IoT.2018.8355138}, doi = {10.1109/WF-IOT.2018.8355138}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wf-iot/ChangLLHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/ChangLFLWC18, author = {Hao{-}Hsiang Chang and Chi{-}Hsiang Lin and Ruei Fang and Chun{-}Ting Lin and Chia{-}Chien Wei and Sien Chi}, title = {43.63-Gbit/s multiple-user {SC-FDMA} {PON} with sub-Nyquist receiver and {PAPR} reduction}, booktitle = {27th Wireless and Optical Communication Conference, {WOCC} 2018, Hualien, Taiwan, April 30 - May 1, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WOCC.2018.8372740}, doi = {10.1109/WOCC.2018.8372740}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/wocc/ChangLFLWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/HsuYCLLLZF18, author = {Jhih{-}Hao Hsu and Min Yu and Chia{-}Chien Wei and Chi{-}Hsiang Lin and Chun{-}Ting Lin and Fumin Liu and Lei Zhou and Liming Fang}, title = {APD-based delay-division-multiplexing OFDM-PONs}, booktitle = {27th Wireless and Optical Communication Conference, {WOCC} 2018, Hualien, Taiwan, April 30 - May 1, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WOCC.2018.8373788}, doi = {10.1109/WOCC.2018.8373788}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wocc/HsuYCLLLZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-03959, author = {Ruibo Li and Ke Xian and Chunhua Shen and Zhiguo Cao and Hao Lu and Lingxiao Hang}, title = {Deep attention-based classification network for robust depth prediction}, journal = {CoRR}, volume = {abs/1807.03959}, year = {2018}, url = {http://arxiv.org/abs/1807.03959}, eprinttype = {arXiv}, eprint = {1807.03959}, timestamp = {Tue, 25 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-03959.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-00369, author = {Hao{-}Ting Wei and Sung{-}Hsien Hsieh and Wen{-}Liang Hwang and Chung{-}Shou Liao and Chun{-}Shien Lu}, title = {Link Delay Estimation Using Sparse Recovery for Dynamic Network Tomography}, journal = {CoRR}, volume = {abs/1812.00369}, year = {2018}, url = {http://arxiv.org/abs/1812.00369}, eprinttype = {arXiv}, eprint = {1812.00369}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-00369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenHLWLHHL17, author = {Ling{-}Jyh Chen and Yao{-}Hua Ho and Hu{-}Cheng Lee and Hsuan{-}Cho Wu and Hao{-}Min Liu and Hsin{-}Hung Hsieh and Yu{-}Te Huang and Shih{-}Chun Candice Lung}, title = {An Open Framework for Participatory {PM2.5} Monitoring in Smart Cities}, journal = {{IEEE} Access}, volume = {5}, pages = {14441--14454}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2723919}, doi = {10.1109/ACCESS.2017.2723919}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenHLWLHHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/YanL17, author = {Haode Yan and Chunlei Liu}, title = {A note on the spectrum of linearized Wenger graphs}, journal = {Discret. Math.}, volume = {340}, number = {5}, pages = {1050--1053}, year = {2017}, url = {https://doi.org/10.1016/j.disc.2016.09.033}, doi = {10.1016/J.DISC.2016.09.033}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dm/YanL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/LinCCHL17, author = {Kawuu W. Lin and Sheng{-}Hao Chung and Ju{-}Chin Chen and Sheng{-}Shiung Huang and Chun{-}Cheng Lin}, title = {A fast method for frequent pattern discovery with secondary memory}, journal = {Intell. Data Anal.}, volume = {21}, number = {{S1}}, pages = {S159--S176}, year = {2017}, url = {https://doi.org/10.3233/IDA-170876}, doi = {10.3233/IDA-170876}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ida/LinCCHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/LinWC17, author = {Wen{-}Yang Lin and You{-}En Wei and Chun{-}Hao Chen}, title = {{GIAMS:} {A} generic approach for mining indirect association rules in data streams\({}^{\mbox{1}}\)}, journal = {Intell. Data Anal.}, volume = {21}, number = {{S1}}, pages = {S177--S194}, year = {2017}, url = {https://doi.org/10.3233/IDA-170877}, doi = {10.3233/IDA-170877}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ida/LinWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WangYYLH17, author = {Haoran Wang and Wankou Yang and Chunfeng Yuan and Haibin Ling and Weiming Hu}, title = {Human activity prediction using temporally-weighted generalized time warping}, journal = {Neurocomputing}, volume = {225}, pages = {139--147}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.11.004}, doi = {10.1016/J.NEUCOM.2016.11.004}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/WangYYLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HsuKCWTCYHL17, author = {Chih{-}Hsiang Hsu and Chia{-}Lun Ku and Yung{-}Ju Chang and Yu{-}Shuen Wang and Uyn{-}Dinh Tr{\^{a}}n and Wen{-}Hao Cheng and Chu{-}Yuan Yang and Ching{-}Yu Hsieh and Chun{-}Cheng Lin}, title = {iTour: Making Tourist Maps GPS-Enabled}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {1}, number = {4}, pages = {139:1--139:27}, year = {2017}, url = {https://doi.org/10.1145/3161167}, doi = {10.1145/3161167}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/HsuKCWTCYHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itc/ChenWFC17, author = {Chin{-}Ling Chen and Kun{-}hao Wang and Chun{-}Long Fan and Chien{-}Hung Chen}, title = {An Electronic Public Engineering Project Bidding Protocol via a Subliminal Channel}, journal = {Inf. Technol. Control.}, volume = {46}, number = {4}, pages = {470--483}, year = {2017}, url = {https://doi.org/10.5755/j01.itc.46.4.14163}, doi = {10.5755/J01.ITC.46.4.14163}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itc/ChenWFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/Lu17, author = {Hao{-}Chun Lu}, title = {Improved logarithmic linearizing method for optimization problems with free-sign pure discrete signomial terms}, journal = {J. Glob. Optim.}, volume = {68}, number = {1}, pages = {95--123}, year = {2017}, url = {https://doi.org/10.1007/s10898-016-0451-3}, doi = {10.1007/S10898-016-0451-3}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/Lu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HuCFW17, author = {Jin{-}Xin Hu and Chin{-}Ling Chen and Chun{-}Long Fan and Kun{-}hao Wang}, title = {An Intelligent and Secure Health Monitoring Scheme Using IoT Sensor Based on Cloud Computing}, journal = {J. Sensors}, volume = {2017}, pages = {3734764:1--3734764:11}, year = {2017}, url = {https://doi.org/10.1155/2017/3734764}, doi = {10.1155/2017/3734764}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HuCFW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenCLKCLWLLS17, author = {Shao{-}Wen Chen and Wan{-}June Chiu and Min{-}Song Lin and Feng{-}Jiun Kuo and Min{-}Lun Chai and Jin{-}Der Lee and Jong{-}Rong Wang and Hao{-}Tzu Lin and Wei{-}Keng Lin and Chunkuan Shih}, title = {1D and {Q2D} thermal resistance analysis of micro channel structure and flat plate heat pipe}, journal = {Microelectron. Reliab.}, volume = {72}, pages = {103--114}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.03.030}, doi = {10.1016/J.MICROREL.2017.03.030}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChenCLKCLWLLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HuaAHCYW17, author = {Kai{-}Lung Hua and Yeni Anistyasari and Che{-}Hao Hsu and Tai{-}Lin Chin and Chao{-}Lung Yang and Chun{-}Yen Wang}, title = {Multicast scheduling for stereoscopic video in wireless networks}, journal = {Multim. Tools Appl.}, volume = {76}, number = {1}, pages = {679--706}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-3045-z}, doi = {10.1007/S11042-015-3045-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HuaAHCYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LinWD17, author = {Hao Lin and Fengtong Wen and Chunxia Du}, title = {An anonymous and secure authentication and key agreement scheme for session initiation protocol}, journal = {Multim. Tools Appl.}, volume = {76}, number = {2}, pages = {2315--2329}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-3220-2}, doi = {10.1007/S11042-015-3220-2}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LinWD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhangTWJLZYHZHL17, author = {Ting Zhang and Puwen Tan and Liqiang Wang and Nana Jin and Yana Li and Lin Zhang and Huan Yang and Zhenyu Hu and Lining Zhang and Chunyu Hu and Chunhua Li and Kun Qian and Changjian Zhang and Yan Huang and Kongning Li and Hao Lin and Dong Wang}, title = {RNALocate: a resource for {RNA} subcellular localizations}, journal = {Nucleic Acids Res.}, volume = {45}, number = {Database-Issue}, pages = {D135--D138}, year = {2017}, url = {https://doi.org/10.1093/nar/gkw728}, doi = {10.1093/NAR/GKW728}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/ZhangTWJLZYHZHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinHLC17, author = {Mark Po{-}Hung Lin and Vincent Wei{-}Hao Hsiao and Chun{-}Yu Lin and Nai{-}Chen Chen}, title = {Parasitic-Aware Common-Centroid Binary-Weighted Capacitor Layout Generation Integrating Placement, Routing, and Unit Capacitor Sizing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {8}, pages = {1274--1286}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2017.2685598}, doi = {10.1109/TCAD.2017.2685598}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChinWHWW17, author = {Yu{-}Hao Chin and Jia{-}Ching Wang and Chien{-}Lin Huang and Kuang{-}Yao Wang and Chung{-}Hsien Wu}, title = {Speaker Identification Using Discriminative Features and Sparse Representation}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {12}, number = {8}, pages = {1979--1987}, year = {2017}, url = {https://doi.org/10.1109/TIFS.2017.2678458}, doi = {10.1109/TIFS.2017.2678458}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ChinWHWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/HuSWNSFSSCL17, author = {Liwen Hu and Shunsuke Saito and Lingyu Wei and Koki Nagano and Jaewoo Seo and Jens Fursund and Iman Sadeghi and Carrie Sun and Yen{-}Chun Chen and Hao Li}, title = {Avatar digitization from a single image for real-time rendering}, journal = {{ACM} Trans. Graph.}, volume = {36}, number = {6}, pages = {195:1--195:14}, year = {2017}, url = {https://doi.org/10.1145/3130800.31310887}, doi = {10.1145/3130800.31310887}, timestamp = {Sun, 16 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/HuSWNSFSSCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/ChangSLLL17, author = {Chun{-}Min Chang and Bo{-}Hao Su and Shih{-}Chen Lin and Jeng{-}Lin Li and Chi{-}Chun Lee}, title = {A bootstrapped multi-view weighted Kernel fusion framework for cross-corpus integration of multimodal emotion recognition}, booktitle = {Seventh International Conference on Affective Computing and Intelligent Interaction, {ACII} 2017, San Antonio, TX, USA, October 23-26, 2017}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ACII.2017.8273627}, doi = {10.1109/ACII.2017.8273627}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/ChangSLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/XuCLK17, author = {Hao Xu and Yueru Chen and Ruiyuan Lin and C.{-}C. Jay Kuo}, title = {Understanding {CNN} via deep features analysis}, booktitle = {2017 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2017, Kuala Lumpur, Malaysia, December 12-15, 2017}, pages = {1052--1060}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APSIPA.2017.8282184}, doi = {10.1109/APSIPA.2017.8282184}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/XuCLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/DouCCLLHC17, author = {Chunmeng Dou and Wei{-}Hao Chen and Yi{-}Ju Chen and Huan{-}Ting Lin and Wei{-}Yu Lin and Mon{-}Shu Ho and Meng{-}Fan Chang}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {Challenges of emerging memory and memristor based circuits: Nonvolatile logics, IoT security, deep learning and neuromorphic computing}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {140--143}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252431}, doi = {10.1109/ASICON.2017.8252431}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/DouCCLLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HungSLHHCCLC17, author = {Meng{-}Hsiung Hung and Yi{-}Shing Shih and Chin{-}Fu Li and Wei{-}Kai Hong and Ming{-}Yeh Hsu and Chih{-}Hao Chen and Yu{-}Lun Chen and Chun{-}Wei Lin and Yuan{-}Hung Chung}, title = {A reconfigurable dual-band WiFi/BT combo transceiver with integrated 2G/BT SP3T, {LNA/PA} achieving concurrent receiving and wide dynamic range transmitting in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {177--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240245}, doi = {10.1109/ASSCC.2017.8240245}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HungSLHHCCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/LinYJDL17, author = {Qiongzheng Lin and Lei Yang and Huanyu Jia and Chunhui Duan and Yunhao Liu}, title = {Revisiting Reading Rate with Mobility: Rate-Adaptive Reading in {COTS} {RFID} Systems}, booktitle = {Proceedings of the 13th International Conference on emerging Networking EXperiments and Technologies, CoNEXT 2017, Incheon, Republic of Korea, December 12 - 15, 2017}, pages = {199--211}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3143361.3143387}, doi = {10.1145/3143361.3143387}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/conext/LinYJDL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HeLDWSYR17, author = {Lifang He and Chun{-}Ta Lu and Hao Ding and Shen Wang and Linlin Shen and Philip S. Yu and Ann B. Ragin}, title = {Multi-way Multi-level Kernel Modeling for Neuroimaging Classification}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {6846--6854}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPR.2017.724}, doi = {10.1109/CVPR.2017.724}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HeLDWSYR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LaiZY17, author = {Chun{-}Hao Lai and Jishen Zhao and Chia{-}Lin Yang}, title = {Leave the Cache Hierarchy Operation as It Is: {A} New Persistent Memory Accelerating Approach}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {5:1--5:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062272}, doi = {10.1145/3061639.3062272}, timestamp = {Tue, 06 Nov 2018 16:58:15 +0100}, biburl = {https://dblp.org/rec/conf/dac/LaiZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ChenDJJW17, author = {Hao Chen and Chun Du and Jun Li and Ning Jing and Lingfeng Wang}, editor = {Peter A. N. Bosman}, title = {An approach of satellite periodic continuous observation task scheduling based on evolutionary computation}, booktitle = {Genetic and Evolutionary Computation Conference, Berlin, Germany, July 15-19, 2017, Companion Material Proceedings}, pages = {15--16}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3067695.3082033}, doi = {10.1145/3067695.3082033}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gecco/ChenDJJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChangCSCL17, author = {Yung{-}Ju Chang and Yi{-}Ju Chung and Yi{-}Hao Shih and Hsiu{-}Chi Chang and Tzu{-}Hao Lin}, editor = {Seungyon Claire Lee and Leila Takayama and Khai N. Truong}, title = {What do smartphone users do when they sense phone notifications?}, booktitle = {Adjunct Proceedings of the 2017 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2017 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2017, Maui, HI, USA, September 11-15, 2017}, pages = {904--909}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123024.3124557}, doi = {10.1145/3123024.3124557}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/ChangCSCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/HsuLHCLLYCHTW17, author = {Pei{-}Yi Hsu and Ya{-}Fang Lin and Jian{-}Lun Huang and Chih{-}Chun Chang and Shih{-}Yao Lin and Ya{-}Han Lee and Chuang{-}Wen You and Yaliang Chuang and Ming{-}Chyi Huang and Hsin{-}Tung Tseng and Hao{-}Chuan Wang}, editor = {Seungyon Claire Lee and Leila Takayama and Khai N. Truong}, title = {A mobile support system to assist {DUI} offenders on probation in reducing {DUI} relapse}, booktitle = {Adjunct Proceedings of the 2017 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2017 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2017, Maui, HI, USA, September 11-15, 2017}, pages = {77--80}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123024.3123154}, doi = {10.1145/3123024.3123154}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/HsuLHCLLYCHTW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/HsiehCLS17, author = {Sheng{-}Ta Hsieh and Hao{-}Wen Cheng and Chun{-}Ling Lin and Tsung{-}Ying Sun}, title = {Biologically Adaptive Artificial Bee Colony for Numerical Optimization}, booktitle = {Fifth International Symposium on Computing and Networking, {CANDAR} 2017, Aomori, Japan, November 19-22, 2017}, pages = {187--193}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CANDAR.2017.94}, doi = {10.1109/CANDAR.2017.94}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic-nc/HsiehCLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ChangLPK17, author = {Jen{-}Hao Rick Chang and Chun{-}Liang Li and Barnab{\'{a}}s P{\'{o}}czos and B. V. K. Vijaya Kumar}, title = {One Network to Solve Them All - Solving Linear Inverse Problems Using Deep Projection Models}, booktitle = {{IEEE} International Conference on Computer Vision, {ICCV} 2017, Venice, Italy, October 22-29, 2017}, pages = {5889--5898}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCV.2017.627}, doi = {10.1109/ICCV.2017.627}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ChangLPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LiYM17, author = {Wen{-}Hao Li and Chun{-}Ling Yang and Li{-}Hong Ma}, title = {A multihypothesis-based residual reconstruction scheme in compressed video sensing}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {2766--2770}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8296786}, doi = {10.1109/ICIP.2017.8296786}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LiYM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/TaoWGCLL17, author = {Qian Tao and Zhenyu Wang and Chunqin Gu and Wenyuan Chen and Weiqiang Lin and Haojie Lin}, editor = {Yong Liu and Liang Zhao and Guoyong Cai and Guoqing Xiao and Kenli Li and Lipo Wang}, title = {A novel intelligent clustering approach for high dimensional data in a big data environment}, booktitle = {13th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery, {ICNC-FSKD} 2017, Guilin, China, July 29-31, 2017}, pages = {1666--1671}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FSKD.2017.8393016}, doi = {10.1109/FSKD.2017.8393016}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/TaoWGCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/MaL17, author = {Yu Chun Ma and Hao{-}Chiang Koong Lin}, editor = {Tien{-}Chi Huang and Rynson W. H. Lau and Yueh{-}Min Huang and Marc Spaniol and Chun{-}Hung Yuen}, title = {The Development of an Affective Tutoring System for Japanese Language Learners}, booktitle = {Emerging Technologies for Education - Second International Symposium, {SETE} 2017, Held in Conjunction with {ICWL} 2017, Cape Town, South Africa, September 20-22, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {363--371}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71084-6\_41}, doi = {10.1007/978-3-319-71084-6\_41}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/MaL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/TsaiL17, author = {Meng{-}Chun Tsai and Hao{-}Chiang Koong Lin}, editor = {Tien{-}Chi Huang and Rynson W. H. Lau and Yueh{-}Min Huang and Marc Spaniol and Chun{-}Hung Yuen}, title = {A Study on the Behavioral Patterns Formed by Subjects with Different Cognitive Styles in Playing Augmented Reality Interaction Games}, booktitle = {Emerging Technologies for Education - Second International Symposium, {SETE} 2017, Held in Conjunction with {ICWL} 2017, Cape Town, South Africa, September 20-22, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {372--381}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71084-6\_42}, doi = {10.1007/978-3-319-71084-6\_42}, timestamp = {Thu, 26 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwl/TsaiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeHKLa17, author = {Kong{-}Aik Lee and Ville Hautam{\"{a}}ki and Tomi Kinnunen and Anthony Larcher and Chunlei Zhang and Andreas Nautsch and Themos Stafylakis and Gang Liu and Micka{\"{e}}l Rouvier and Wei Rao and Federico Alegre and J. Ma and Man{-}Wai Mak and Achintya Kumar Sarkar and H{\'{e}}ctor Delgado and Rahim Saeidi and Hagai Aronowitz and Aleksandr Sizov and Hanwu Sun and Trung Hieu Nguyen and Guangsen Wang and Bin Ma and Ville Vestman and Md. Sahidullah and M. Halonen and Anssi Kanervisto and Ga{\"{e}}l Le Lan and Fahimeh Bahmaninezhad and Sergey Isadskiy and Christian Rathgeb and Christoph Busch and Georgios Tzimiropoulos and Q. Qian and Z. Wang and Q. Zhao and T. Wang and H. Li and J. Xue and S. Zhu and R. Jin and T. Zhao and Pierre{-}Michel Bousquet and Moez Ajili and Waad Ben Kheder and Driss Matrouf and Zhi Hao Lim and Chenglin Xu and Haihua Xu and Xiong Xiao and Eng Siong Chng and Benoit G. B. Fauve and Kaavya Sriskandaraja and Vidhyasaharan Sethu and W. W. Lin and Dennis Alexander Lehmann Thomsen and Zheng{-}Hua Tan and Massimiliano Todisco and Nicholas W. D. Evans and Haizhou Li and John H. L. Hansen and Jean{-}Fran{\c{c}}ois Bonastre and Eliathamby Ambikairajah}, editor = {Francisco Lacerda}, title = {The {I4U} Mega Fusion and Collaboration for {NIST} Speaker Recognition Evaluation 2016}, booktitle = {18th Annual Conference of the International Speech Communication Association, Interspeech 2017, Stockholm, Sweden, August 20-24, 2017}, pages = {1328--1332}, publisher = {{ISCA}}, year = {2017}, url = {https://doi.org/10.21437/Interspeech.2017-203}, doi = {10.21437/INTERSPEECH.2017-203}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeHKLa17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itw/LinHKYCTLOWYLLY17, author = {Wei Lin and Yu{-}Cheng Hsu and Tsai{-}Hao Kuo and Yu{-}Siang Yang and Szu{-}Wei Chen and Chun{-}Wei Tsao and An{-}Chang Liu and Lih{-}Yuarn Ou and Tien{-}Ching Wang and Shao{-}Wei Yen and Yu{-}Hsiang Lin and Kuo{-}Hsin Lai and Chi{-}Heng Yang and Li{-}Chun Liang and Pei{-}Jung Hsu}, title = {3X endurance enhancement by advanced signal processor for 3D {NAND} flash memory}, booktitle = {2017 {IEEE} Information Theory Workshop, {ITW} 2017, Kaohsiung, Taiwan, November 6-10, 2017}, pages = {201--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITW.2017.8278030}, doi = {10.1109/ITW.2017.8278030}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/itw/LinHKYCTLOWYLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/HongHLYWL17, author = {Tzung{-}Pei Hong and Jen{-}Hao Hsu and Guo{-}Cheng Lan and Kung{-}Jiuan Yang and Shyue{-}Liang Wang and Jerry Chun{-}Wei Lin}, title = {High Utility Partial Periodic Pattern Mining}, booktitle = {Proceedings of the 4th Multidisciplinary International Social Networks Conference, {MISNC} '17, Bangkok, Thailand, July 17-19, 2017}, pages = {35:1--35:4}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3092090.3092123}, doi = {10.1145/3092090.3092123}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/HongHLYWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KaoTPLWCKHLSJCW17, author = {Hsuan{-}Yun Kao and Cheng{-}Ting Tsai and Chun{-}Yen Pong and Shan{-}Fong Liang and Zu{-}Kai Weng and Yu{-}Chieh Chi and Hao{-}Chung Kuo and Jian Jang Huang and Tai{-}Cheng Lee and Tien{-}Tsorng Shih and Jau{-}Ji Jou and Wood{-}Hi Cheng and Chao{-}Hsin Wu and Gong{-}Ru Lin}, title = {Few-mode 850-nm {VCSEL} chip with direct 16-QAM {OFDM} encoding at 80-Gbit/s for 100-m {OM4} {MMF} link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7936987}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KaoTPLWCKHLSJCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangHCLLJHL17, author = {Chun{-}Ta Wang and Hao{-}Hsiang Hou and Ping{-}Chien Chang and Keng{-}Hsien Lin and Cheng{-}Chang Li and Hung{-}Chang Jau and Yung{-}Jr Hung and Tsung{-}Hsien Lin}, title = {Widely tunable guided-mode resonance filter using 90{\textdegree} twisted liquid crystal cladding}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7936928}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WangHCLLJHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/persuasive/ChenLLHCWH17, author = {Yong{-}Xiang Chen and Hsin{-}Wen Liang and Pin{-}Hsin Lin and Jui{-}Chun Hsia and Chia{-}Hao Chang and Chen{-}Yu Wang and Yi{-}Ping Hung}, editor = {Piiastiina Tikka and Randy Klaassen and Harri Oinas{-}Kukkonen and Lisette van Gemert{-}Pijnen and Dirk Heylen}, title = {Dynamic Text Messaging in a Persuasive Gaming Environment to Promote Participation in Self-Tracking of Health Status}, booktitle = {Proceedings of the Fifth International Workshop on Behavior Change Support Systems co-located with the 12th International Conference on Persuasive Technology, {PERSUASIVE} 2017, Amsterdam, The Netherlands, April 3-6, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1822}, pages = {28--37}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1822/BCSS\_2017\_paper\_3.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:14 +0100}, biburl = {https://dblp.org/rec/conf/persuasive/ChenLLHCWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfid/HungCLTLWCCCHYL17, author = {Ming{-}Hao Hung and Chung{-}Hung Chen and Yi{-}Cheng Lai and Kuan{-}Wen Tung and Wei{-}Ting Lin and Hsiu{-}Hua Wang and Feng{-}Jui Chan and Chun{-}Cheng Cheng and Chin{-}Tang Chuang and Yu{-}Sheng Huang and Cheng{-}Nan Yeh and Chu{-}Yu Liu and Jen{-}Pei Tseng and Min{-}Feng Chiang and Yu{-}Chieh Lin}, title = {Ultra low voltage 1-V {RFID} tag implement in a-IGZO {TFT} technology on plastic}, booktitle = {2017 {IEEE} International Conference on RFID, {RFID} 2017, Phoenix, AZ, USA, May 9-11, 2017}, pages = {193--197}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RFID.2017.7945608}, doi = {10.1109/RFID.2017.7945608}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/rfid/HungCLTLWCCCHYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/0015SWSHSNFCC17, author = {Hao Li and Shunsuke Saito and Lingyu Wei and Iman Sadeghi and Liwen Hu and Jaewoo Seo and Koki Nagano and Jens Fursund and Yen{-}Chun Chen and Stephen Chen}, title = {Pinscreen: creating performance-driven avatars in seconds}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} 2017, Los Angeles, CA, USA, July 30 - August 3, 2017, Real Time Live!}, pages = {23}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3098333.3107546}, doi = {10.1145/3098333.3107546}, timestamp = {Tue, 06 Apr 2021 12:33:02 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/0015SWSHSNFCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HongHYLLW17, author = {Tzung{-}Pei Hong and Jen{-}Hao Hsu and Kung{-}Jiuan Yang and Guo{-}Cheng Lan and Jerry Chun{-}Wei Lin and Shyue{-}Liang Wang}, title = {Mining high utility partial periodic pattern by {GPA}}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {820--824}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122710}, doi = {10.1109/SMC.2017.8122710}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/HongHYLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spac/YangQXLDW17, author = {Ming Yang and Yeqiang Qian and Linji Xue and Hao Li and Liuyuan Deng and Chunxiang Wang}, title = {{G2P:} {A} new descriptor for pedestrian detection}, booktitle = {International Conference on Security, Pattern Analysis, and Cybernetics, {SPAC} 2017, Shenzhen, China, December 15-17, 2017}, pages = {411--416}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAC.2017.8304314}, doi = {10.1109/SPAC.2017.8304314}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spac/YangQXLDW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spac/ZhangLLYJLW17, author = {Chunkai Zhang and Haodong Liu and Ye Li and Ao Yin and Zoe Lin Jiang and Qing Liao and Xuan Wang}, title = {A novel privacy-preserving distributed anomaly detection method}, booktitle = {International Conference on Security, Pattern Analysis, and Cybernetics, {SPAC} 2017, Shenzhen, China, December 15-17, 2017}, pages = {463--468}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAC.2017.8304323}, doi = {10.1109/SPAC.2017.8304323}, timestamp = {Wed, 24 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spac/ZhangLLYJLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinCLH17, author = {Jing{-}You Lin and Jung{-}Chun Chi and Chun{-}Fu Liao and Yuan{-}Hao Huang}, title = {A 6.4G LLR/s 8{\texttimes}8 64-QAM soft-output {MIMO} detector with lattice reduction preprocessing}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939685}, doi = {10.1109/VLSI-DAT.2017.7939685}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinCLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChangLPKS17, author = {Jen{-}Hao Rick Chang and Chun{-}Liang Li and Barnab{\'{a}}s P{\'{o}}czos and B. V. K. Vijaya Kumar and Aswin C. Sankaranarayanan}, title = {One Network to Solve Them All - Solving Linear Inverse Problems using Deep Projection Models}, journal = {CoRR}, volume = {abs/1703.09912}, year = {2017}, url = {http://arxiv.org/abs/1703.09912}, eprinttype = {arXiv}, eprint = {1703.09912}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChangLPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/TsaoCLFLL17, author = {Yu Tsao and Hao{-}Chun Chu and Shih{-}Wei Lan and Shih{-}Hau Fang and Junghsi Lee and Chih{-}Min Lin}, title = {Adaptive Noise Cancellation Using Deep Cerebellar Model Articulation Controller}, journal = {CoRR}, volume = {abs/1705.00945}, year = {2017}, url = {http://arxiv.org/abs/1705.00945}, eprinttype = {arXiv}, eprint = {1705.00945}, timestamp = {Sat, 21 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/TsaoCLFLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-08590, author = {Yuhang Song and Chao Yang and Zhe Lin and Hao Li and Qin Huang and C.{-}C. Jay Kuo}, title = {Image Inpainting using Multi-Scale Feature Image Translation}, journal = {CoRR}, volume = {abs/1711.08590}, year = {2017}, url = {http://arxiv.org/abs/1711.08590}, eprinttype = {arXiv}, eprint = {1711.08590}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-08590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ChenLHL16, author = {Chun{-}Hao Chen and Guo{-}Cheng Lan and Tzung{-}Pei Hong and Shih{-}Bin Lin}, title = {Mining fuzzy temporal association rules by item lifespans}, journal = {Appl. Soft Comput.}, volume = {41}, pages = {265--274}, year = {2016}, url = {https://doi.org/10.1016/j.asoc.2016.01.008}, doi = {10.1016/J.ASOC.2016.01.008}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/ChenLHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/LinWSTC16, author = {Ting{-}Hao Lin and Der{-}Lor Way and Zen{-}Chung Shih and Wen{-}Kai Tai and Chin{-}Chen Chang}, title = {An Efficient Structure-Aware Bilateral Texture Filtering for Image Smoothing}, journal = {Comput. Graph. Forum}, volume = {35}, number = {7}, pages = {57--66}, year = {2016}, url = {https://doi.org/10.1111/cgf.13003}, doi = {10.1111/CGF.13003}, timestamp = {Wed, 24 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cgf/LinWSTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/LinCL16, author = {Kawuu W. Lin and Sheng{-}Hao Chung and Chun{-}Cheng Lin}, title = {A fast and distributed algorithm for mining frequent patterns in congested networks}, journal = {Computing}, volume = {98}, number = {3}, pages = {235--256}, year = {2016}, url = {https://doi.org/10.1007/s00607-015-0457-6}, doi = {10.1007/S00607-015-0457-6}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/LinCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ShiW0LNW16, author = {Wei Shi and Jingjing Wang and Hao Zhang and Yun Liu and Qiuna Niu and Chunlei Wu}, title = {A New Link Scheduling Algorithm for 60 GHz-WPAN Communication System}, journal = {Int. J. Distributed Sens. Networks}, volume = {12}, number = {2}, pages = {6395385:1--6395385:10}, year = {2016}, url = {https://doi.org/10.1155/2016/6395385}, doi = {10.1155/2016/6395385}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ShiW0LNW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/YangHZH16, author = {Chun{-}xi Yang and Ling{-}yun Huang and Hao Zhang and Wang Hua}, title = {Multirate parallel distributed compensation of a cluster in wireless sensor and actor networks}, journal = {Int. J. Syst. Sci.}, volume = {47}, number = {1}, pages = {1--13}, year = {2016}, url = {https://doi.org/10.1080/00207721.2015.1018374}, doi = {10.1080/00207721.2015.1018374}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/YangHZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jca/LiSWTD16, author = {Hui{-}xuan Li and Chunfu Shao and Hao{-}ling Wu and Junfang Tian and Yingda Dai}, title = {Cellular Automata Approach for Modeling Lane Changing Execution}, journal = {J. Cell. Autom.}, volume = {11}, number = {4}, pages = {339--350}, year = {2016}, url = {http://www.oldcitypublishing.com/journals/jca-home/jca-issue-contents/jca-volume-11-number-4-2016/jca-11-4-p-339-350/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jca/LiSWTD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/LinCSHYL16, author = {Yu{-}Feng Lin and Chih{-}Wen Cheng and Chung{-}Shiuan Shih and Jenn{-}Kang Hwang and Chin{-}Sheng Yu and Chih{-}Hao Lu}, title = {{MIB:} Metal Ion-Binding Site Prediction and Docking Server}, journal = {J. Chem. Inf. Model.}, volume = {56}, number = {12}, pages = {2287--2291}, year = {2016}, url = {https://doi.org/10.1021/acs.jcim.6b00407}, doi = {10.1021/ACS.JCIM.6B00407}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/LinCSHYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuLLCCWWLHCLCLC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen}, title = {A 0.5 nJ/Pixel 4 {K} {H.265/HEVC} Codec {LSI} for Multi-Format Smartphone Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {56--67}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2465857}, doi = {10.1109/JSSC.2015.2465857}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuLLCCWWLHCLCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ChengTYHLWS16, author = {Fan{-}Tien Cheng and Hao Tieng and Haw Ching Yang and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Chun{-}Fan Wei and Zih{-}Yan Shieh}, title = {Industry 4.1 for Wheel Machining Automation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {1}, number = {1}, pages = {332--339}, year = {2016}, url = {https://doi.org/10.1109/LRA.2016.2517208}, doi = {10.1109/LRA.2016.2517208}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ChengTYHLWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungYLLTLWWTTC16, author = {Tien{-}Kan Chung and Po{-}Chen Yeh and Hao Lee and Cheng{-}Mao Lin and Chia{-}Yung Tseng and Wen{-}Tuan Lo and Chieh{-}Min Wang and Wen{-}Chin Wang and Chi{-}Jen Tu and Pei{-}Yuan Tasi and Jui{-}Wen Chang}, title = {An Attachable Electromagnetic Energy Harvester Driven Wireless Sensing System Demonstrating Milling-Processes and Cutter-Wear/Breakage-Condition Monitoring}, journal = {Sensors}, volume = {16}, number = {3}, pages = {269}, year = {2016}, url = {https://doi.org/10.3390/s16030269}, doi = {10.3390/S16030269}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungYLLTLWWTTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/WangLLWSW16, author = {Jia{-}Ching Wang and Yuan{-}Shan Lee and Chang{-}Hong Lin and Shu{-}Fan Wang and Chih{-}Hao Shih and Chung{-}Hsien Wu}, title = {Compressive Sensing-Based Speech Enhancement}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {24}, number = {11}, pages = {2122--2131}, year = {2016}, url = {https://doi.org/10.1109/TASLP.2016.2598306}, doi = {10.1109/TASLP.2016.2598306}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/WangLLWSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhuangYWKLZCC16, author = {Hao Zhuang and Wenjian Yu and Shih{-}Hung Weng and Ilgweon Kang and Jeng{-}Hau Lin and Xiang Zhang and Ryan Coutts and Chung{-}Kuan Cheng}, title = {Simulation Algorithms With Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {10}, pages = {1681--1694}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2523908}, doi = {10.1109/TCAD.2016.2523908}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhuangYWKLZCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangCYKTCLWC16, author = {Po{-}Hao Wang and Wei{-}Chung Cheng and Yung{-}Hui Yu and Tang{-}Chieh Kao and Chi{-}Lun Tsai and Pei{-}Yao Chang and Tay{-}Jyi Lin and Jinn{-}Shyan Wang and Tien{-}Fu Chen}, title = {Zero-Counting and Adaptive-Latency Cache Using a Voltage-Guardband Breakthrough for Energy-Efficient Operations}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {10}, pages = {969--973}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2539038}, doi = {10.1109/TCSII.2016.2539038}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangCYKTCLWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YehCLLLC16, author = {Chun{-}Hsien Yeh and Pei{-}Yin Chen and Yen{-}Chen Lai and Hao{-}Ting Lin and Chia{-}Hao Li and Pei{-}Hua Chang}, title = {Real-Time Digital Hardware Simulation of the Rodless Pneumatic System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {9}, pages = {853--857}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2535043}, doi = {10.1109/TCSII.2016.2535043}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YehCLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/HuangCWL16, author = {Jen{-}Feng Huang and Guey{-}Yun Chang and Chun{-}Feng Wang and Chih{-}Hao Lin}, title = {Heterogeneous Flow Table Distribution in Software-Defined Networks}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {4}, number = {2}, pages = {252--261}, year = {2016}, url = {https://doi.org/10.1109/TETC.2015.2457333}, doi = {10.1109/TETC.2015.2457333}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/HuangCWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChiTCCLL16, author = {Hao Ran Chi and Kim Fung Tsang and Kwok Tai Chui and Henry Shu{-}Hung Chung and Bingo Wing{-}Kuen Ling and Loi Lei Lai}, title = {Interference-Mitigated ZigBee-Based Advanced Metering Infrastructure}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {2}, pages = {672--684}, year = {2016}, url = {https://doi.org/10.1109/TII.2016.2527618}, doi = {10.1109/TII.2016.2527618}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChiTCCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChuiTCLW16, author = {Kwok Tai Chui and Kim Fung Tsang and Hao Ran Chi and Bingo Wing{-}Kuen Ling and Chung Kit Wu}, title = {An Accurate ECG-Based Transportation Safety Drowsiness Detection Scheme}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {4}, pages = {1438--1452}, year = {2016}, url = {https://doi.org/10.1109/TII.2016.2573259}, doi = {10.1109/TII.2016.2573259}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChuiTCLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ChenLTL16, author = {Wei{-}Hao Chen and Wei{-}Ren Lin and Ho{-}Chun Tsao and Che Lin}, title = {Probabilistic Power Allocation for Cognitive Radio Networks With Outage Constraints and One-Bit Side Information}, journal = {{IEEE} Trans. Signal Process.}, volume = {64}, number = {4}, pages = {867--881}, year = {2016}, url = {https://doi.org/10.1109/TSP.2015.2494852}, doi = {10.1109/TSP.2015.2494852}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ChenLTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LiangCLLYC16, author = {Hao{-}Wen Liang and Hsiu{-}Chi Chen and Chien{-}Hung Lin and Chia{-}Lin Lee and Shan{-}Chun Yang and Kuan{-}Neng Chen}, title = {The influence of device morphology on wafer-level bonding with polymer-coated layer}, booktitle = {2016 {IEEE} International 3D Systems Integration Conference, 3DIC 2016, San Francisco, CA, USA, November 8-11, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/3DIC.2016.7970009}, doi = {10.1109/3DIC.2016.7970009}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/LiangCLLYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LinHLW16, author = {Yun{-}Te Lin and Yi{-}Hao Hsiao and Fang{-}Pang Lin and Chung{-}Ming Wang}, title = {A hybrid cache architecture of shared memory and meta-table used in big multimedia query}, booktitle = {15th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2016, Okayama, Japan, June 26-29, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICIS.2016.7550809}, doi = {10.1109/ICIS.2016.7550809}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LinHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/LeeLLH16, author = {Kok{-}Meng Lee and Chun{-}Yeon Lin and Min Li and Bingjie Hao}, title = {Harmonic response of an eddy-current sensor for real-time measurement of thin-wall titanium alloy workpiece}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2016, Banff, AB, Canada, July 12-15, 2016}, pages = {1271--1276}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/AIM.2016.7576945}, doi = {10.1109/AIM.2016.7576945}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/LeeLLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuCCYLCTLCCTCL16, author = {Liang{-}Ying Lu and Ching{-}Yao Chang and Zhao{-}Hong Chen and Bo{-}Ting Yeh and Tai{-}Hua Lu and Peng{-}Yu Chen and Pin{-}Hao Tang and Kuen{-}Jong Lee and Lih{-}Yih Chiou and Soon{-}Jyh Chang and Chien{-}Hung Tsai and Chung{-}Ho Chen and Jai{-}Ming Lin}, title = {A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {17--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7427980}, doi = {10.1109/ASPDAC.2016.7427980}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuCCYLCTLCCTCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WangCHTWS16, author = {Wei{-}Lin Wang and Yuan{-}Hao Chang and Po{-}Chun Huang and Chia{-}Heng Tu and Hsin{-}Wen Wei and Wei{-}Kuan Shih}, title = {Relay-based key management to support secure deletion for resource-constrained flash-memory storage devices}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {444--449}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428052}, doi = {10.1109/ASPDAC.2016.7428052}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/WangCHTWS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/DengLEESS16, author = {Xiaodong Deng and Wee Hwee Lin and E. Shyong Tai and Eric Khoo Yin Hao and Mark Kevin Salloway and Chuen Seng Tan}, title = {From descriptive to diagnostic analytics for assessing data quality: An application to temporal data elements in electronic health records}, booktitle = {2016 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2016, Las Vegas, NV, USA, February 24-27, 2016}, pages = {236--239}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BHI.2016.7455878}, doi = {10.1109/BHI.2016.7455878}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bhi/DengLEESS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/TanDSHSSWWL16, author = {Chuen Seng Tan and Xiaodong Deng and E. Shyong Tai and Eric Khoo Yin Hao and Toh Ee Shiow and Mark Kevin Salloway and Koh Thuan Wee and Thomas Wee and Wee Hwee Lin}, title = {Predicting high cost patients with type 2 diabetes mellitus using hospital databases in a multi-ethnic Asian population}, booktitle = {2016 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2016, Las Vegas, NV, USA, February 24-27, 2016}, pages = {240--243}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BHI.2016.7455879}, doi = {10.1109/BHI.2016.7455879}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bhi/TanDSHSSWWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/PanLZ16, author = {Hao Pan and ChunYi Lin and Jianhua Zhou}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {A new elastography method for liver stiffness based on ultrasound spectral analysis}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {1446--1450}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852944}, doi = {10.1109/CISP-BMEI.2016.7852944}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/PanLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ZhangXZGX16, author = {Yue Zhang and Chun{-}Ming Xia and Hao Zhong and Xiao{-}Lin Gu and Tao Xie}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {The correlation analysis of muscle fatigue degree of flexor carpi radialis and mechanomyographic frequency-domain features}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {954--958}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852849}, doi = {10.1109/CISP-BMEI.2016.7852849}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ZhangXZGX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LinLS16, author = {Chun{-}Chih Lin and Kuang{-}Hao Stanley Liu and Szu{-}Lin Su}, title = {Coverage performance of heterogeneous cellular networks with dependent cell deployment}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2016, Nara, Japan, June 1-3, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BMSB.2016.7521924}, doi = {10.1109/BMSB.2016.7521924}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LinLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccbd/ChangLKCH16, author = {Chu{-}Chun Chang and Zhi{-}Ting Lin and Wai{-}Wan Koc and Chin Chou and Szu{-}Hao Huang}, title = {Affinity Propagation Clustering for Intelligent Portfolio Diversification and Investment Risk Reduction}, booktitle = {7th International Conference on Cloud Computing and Big Data, {CCBD} 2016, Macau, China, November 16-18, 2016}, pages = {145--150}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CCBD.2016.037}, doi = {10.1109/CCBD.2016.037}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccbd/ChangLKCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/JiangYLLYCL16, author = {Yun{-}Zhi Jiang and Wei{-}Chang Yeh and Chyh{-}Ming Lai and Hsiu{-}Hao Liu and Che{-}Hou Yeh and Yuk Ying Chung and Jsen{-}Shung Lin}, title = {Integrated use of soft computing and clustering for capacitated clustering single-facility location problem with one-time delivery}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {2701--2705}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7744128}, doi = {10.1109/CEC.2016.7744128}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/JiangYLLYCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ChangLLLC16, author = {Yu{-}Ming Chang and Yung{-}Chun Li and Ping{-}Hsien Lin and Hsiang{-}Pang Li and Yuan{-}Hao Chang}, title = {Realizing erase-free {SLC} flash memory with rewritable programming design}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {7:1--7:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2968462}, doi = {10.1145/2968456.2968462}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/ChangLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChenPYCC16, author = {Jhih{-}Jie Chen and Hao{-}Chun Peng and Mei{-}Cih Yeh and Peng{-}Yu Chen and Jason S. Chang}, editor = {Hideo Watanabe}, title = {Linggle Knows: {A} Search Engine Tells How People Write}, booktitle = {{COLING} 2016, 26th International Conference on Computational Linguistics, Proceedings of the Conference System Demonstrations, December 11-16, 2016, Osaka, Japan}, pages = {166--169}, publisher = {{ACL}}, year = {2016}, url = {https://aclanthology.org/C16-2035/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChenPYCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWCLCCCWHWCC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chia{-}Yun Cheng and Hue{-}Min Lin and Chun{-}Chia Chen and Min{-}Hao Chiu and Ping Chao and Ming{-}Long Wu and Meng{-}Jye Hu and Sheng{-}Jen Wang and Che{-}Hong Chen and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Fu{-}Chun Yeh and Chia{-}Hung Kao and Yi{-}Chang Chen and Chia{-}Lin Ho and Yenchieh Huang and Hsiao{-}En Chen and Chih{-}Wen Yang and Hsuan{-}Wen Peng}, title = {A 2.6mm\({}^{\mbox{2}}\) 0.19nJ/pixel {VP9} and multi-standard decoder {LSI} for Android 4K {TV} applications}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {109--112}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598254}, doi = {10.1109/ESSCIRC.2016.7598254}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWCLCCCWHWCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936211}, doi = {10.1109/HOTCHIPS.2016.7936211}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LinTHHCYFZCLCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/ChangWPLLC16, author = {Wu{-}Chang Chang and Po{-}Ching Wu and Cheng{-}Hao Po and Chun{-}Fu Lin and Ching{-}Yuan Lin and Chih{-}Hsin Chen}, title = {An ultra low power operated logic {NVM} for passive {UHF} {RFID} tag applications}, booktitle = {International Conference on {IC} Design and Technology, {ICICDT} 2016, Ho Chi Minh, Vietnam, June 27-29, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICICDT.2016.7542048}, doi = {10.1109/ICICDT.2016.7542048}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icicdt/ChangWPLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/OuYLM16, author = {Wei{-}Feng Ou and Chun{-}Ling Yang and Wen{-}Hao Li and Li{-}Hong Ma}, title = {A two-stage multi-hypothesis reconstruction scheme in compressed video sensing}, booktitle = {2016 {IEEE} International Conference on Image Processing, {ICIP} 2016, Phoenix, AZ, USA, September 25-28, 2016}, pages = {2494--2498}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIP.2016.7532808}, doi = {10.1109/ICIP.2016.7532808}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/OuYLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/LinCH16, author = {Hao{-}Qin Lin and Min{-}Cheng Chung and Long{-}Sun Huang}, title = {An oscillated, self-sensing piezoresistive microcantilever sensor with fast fourier transform analysis for point-of-care blood coagulation monitoring}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {640--645}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIT.2016.7474824}, doi = {10.1109/ICIT.2016.7474824}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/LinCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/LinCLHSLC16, author = {Yi{-}Jia Lin and Chao{-}Chin Chang and Shih{-}Chi Lee and Wei{-}Chun Hsu and Tzyy{-}Yuang Shiang and Tsung{-}Han Liu and Hao{-}Ling Chen}, title = {Do thicker midsoles increase shock attenuation and do thin midsoles facilitate propulsion during lunge maneuvers? Footwear design for racket-sport industry}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {1578--1584}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIT.2016.7474996}, doi = {10.1109/ICIT.2016.7474996}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/LinCLHSLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChungWSLL16, author = {Yu{-}An Chung and Chao{-}Chung Wu and Chia{-}Hao Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, editor = {Nelson Morgan}, title = {Audio Word2Vec: Unsupervised Learning of Audio Segment Representations Using Sequence-to-Sequence Autoencoder}, booktitle = {17th Annual Conference of the International Speech Communication Association, Interspeech 2016, San Francisco, CA, USA, September 8-12, 2016}, pages = {765--769}, publisher = {{ISCA}}, year = {2016}, url = {https://doi.org/10.21437/Interspeech.2016-82}, doi = {10.21437/INTERSPEECH.2016-82}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChungWSLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/WuCLVL16, author = {Tsung{-}Han Wu and Chun{-}Hao Chang and Yun{-}Wei Lin and Lan{-}Da Van and Yi{-}Bing Lin}, editor = {Xingang Liu and Tie Qiu and Bin Guo and Kaixuan Lu and Zhaolong Ning and Mianxiong Dong and Yayong Li}, title = {Intelligent Plant Care Hydroponic Box Using IoTtalk}, booktitle = {2016 {IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), Chengdu, China, December 15-18, 2016}, pages = {398--401}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/iThings-GreenCom-CPSCom-SmartData.2016.94}, doi = {10.1109/ITHINGS-GREENCOM-CPSCOM-SMARTDATA.2016.94}, timestamp = {Mon, 14 Dec 2020 13:52:12 +0100}, biburl = {https://dblp.org/rec/conf/ithings/WuCLVL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChiCYLCCKYS16, author = {Kai{-}Lun Chi and Xin{-}Nan Chen and Jia{-}Liang Yen and Wei Lin and Shi{-}Wei Chiu and Jason Jyehong Chen and Hao{-}Chung Kuo and Ying{-}Jay Yang and Jin{-}Wei Shi}, title = {Strong enhancements in static/dynamic performances of high-speed 850 nm vertical-cavity surface-emitting lasers with P-type {\(\delta\)}-doping in highly strained active layers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537588}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChiCYLCCKYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChiWHKL16, author = {Yu{-}Chieh Chi and Tsai{-}Chen Wu and Dan{-}Hua Hsieh and Hao{-}Chung Kuo and Gong{-}Ru Lin}, title = {Backscattering effect of phosphor diffuser on the blue laser diode based 5.2-Gbps Li-Fi communication link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537680}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChiWHKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChiangLLHLL16, author = {Shou{-}Chih Chiang and Che{-}Hao Li and Chun{-}Ting Lin and Hou{-}Tzu Huang and Chi{-}Hsiang Lin and Bo{-}Jiun Lin}, title = {V-band gapless {OFDM} RoF system with power detector down-conversion and novel Volterra nonlinear compensation}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537658}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChiangLLHLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TsaiCPLCWSHKCL16, author = {Cheng{-}Ting Tsai and Shuo Chang and Chun{-}Yen Pong and Shan{-}Fong Liang and Yu{-}Chieh Chi and Chao{-}Hsin Wu and Tien{-}Tsorng Shih and Jian Jang Huang and Hao{-}Chung Kuo and Wood{-}Hi Cheng and Gong{-}Ru Lin}, title = {{RIN} suppressed multimode 850-nm {VCSEL} for 56-Gbps 16-QAM {OFDM} and 22-Gbps {PAM-4} transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537478}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/TsaiCPLCWSHKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/SaitoWFHYYOCBCL16, author = {Shunsuke Saito and Lingyu Wei and Jens Fursund and Liwen Hu and Chao Yang and Ronald Yu and Kyle Olszewski and Stephen Chen and Isabella Benavente and Yen{-}Chun Chen and Hao Li}, editor = {Yuichi Itoh and Bing{-}Yu Chen}, title = {Pinscreen: 3D avatar from a single image}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Emerging Technologies}, pages = {15:1}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2988240.3014572}, doi = {10.1145/2988240.3014572}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/SaitoWFHYYOCBCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenHFW16, author = {Chin{-}Ling Chen and Jin{-}Xin Hu and Chun{-}Long Fan and Kun{-}hao Wang}, title = {Design of a secure medical data sharing system via an authorized mechanism}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {2478--2482}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844611}, doi = {10.1109/SMC.2016.7844611}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenHFW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HoLHLHC16, author = {Yu{-}Chang Ho and Hao{-}Min Liu and Hui{-}Hsin Hsu and Chun{-}Han Lin and Yao{-}Hua Ho and Ling{-}Jyh Chen}, title = {Automatic opinion leader recognition in group discussions}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2016, Hsinchu, Taiwan, November 25-27, 2016}, pages = {138--145}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TAAI.2016.7880177}, doi = {10.1109/TAAI.2016.7880177}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/HoLHLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChenLLL16, author = {Chung{-}Sheng Chen and Thomas Chang{-}Hao Lin and Tzu{-}Han Lin and Pei{-}Chun Lin}, title = {Design of a motor bracket multi-axis force/torque sensor}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {305--307}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734047}, doi = {10.1109/URAI.2016.7734047}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChenLLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/WangLWZT16, author = {Hao Wang and Tongyu Lin and Yumei Wang and Lin Zhang and Chunchang Tian}, title = {{EPEC:} An Error Position Estimating Coding scheme in wireless networks}, booktitle = {8th International Conference on Wireless Communications {\&} Signal Processing, {WCSP} 2016, Yangzhou, China, October 13-15, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WCSP.2016.7752667}, doi = {10.1109/WCSP.2016.7752667}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/WangLWZT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/YinLCLFYDX16, author = {Chunjing Yin and Jianqiang Li and Hao Chen and Qiang Lv and Yuting Fan and Feifei Yin and Yitang Dai and Kun Xu}, title = {Behavioral modeling and digital compensation of nonlinearity in multi-band externally-modulated radio-over-fiber links}, booktitle = {25th Wireless and Optical Communication Conference, {WOCC} 2016, Chengdu, China, May 21-23, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WOCC.2016.7506566}, doi = {10.1109/WOCC.2016.7506566}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wocc/YinLCLFYDX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChungWSLL16, author = {Yu{-}An Chung and Chao{-}Chung Wu and Chia{-}Hao Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Audio Word2Vec: Unsupervised Learning of Audio Segment Representations using Sequence-to-sequence Autoencoder}, journal = {CoRR}, volume = {abs/1603.00982}, year = {2016}, url = {http://arxiv.org/abs/1603.00982}, eprinttype = {arXiv}, eprint = {1603.00982}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChungWSLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/HongCL15, author = {Tzung{-}Pei Hong and Chun{-}Hao Chen and Feng{-}Shih Lin}, title = {Using group genetic algorithm to improve performance of attribute clustering}, journal = {Appl. Soft Comput.}, volume = {29}, pages = {371--378}, year = {2015}, url = {https://doi.org/10.1016/j.asoc.2015.01.001}, doi = {10.1016/J.ASOC.2015.01.001}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/HongCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/WangSCLCTLCPC15, author = {Hsei{-}Wei Wang and Hsing{-}Jen Sun and Ting{-}Yu Chang and Hung{-}Hao Lo and Wei{-}Chung Cheng and George C. Tseng and Chin{-}Teng Lin and Shing{-}Jyh Chang and Nikhil R. Pal and I{-}Fang Chung}, title = {Discovering monotonic stemness marker genes from time-series stem cell microarray data}, journal = {{BMC} Genom.}, volume = {16}, number = {{S-2}}, pages = {S2}, year = {2015}, url = {https://doi.org/10.1186/1471-2164-16-S2-S2}, doi = {10.1186/1471-2164-16-S2-S2}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/WangSCLCTLCPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChangWLWLLCLLHW15, author = {Hsin{-}Yi Chang and Chia{-}Yu Wang and Ming{-}Hsien Lee and Hsin{-}Kai Wu and Jyh{-}Chong Liang and Silvia Wen{-}Yu Lee and Guo{-}Li Chiou and Hao{-}Chang Lo and Jing{-}Wen Lin and Chung{-}Yuan Hsu and Ying{-}Tien Wu and Sufen Chen and Fu{-}Kwun Hwang and Chin{-}Chung Tsai}, title = {A review of features of technology-supported learning environments based on participants' perceptions}, journal = {Comput. Hum. Behav.}, volume = {53}, pages = {223--237}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.06.042}, doi = {10.1016/J.CHB.2015.06.042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChangWLWLLCLLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YangSY15, author = {Chun{-}Hao Yang and Kuo{-}Feng Ssu and Chun{-}Lin Yang}, title = {A collision-analysis-based energy-efficient routing protocol in 3D underwater acoustic sensor networks}, journal = {Comput. Commun.}, volume = {66}, pages = {25--35}, year = {2015}, url = {https://doi.org/10.1016/j.comcom.2015.04.004}, doi = {10.1016/J.COMCOM.2015.04.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/YangSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LinC15, author = {Kawuu W. Lin and Sheng{-}Hao Chung}, title = {A fast and resource efficient mining algorithm for discovering frequent patterns in distributed computing environments}, journal = {Future Gener. Comput. Syst.}, volume = {52}, pages = {49--58}, year = {2015}, url = {https://doi.org/10.1016/j.future.2015.05.009}, doi = {10.1016/J.FUTURE.2015.05.009}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/LinC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/WangLHXSJLDWZZZ15, author = {Yue Wang and Jin Luo and Shiying Hao and Haihua Xu and Andrew Young Shin and Bo Jin and Rui Liu and Xiaohong Deng and Lijuan Wang and Le Zheng and Yifan Zhao and Chunqing Zhu and Zhongkai Hu and Changlin Fu and Yanpeng Hao and Yingzhen Zhao and Yunliang Jiang and Dorothy Dai and Devore S. Culver and Shaun T. Alfreds and Todd Rogow and Frank Stearns and Karl G. Sylvester and Eric Widen and Xuefeng Bruce Ling}, title = {{NLP} based congestive heart failure case finding: {A} prospective analysis on statewide electronic medical records}, journal = {Int. J. Medical Informatics}, volume = {84}, number = {12}, pages = {1039--1047}, year = {2015}, url = {https://doi.org/10.1016/j.ijmedinf.2015.06.007}, doi = {10.1016/J.IJMEDINF.2015.06.007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/WangLHXSJLDWZZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/JianGTB15, author = {Jin{-}Bao Jian and Chuan{-}Hao Guo and Chun{-}Ming Tang and Yan{-}Qin Bai}, title = {A new superlinearly convergent algorithm of combining {QP} subproblem with system of linear equations for nonlinear optimization}, journal = {J. Comput. Appl. Math.}, volume = {273}, pages = {88--102}, year = {2015}, url = {https://doi.org/10.1016/j.cam.2014.06.009}, doi = {10.1016/J.CAM.2014.06.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcam/JianGTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/HaoXLL15, author = {Wenrui Hao and Zhiliang Xu and Chun Liu and Guang Lin}, title = {A fictitious domain method with a hybrid cell model for simulating motion of cells in fluid flow}, journal = {J. Comput. Phys.}, volume = {280}, pages = {345--362}, year = {2015}, url = {https://doi.org/10.1016/j.jcp.2014.09.020}, doi = {10.1016/J.JCP.2014.09.020}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/HaoXLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/LiSLTCHKLLLYCCJ15, author = {Chun{-}Liang Li and Yu{-}Chuan Su and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Chun{-}Pai Yang and Cheng{-}Xia Chang and Wei{-}Sheng Chin and Yu{-}Chin Juan and Hsiao{-}Yu Tung and Jui{-}Pin Wang and Cheng{-}Kuang Wei and Felix Wu and Tu{-}Chun Yin and Tong Yu and Yong Zhuang and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Combination of feature engineering and ranking models for paper-author identification in {KDD} cup 2013}, journal = {J. Mach. Learn. Res.}, volume = {16}, pages = {2921--2947}, year = {2015}, url = {https://dl.acm.org/doi/10.5555/2789272.2912094}, doi = {10.5555/2789272.2912094}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/LiSLTCHKLLLYCCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhuLCN15, author = {Chun Jiang Zhu and Kam{-}yiu Lam and Yuan{-}Hao Chang and Joseph Kee{-}Yin Ng}, title = {Linked Block-based Multiversion B-Tree index for PCM-based embedded databases}, journal = {J. Syst. Archit.}, volume = {61}, number = {9}, pages = {383--397}, year = {2015}, url = {https://doi.org/10.1016/j.sysarc.2015.08.002}, doi = {10.1016/J.SYSARC.2015.08.002}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ZhuLCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HungCYKLSHHLSHC15, author = {Chun{-}Hsiung Hung and Meng{-}Fan Chang and Yih{-}Shan Yang and Yao{-}Jen Kuo and Tzu{-}Neng Lai and Shin{-}Jang Shen and Jo{-}Yu Hsu and Shuo{-}Nan Hung and Hang{-}Ting Lue and Yen{-}Hao Shih and Shih{-}Lin Huang and Ti{-}Wen Chen and Tzung Shen Chen and Chung Kuang Chen and Chi{-}Yu Hung and Chih{-}Yuan Lu}, title = {Layer-Aware Program-and-Read Schemes for 3D Stackable Vertical-Gate {BE-SONOS} {NAND} Flash Against Cross-Layer Process Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {6}, pages = {1491--1501}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2413841}, doi = {10.1109/JSSC.2015.2413841}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HungCYKLSHHLSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LinSWLWK15, author = {Joe Yuchieh Lin and Rui Song and Eddy Chi{-}Hao Wu and Tsung{-}Jung Liu and Haiqiang Wang and C.{-}C. Jay Kuo}, title = {{MCL-V:} {A} streaming video quality assessment database}, journal = {J. Vis. Commun. Image Represent.}, volume = {30}, pages = {1--9}, year = {2015}, url = {https://doi.org/10.1016/j.jvcir.2015.02.012}, doi = {10.1016/J.JVCIR.2015.02.012}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/LinSWLWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZhangWLYC15, author = {Chun Zhang and Shafei Wang and Dongsheng Li and Jun{-}an Yang and Hao Chen}, title = {Prior class dissimilarity based linear neighborhood propagation}, journal = {Knowl. Based Syst.}, volume = {83}, pages = {58--65}, year = {2015}, url = {https://doi.org/10.1016/j.knosys.2015.03.011}, doi = {10.1016/J.KNOSYS.2015.03.011}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ZhangWLYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nla/HaoCD15, author = {Chun{-}Lin Hao and Chun{-}Feng Cui and Yu{-}Hong Dai}, title = {A sequential subspace projection method for extreme Z-eigenvalues of supersymmetric tensors}, journal = {Numer. Linear Algebra Appl.}, volume = {22}, number = {2}, pages = {283--298}, year = {2015}, url = {https://doi.org/10.1002/nla.1949}, doi = {10.1002/NLA.1949}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nla/HaoCD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/WangCCLW15, author = {Jia{-}Ching Wang and Yu{-}Hao Chin and Bo{-}Wei Chen and Chang{-}Hong Lin and Chung{-}Hsien Wu}, title = {Speech Emotion Verification Using Emotion Variance Modeling and Discriminant Scale-Frequency Maps}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {23}, number = {10}, pages = {1552--1562}, year = {2015}, url = {https://doi.org/10.1109/TASLP.2015.2438535}, doi = {10.1109/TASLP.2015.2438535}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/WangCCLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WuHCLC15, author = {Tsai{-}Fu Wu and Hui{-}Chung Hsieh and Chih{-}Hao Chang and Li{-}Chiun Lin and Yung{-}Ruei Chang}, title = {Improvement of Control Law Derivation and Region Selection for D-{\(\Sigma\)} Digital Control}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {10}, pages = {6042--6050}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2426141}, doi = {10.1109/TIE.2015.2426141}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/WuHCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeHHLCL15, author = {Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Ming{-}Chun Liang and Shih{-}Yu Chang Chien and Kuang{-}Hao Lin}, title = {Low-Power Wireless {ECG} Acquisition and Classification System for Body Sensor Networks}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {1}, pages = {236--246}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2310354}, doi = {10.1109/JBHI.2014.2310354}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeHHLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LinWD15, author = {Hao Lin and Fengtong Wen and Chunxia Du}, title = {An Improved Anonymous Multi-Server Authenticated Key Agreement Scheme Using Smart Cards and Biometrics}, journal = {Wirel. Pers. Commun.}, volume = {84}, number = {4}, pages = {2351--2362}, year = {2015}, url = {https://doi.org/10.1007/s11277-015-2708-4}, doi = {10.1007/S11277-015-2708-4}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LinWD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ase-bigdata/LinCHL15, author = {Kawuu W. Lin and Sheng{-}Hao Chung and Sheng{-}Shiung Huang and Chun{-}Cheng Lin}, title = {Fast Mining Frequent Patterns with Secondary Memory}, booktitle = {Proceedings of the {ASE} BigData {\&} SocialInformatics 2015, {ASE} BD{\&}SI 2015, Kaohsiung, Taiwan, October 7-9, 2015}, pages = {43:1--43:5}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818869.2818903}, doi = {10.1145/2818869.2818903}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ase-bigdata/LinCHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LeeCT15, author = {Ching{-}Ting Lee and Jhe{-}Hao Chang and Chun{-}Yen Tseng}, title = {Photoelectrochemically recessed AlGaN/GaN monolithic inverter incorporating LiNbO3 ferroelectric film}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7516990}, doi = {10.1109/ASICON.2015.7516990}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LeeCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiMXM15, author = {Chunhui Li and Lei Ma and Junhui Xiang and Hao Min}, title = {An asynchronous delay line {TDC} for {ADPLL} in 0.13um {CMOS}}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517134}, doi = {10.1109/ASICON.2015.7517134}, timestamp = {Tue, 17 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiMXM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HaoSLSHJZDSWCAR15, author = {Shiying Hao and Karl G. Sylvester and Xuefeng Bruce Ling and Andrew Young Shin and Zhongkai Hu and Bo Jin and Chunqing Zhu and Dorothy Dai and Frank Stearns and Eric Widen and Devore S. Culver and Shaun T. Alfreds and Todd Rogow}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Risk prediction for future 6-month healthcare resource utilization in Maine}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {863--866}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359798}, doi = {10.1109/BIBM.2015.7359798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HaoSLSHJZDSWCAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhengWHSLSJZJDX15, author = {Le Zheng and Yue Wang and Shiying Hao and Karl G. Sylvester and Xuefeng Bruce Ling and Andrew Young Shin and Bo Jin and Chunqing Zhu and Hua Jin and Dorothy Dai and Haihua Xu and Frank Stearns and Eric Widen and Devore S. Culver and Shaun T. Alfreds and Todd Rogow}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Risk prediction of stroke: {A} prospective statewide study on patients in Maine}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {853--855}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359796}, doi = {10.1109/BIBM.2015.7359796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhengWHSLSJZJDX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LinLLLPZKMC15, author = {Jeng{-}Hau Lin and Hao Liu and Chia{-}Hung Liu and Phillip Lam and Gung{-}Yu Pan and Hao Zhuang and Ilgweon Kang and Patrick P. Mercier and Chung{-}Kuan Cheng}, title = {An interdigitated non-contact {ECG} electrode for impedance compensation and signal restoration}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BioCAS.2015.7348421}, doi = {10.1109/BIOCAS.2015.7348421}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/LinLLLPZKMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ChenLC15, author = {Chun{-}Hao Chen and Cheng{-}Bon Lin and Chao{-}Chun Chen}, title = {Mining group stock portfolio by using grouping genetic algorithms}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2015, Sendai, Japan, May 25-28, 2015}, pages = {738--743}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CEC.2015.7256964}, doi = {10.1109/CEC.2015.7256964}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/ChenLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChungLLSLHLWHHC15, author = {Yuan{-}Hung Chung and Che{-}Hung Liao and Chun{-}Wei Lin and Yi{-}Shing Shih and Chin{-}Fu Li and Meng{-}Hsiung Hung and Ming{-}Chung Liu and Pi{-}An Wu and Jui{-}Lin Hsu and Ming{-}Yeh Hsu and Sheng{-}Hao Chen and Po{-}Yu Chang and Chih{-}Hao Chen and Yu{-}Hsien Chang and Jun{-}Yu Chen and Tao{-}Yao Chang and George Chien}, title = {A dual-band 802.11abgn/ac transceiver with integrated {PA} and {T/R} switch in a digital noise controlled SoC}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338361}, doi = {10.1109/CICC.2015.7338361}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChungLLSLHLWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LinCKCL15, author = {Chun{-}Ta Lin and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Hung{-}Sheng Chang and Hsiang{-}Pang Li}, editor = {Gabriela Nicolescu and Andreas Gerstlauer}, title = {How to improve the space utilization of dedup-based {PCM} storage devices?}, booktitle = {2015 International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2015, Amsterdam, Netherlands, October 4-9, 2015}, pages = {11--20}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CODESISSS.2015.7331363}, doi = {10.1109/CODESISSS.2015.7331363}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/LinCKCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cybconf/YangTWLPYZLS15, author = {Lina Yang and Yuan Yan Tang and Yulong Wang and Huiwu Luo and Jianjia Pan and Haoliang Yuan and Xianwei Zheng and Chunli Li and Ting Shu}, title = {Similarity analysis based on sparse representation for protein sequence comparison}, booktitle = {2nd {IEEE} International Conference on Cybernetics, {CYBCONF} 2015, Gdynia, Poland, June 24-26, 2015}, pages = {382--387}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CYBConf.2015.7175964}, doi = {10.1109/CYBCONF.2015.7175964}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cybconf/YangTWLPYZLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cybconf/ZhengTZYYWL15, author = {Xianwei Zheng and Yuan Yan Tang and Jiantao Zhou and Lina Yang and Haoliang Yuan and Yulong Wang and Chunli Li}, title = {Redundant graph Fourier transform}, booktitle = {2nd {IEEE} International Conference on Cybernetics, {CYBCONF} 2015, Gdynia, Poland, June 24-26, 2015}, pages = {406--409}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CYBConf.2015.7175968}, doi = {10.1109/CYBCONF.2015.7175968}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cybconf/ZhengTZYYWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/AaronLMLWK15, author = {Anne Aaron and Zhi Li and Megha Manohara and Joe Yuchieh Lin and Eddy Chi{-}Hao Wu and C.{-}C. Jay Kuo}, title = {Challenges in cloud based ingest and encoding for high quality streaming media}, booktitle = {2015 {IEEE} International Conference on Image Processing, {ICIP} 2015, Quebec City, QC, Canada, September 27-30, 2015}, pages = {1732--1736}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIP.2015.7351097}, doi = {10.1109/ICIP.2015.7351097}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/AaronLMLWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChangHL15, author = {Hsing{-}Chun Chang and Szu{-}Hao Huang and Shang{-}Hong Lai}, title = {Using line consistency to estimate 3D indoor Manhattan scene layout from a single image}, booktitle = {2015 {IEEE} International Conference on Image Processing, {ICIP} 2015, Quebec City, QC, Canada, September 27-30, 2015}, pages = {4723--4727}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIP.2015.7351703}, doi = {10.1109/ICIP.2015.7351703}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChangHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LinWKLAK15, author = {Joe Yuchieh Lin and Eddy Chi{-}Hao Wu and Ioannis Katsavounidis and Zhi Li and Anne Aaron and C.{-}C. Jay Kuo}, title = {{EVQA:} An ensemble-learning-based video quality assessment index}, booktitle = {2015 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICMEW.2015.7169760}, doi = {10.1109/ICMEW.2015.7169760}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LinWKLAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuCWLCCCWCHYCL15, author = {Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chia{-}Lin Ho and Chi{-}Cheng Ju}, title = {Energy and area efficient hardware implementation of 4K Main-10 {HEVC} decoder in Ultra-HD Blu-ray player and {TV} systems}, booktitle = {2015 {IEEE} International Conference on Multimedia and Expo, {ICME} 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICME.2015.7177399}, doi = {10.1109/ICME.2015.7177399}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiuCWLCCCWCHYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/ChuiTCWL15, author = {Kwok Tai Chui and Kim Fung Tsang and Hao Ran Chi and Chung Kit Wu and Bingo Wing{-}Kuen Ling}, title = {Electrocardiogram based classifier for driver drowsiness detection}, booktitle = {13th {IEEE} International Conference on Industrial Informatics, {INDIN} 2015, Cambridge, United Kingdom, July 22-24, 2015}, pages = {600--603}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INDIN.2015.7281802}, doi = {10.1109/INDIN.2015.7281802}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/indin/ChuiTCWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/DaiKLYTCWCH15, author = {Yizhong Dai and Wei{-}Chao Kuang and Bingo Wing{-}Kuen Ling and Zhijing Yang and Kim Fung Tsang and Hao Ran Chi and Chung Kit Wu and Henry Shu{-}Hung Chung and Gerhard P. Hancke}, title = {Detecting Parkinson's diseases via the characteristics of the intrinsic mode functions of filtered electromyograms}, booktitle = {13th {IEEE} International Conference on Industrial Informatics, {INDIN} 2015, Cambridge, United Kingdom, July 22-24, 2015}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INDIN.2015.7281952}, doi = {10.1109/INDIN.2015.7281952}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/indin/DaiKLYTCWCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LaiYYL15, author = {Chun{-}Hao Lai and Shun{-}Chih Yu and Chia{-}Lin Yang and Hsiang{-}Pang Li}, title = {Fine-grained write scheduling for {PCM} performance improvement under write power budget}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {19--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273484}, doi = {10.1109/ISLPED.2015.7273484}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/LaiYYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuMMDC15, author = {Hao Wu and Mohyee Mikhemar and David Murphy and Hooman Darabi and Mau{-}Chung Frank Chang}, title = {2.1 {A} highly linear inductorless wideband receiver with phase- and thermal-noise cancellation}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062850}, doi = {10.1109/ISSCC.2015.7062850}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuMMDC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChenSQNSCH15, author = {Hao Chen and Chiyao Shen and Jing Qin and Dong Ni and Lin Shi and Jack Chun Yiu Cheng and Pheng{-}Ann Heng}, editor = {Nassir Navab and Joachim Hornegger and William M. Wells III and Alejandro F. Frangi}, title = {Automatic Localization and Identification of Vertebrae in Spine {CT} via a Joint Learning Model with Deep Neural Networks}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2015 - 18th International Conference Munich, Germany, October 5-9, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9349}, pages = {515--522}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24553-9\_63}, doi = {10.1007/978-3-319-24553-9\_63}, timestamp = {Sun, 04 Aug 2024 19:38:45 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChenSQNSCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/HongCLC15, author = {Tzung{-}Pei Hong and Jui{-}Chi Chen and Wen{-}Yang Lin and Chun{-}Hao Chen}, editor = {Leonard Barolli and Makoto Takizawa and Hui{-}Huang Hsu and Tomoya Enokido and Fatos Xhafa}, title = {Analysis of Parallel Sub-swarm {PSO} with the Same Total Particle Numbers}, booktitle = {18th International Conference on Network-Based Information Systems, NBis 2015, Taipei, Taiwan, September 2-4, 2015}, pages = {616--619}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NBiS.2015.92}, doi = {10.1109/NBIS.2015.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/HongCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChungCL15, author = {Yung{-}Chiang Chung and Yi{-}Sheng Chen and Shih{-}Hao Lin}, title = {Effect of magnetic attraction on gene transfection of bacteria}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {126--127}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEMS.2015.7147390}, doi = {10.1109/NEMS.2015.7147390}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChungCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChungTL15, author = {Yung{-}Chiang Chung and Cheng{-}Wei Tsai and Shih{-}Hao Lin}, title = {The relationship between stretching and force of dsDNA molecules at various temperatures by using magnetic tweezers}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {124--125}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEMS.2015.7147389}, doi = {10.1109/NEMS.2015.7147389}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChungTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinCWHSC15, author = {Chun{-}Ting Lin and Ching{-}Hao Chen and Chien{-}Ting Wu and Chien{-}Nan Hsiao and Ming{-}Hua Shiao and Mao{-}Nan Chang}, title = {A gold nano-dot modified silicon tip apex for scanning Kelvin probe microscopy}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {613--616}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEMS.2015.7147504}, doi = {10.1109/NEMS.2015.7147504}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LinCWHSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiWLL15, author = {Che{-}Hao Li and Meng{-}Fan Wu and Chi{-}Hsiang Lin and Chun{-}Ting Lin}, title = {W-band {OFDM} RoF system with simple envelope detector down-conversion}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7122111}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiuLLWHHWC15, author = {Huan{-}Ching Liu and Chi{-}Hsiang Lin and Chun{-}Ting Lin and Chia{-}Chien Wei and Hou{-}Tzu Huang and Hsun{-}Hao Hsu and Meng{-}Fan Wu and Sien Chi}, title = {Simple receiving scheme in 100-GHz {DD} {OFDM} RoF systems employing low-sampling-rate ADCs and digital preprocess}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7121680}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiuLLWHHWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChangHBPWC15, author = {Jim Chang and Hsiang{-}Ling Hsu and Joanne Boisson and Hao{-}Chun Peng and Yu{-}Hsuan Wu and Jason S. Chang}, title = {Learning Sentential Patterns of Various Rhetoric Moves for Assisted Academic Writing}, booktitle = {Proceedings of the 29th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 29, Shanghai, China, October 30 - November 1, 2015}, publisher = {{ACL}}, year = {2015}, url = {https://aclanthology.org/Y15-2005/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ChangHBPWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LiaoM15, author = {Chun{-}Hao Liao and Hiroyuki Morikawa}, title = {Latticing the interference: Non-linear pre-coding for non-orthogonal multiple access in downlink multi-user {MIMO} system}, booktitle = {26th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2015, Hong Kong, China, August 30 - September 2, 2015}, pages = {710--714}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/PIMRC.2015.7343390}, doi = {10.1109/PIMRC.2015.7343390}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/LiaoM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YanL15, author = {Haode Yan and Chunlei Liu}, title = {Linearized Reed-Solomon codes and linearized Wenger graphs}, journal = {CoRR}, volume = {abs/1502.01885}, year = {2015}, url = {http://arxiv.org/abs/1502.01885}, eprinttype = {arXiv}, eprint = {1502.01885}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YanL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YanLL15, author = {Haode Yan and Yan Liu and Chunlei Liu}, title = {Higher weight distribution of linearized Reed-Solomon codes}, journal = {CoRR}, volume = {abs/1505.07278}, year = {2015}, url = {http://arxiv.org/abs/1505.07278}, eprinttype = {arXiv}, eprint = {1505.07278}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YanLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhuangWLC15, author = {Hao Zhuang and Shih{-}Hung Weng and Jeng{-}Hau Lin and Chung{-}Kuan Cheng}, title = {{MATEX:} {A} Distributed Framework for Transient Simulation of Power Distribution Networks}, journal = {CoRR}, volume = {abs/1511.04519}, year = {2015}, url = {http://arxiv.org/abs/1511.04519}, eprinttype = {arXiv}, eprint = {1511.04519}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhuangWLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhuangYWKLZCLC15, author = {Hao Zhuang and Wenjian Yu and Shih{-}Hung Weng and Ilgweon Kang and Jeng{-}Hau Lin and Xiang Zhang and Ryan Coutts and Jingwei Lu and Chung{-}Kuan Cheng}, title = {Simulation Algorithms with Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks}, journal = {CoRR}, volume = {abs/1505.06699}, year = {2015}, url = {http://arxiv.org/abs/1505.06699}, eprinttype = {arXiv}, eprint = {1505.06699}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhuangYWKLZCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ChinCWHKL14, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Hsin{-}Hung Wu and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {cytoHubba: identifying hub objects and sub-networks from complex interactome}, journal = {{BMC} Syst. Biol.}, volume = {8}, number = {{S-4}}, pages = {S11}, year = {2014}, url = {https://doi.org/10.1186/1752-0509-8-S4-S11}, doi = {10.1186/1752-0509-8-S4-S11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ChinCWHKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/LinHCH14, author = {Chun{-}Fu Lin and Yu Hsin Hung and Ray{-}I Chang and Shih{-}Hao Hung}, title = {Developing a problem-solving learning system to assess the effects of different materials on learning performance and attitudes}, journal = {Comput. Educ.}, volume = {77}, pages = {50--66}, year = {2014}, url = {https://doi.org/10.1016/j.compedu.2014.04.007}, doi = {10.1016/J.COMPEDU.2014.04.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/LinHCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/HungCLH14, author = {Wei{-}Hsi Hung and Li{-}Min Chang and Chieh{-}Pin Lin and Chun{-}Hao Hsiao}, title = {E-readiness of website acceptance and implementation in SMEs}, journal = {Comput. Hum. Behav.}, volume = {40}, pages = {44--55}, year = {2014}, url = {https://doi.org/10.1016/j.chb.2014.07.046}, doi = {10.1016/J.CHB.2014.07.046}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/HungCLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/WangWLHCWCCLLLT14, author = {Chia{-}Yu Wang and Hsin{-}Kai Wu and Silvia Wen{-}Yu Lee and Fu{-}Kwun Hwang and Hsin{-}Yi Chang and Ying{-}Tien Wu and Guo{-}Li Chiou and Sufen Chen and Jyh{-}Chong Liang and Jing{-}Wen Lin and Hao{-}Chang Lo and Chin{-}Chung Tsai}, title = {A Review of Research on Technology-Assisted School Science Laboratories}, journal = {J. Educ. Technol. Soc.}, volume = {17}, number = {2}, pages = {307--320}, year = {2014}, url = {http://www.ifets.info/download\_pdf.php?j\_id=63\&a\_id=1481}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/WangWLHCWCCLLLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DingCHLKHC14, author = {Jiun{-}Hung Ding and Roger Chien and Shih{-}Hao Hung and Yi{-}Lan Lin and Che{-}Yang Kuo and Ching{-}Hsien Hsu and Yeh{-}Ching Chung}, title = {A framework of cloud-based virtual phones for secure intelligent information management}, journal = {Int. J. Inf. Manag.}, volume = {34}, number = {3}, pages = {329--335}, year = {2014}, url = {https://doi.org/10.1016/j.ijinfomgt.2013.11.006}, doi = {10.1016/J.IJINFOMGT.2013.11.006}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/DingCHLKHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/DongLJCWSL14, author = {Feifei Dong and Dichen Liu and Wu Jun and Bingcheng Cen and Haolei Wang and Chunli Song and K. E. Lina}, title = {Design of {SVC} Controller Based on Improved Biogeography-Based Optimization Algorithm}, journal = {J. Appl. Math.}, volume = {2014}, pages = {939326:1--939326:8}, year = {2014}, url = {https://doi.org/10.1155/2014/939326}, doi = {10.1155/2014/939326}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/DongLJCWSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/DongLJTSWLCSZ14, author = {Feifei Dong and Dichen Liu and Wu Jun and Fei Tang and Chunli Song and Haolei Wang and K. E. Lina and Bingcheng Cen and Wentao Sun and Zhenshan Zhu}, title = {Constructing Core Backbone Grid Based on the Index System of Power Grid Survivability and {BBO} Algorithm}, journal = {J. Appl. Math.}, volume = {2014}, pages = {752537:1--752537:8}, year = {2014}, url = {https://doi.org/10.1155/2014/752537}, doi = {10.1155/2014/752537}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/DongLJTSWLCSZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/ChinZJWTYWCYCHKLLLSWYLLTLLL14, author = {Wei{-}Sheng Chin and Yong Zhuang and Yu{-}Chin Juan and Felix Wu and Hsiao{-}Yu Tung and Tong Yu and Jui{-}Pin Wang and Cheng{-}Xia Chang and Chun{-}Pai Yang and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Yu{-}Chuan Su and Cheng{-}Kuang Wei and Tu{-}Chun Yin and Chun{-}Liang Li and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Effective string processing and matching for author disambiguation}, journal = {J. Mach. Learn. Res.}, volume = {15}, number = {1}, pages = {3037--3064}, year = {2014}, url = {https://dl.acm.org/doi/10.5555/2627435.2697062}, doi = {10.5555/2627435.2697062}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChinZJWTYWCYCHKLLLSWYLLTLLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/ZhangXWWG14, author = {Hao Zhang and Lingwei Xu and Chunlei Wu and Jingjing Wang and T. Aaron Gulliver}, title = {On the Capacity of Spatially Correlated {MIMO} Channels in a 60 GHz Indoor Environment}, journal = {J. Networks}, volume = {9}, number = {6}, pages = {1374--1379}, year = {2014}, url = {https://doi.org/10.4304/jnw.9.6.1374-1379}, doi = {10.4304/JNW.9.6.1374-1379}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/ZhangXWWG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HsuTSLKCCHLHJLCWLLLH14, author = {Sheng{-}Da Hsu and Yu{-}Ting Tseng and Sirjana Shrestha and Yu{-}Ling Lin and Anas Khaleel and Chih{-}Hung Chou and Chao{-}Fang Chu and Hsi{-}Yuan Huang and Ching{-}Min Lin and Shu{-}Yi Ho and Ting{-}Yan Jian and Feng{-}Mao Lin and Tzu{-}Hao Chang and Shun{-}Long Weng and Kuang{-}Wen Liao and I{-}En Liao and Chun{-}Chi Liu and Hsien{-}Da Huang}, title = {miRTarBase update 2014: an information resource for experimentally validated miRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Database-Issue}, pages = {78--85}, year = {2014}, url = {https://doi.org/10.1093/nar/gkt1266}, doi = {10.1093/NAR/GKT1266}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HsuTSLKCCHLHJLCWLLLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LuoLGZZ14, author = {Hao Luo and Yan Lin and Feng Gao and Chun{-}Ting Zhang and Ren Zhang}, title = {{DEG} 10, an update of the database of essential genes that includes both protein-coding genes and noncoding genomic elements}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Database-Issue}, pages = {574--580}, year = {2014}, url = {https://doi.org/10.1093/nar/gkt1131}, doi = {10.1093/NAR/GKT1131}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LuoLGZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oms/HuHD14, author = {Yi{-}Qing Hu and Chun{-}Lin Hao and Yu{-}Hong Dai}, title = {Projected gradient algorithms for optimization over order simplices}, journal = {Optim. Methods Softw.}, volume = {29}, number = {5}, pages = {1090--1117}, year = {2014}, url = {https://doi.org/10.1080/10556788.2014.911872}, doi = {10.1080/10556788.2014.911872}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oms/HuHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LiuJCHLHLHCW14, author = {Hao{-}Li Liu and Chen{-}Kai Jan and Po{-}Chun Chu and Jhong{-}Cing Hong and Pei{-}Yun Lee and Jyh{-}Duen Hsu and Chung{-}Chih Lin and Chiung{-}Ying Huang and Pin{-}Yuan Chen and Kuo{-}Chen Wei}, title = {Design and Experimental Evaluation of a 256-Channel Dual-Frequency Ultrasound Phased-Array System for Transcranial Blood-Brain Barrier Opening and Brain Drug Delivery}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {61}, number = {4}, pages = {1350--1360}, year = {2014}, url = {https://doi.org/10.1109/TBME.2014.2305723}, doi = {10.1109/TBME.2014.2305723}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LiuJCHLHLHCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangWCLCGL14, author = {Robert Chen{-}Hao Chang and Ming{-}Fan Wei and Hung{-}Lieh Chen and Kuang{-}Hao Lin and Hou{-}Ming Chen and Yu{-}Ya Gao and Shih{-}Chun Lin}, title = {Implementation of a High-Throughput Modified Merge Sort in {MIMO} Detection Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2730--2737}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2312492}, doi = {10.1109/TCSI.2014.2312492}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ChangWCLCGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenLH14, author = {Chun{-}Chi Chen and Shih{-}Hao Lin and Chorng{-}Sii Hwang}, title = {An Area-Efficient {CMOS} Time-to-Digital Converter Based on a Pulse-Shrinking Scheme}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {3}, pages = {163--167}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2013.2296192}, doi = {10.1109/TCSII.2013.2296192}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LienCCYTKHCJH14, author = {Nan{-}Chun Lien and Li{-}Wei Chu and Chien{-}Hen Chen and Hao{-}I Yang and Ming{-}Hsien Tu and Paul{-}Sen Kan and Yong{-}Jyun Hu and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang}, title = {A 40 nm 512 kb Cross-Point 8 {T} Pipeline {SRAM} With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {12}, pages = {3416--3425}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2336531}, doi = {10.1109/TCSI.2014.2336531}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LienCCYTKHCJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DongLCGW14, author = {Wei Dong and Yunhao Liu and Chun Chen and Lin Gu and Xiaofan Wu}, title = {Elon: Enabling efficient and long-term reprogramming for wireless sensor networks}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {4}, pages = {77:1--77:27}, year = {2014}, url = {https://doi.org/10.1145/2560017}, doi = {10.1145/2560017}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/DongLCGW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/WangYHLYS14, author = {Haoran Wang and Chunfeng Yuan and Weiming Hu and Haibin Ling and Wankou Yang and Changyin Sun}, title = {Action Recognition Using Nonnegative Action Component Representation and Sparse Basis Selection}, journal = {{IEEE} Trans. Image Process.}, volume = {23}, number = {2}, pages = {570--581}, year = {2014}, url = {https://doi.org/10.1109/TIP.2013.2292550}, doi = {10.1109/TIP.2013.2292550}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/WangYHLYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HsuCWPWLWW14, author = {Yu{-}Liang Hsu and Pau{-}Choo Chung and Wei{-}Hsin Wang and Ming{-}Chyi Pai and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Jeen{-}Shing Wang}, title = {Gait and Balance Analysis for Patients With Alzheimer's Disease Using an Inertial-Sensor-Based Wearable Instrument}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {6}, pages = {1822--1830}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2014.2325413}, doi = {10.1109/JBHI.2014.2325413}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HsuCWPWLWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChenLHC14, author = {Jyun{-}Yuan Chen and Chao{-}Hung Lin and Po{-}Chi Hsu and Chung{-}Hao Chen}, title = {Point Cloud Encoding for 3D Building Model Retrieval}, journal = {{IEEE} Trans. Multim.}, volume = {16}, number = {2}, pages = {337--345}, year = {2014}, url = {https://doi.org/10.1109/TMM.2013.2286580}, doi = {10.1109/TMM.2013.2286580}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/ChenLHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DongLZLCB14, author = {Wei Dong and Yunhao Liu and Zhiwei Zhao and Xue Liu and Chun Chen and Jiajun Bu}, title = {Link Quality Aware Code Dissemination in Wireless Sensor Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {7}, pages = {1776--1786}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.176}, doi = {10.1109/TPDS.2013.176}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/DongLZLCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/HwangHC14, author = {Ren{-}Hung Hwang and Yu{-}Ling Hsueh and Hao{-}Wei Chung}, title = {A Novel Time-Obfuscated Algorithm for Trajectory Privacy Protection}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {7}, number = {2}, pages = {126--139}, year = {2014}, url = {https://doi.org/10.1109/TSC.2013.55}, doi = {10.1109/TSC.2013.55}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/HwangHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acri/LiSWTJ14, author = {Hui{-}xuan Li and Chunfu Shao and Hao{-}ling Wu and Junfang Tian and Xun Ji}, editor = {Jaroslaw Was and Georgios Ch. Sirakoulis and Stefania Bandini}, title = {A New Cellular Automaton Model with Spatiotemporal Process of Lane Changing Execution}, booktitle = {Cellular Automata - 11th International Conference on Cellular Automata for Research and Industry, {ACRI} 2014, Krakow, Poland, September 22-25, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8751}, pages = {605--609}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11520-7\_64}, doi = {10.1007/978-3-319-11520-7\_64}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/acri/LiSWTJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LinLWK14, author = {Joe Yuchieh Lin and Tsung{-}Jung Liu and Eddy Chi{-}Hao Wu and C.{-}C. Jay Kuo}, title = {A fusion-based video quality assessment (fvqa) index}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041705}, doi = {10.1109/APSIPA.2014.7041705}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LinLWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/LinTYHW14, author = {Yuxi Lin and Chunrong Tang and Hongxi Yin and Yang Hao and Chenguang Wu}, editor = {Dong Xie and Ron Yang and Jinguang Sun and Lipo Wang and Xiaowei Hui and Ying Chen}, title = {Design and optimization of all-optical {AND} and {NOR} logic gates in a two-dimensional photonic crystal for binary-phase-shift-keyed signals}, booktitle = {7th International Conference on Biomedical Engineering and Informatics, {BMEI} 2014, Dalian, China, October 14-16, 2014}, pages = {965--969}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BMEI.2014.7002912}, doi = {10.1109/BMEI.2014.7002912}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/LinTYHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cchi/TsaiLCCYT14, author = {Yi{-}Hsuan Tsai and Yi{-}Tsen Lin and Szu Yang Cho and Chun{-}Meng Cheng and Neng{-}Hao Yu and Hsien{-}Hui Tang}, editor = {Ellen Yi{-}Luen Do and Wei Li}, title = {HearMe: assisting the visually impaired to record vibrant moments of everyday life}, booktitle = {Proceedings of the Second International Symposium of Chinese CHI, Toronto, ON, Canada, April 26-27, 2014}, pages = {66--69}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2592235.2592245}, doi = {10.1145/2592235.2592245}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cchi/TsaiLCCYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinHL14, author = {Mark Po{-}Hung Lin and Vincent Wei{-}Hao Hsiao and Chun{-}Yu Lin}, title = {Parasitic-aware Sizing and Detailed Routing for Binary-weighted Capacitors in Charge-scaling {DAC}}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {165:1--165:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593179}, doi = {10.1145/2593069.2593179}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuangWLC14, author = {Hao Zhuang and Shih{-}Hung Weng and Jeng{-}Hau Lin and Chung{-}Kuan Cheng}, title = {{MATEX:} {A} Distributed Framework for Transient Simulation of Power Distribution Networks}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {81:1--81:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593160}, doi = {10.1145/2593069.2593160}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuangWLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/LinHHCCWLW14, author = {Yun{-}Te Lin and Yung{-}Hsiang Huang and Yi{-}Hao Hsiao and Yu{-}Jung Cheng and Jih{-}Sheng Chang and Sheng{-}Wen Wang and Fang{-}Pang Lin and Chung{-}Ming Wang}, title = {An implementation of the efficient huge amount of pseudo-random unique numbers generator and the acceleration analysis of parallelization}, booktitle = {International Conference on Data Science and Advanced Analytics, {DSAA} 2014, Shanghai, China, October 30 - November 1, 2014}, pages = {600--606}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DSAA.2014.7058133}, doi = {10.1109/DSAA.2014.7058133}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/LinHHCCWLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecc/ChenLHWL14, author = {Chun{-}Hao Chen and Guo{-}Cheng Lan and Tzung{-}Pei Hong and Shyue{-}Liang Wang and Yui{-}Kai Lin}, editor = {Jeng{-}Shyang Pan and V{\'{a}}clav Sn{\'{a}}sel and Emilio Corchado and Ajith Abraham and Shyue{-}Liang Wang}, title = {A Projection-Based Approach for Mining Highly Coherent Association Rules}, booktitle = {Intelligent Data analysis and its Applications, Volume {I} - Proceeding of the First Euro-China Conference on Intelligent Data Analysis and Applications, {ECC} 2014, June 13-15, 2014, Shenzhen, China}, series = {Advances in Intelligent Systems and Computing}, volume = {297}, pages = {69--78}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07776-5\_8}, doi = {10.1007/978-3-319-07776-5\_8}, timestamp = {Wed, 07 Dec 2022 23:12:48 +0100}, biburl = {https://dblp.org/rec/conf/ecc/ChenLHWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWLCCCWCHYCLWCT14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chung{-}Hung Tsai}, title = {A 0.2nJ/pixel 4K 60fps Main-10 {HEVC} decoder with multi-format capabilities for {UHD-TV} applications}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {195--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942055}, doi = {10.1109/ESSCIRC.2014.6942055}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWLCCCWCHYCLWCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangHLY14, author = {Liang{-}Hao Huang and Hui{-}Ju Hung and Chih{-}Chung Lin and De{-}Nian Yang}, title = {Scalable and bandwidth-efficient multicast for software-defined networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2014, Austin, TX, USA, December 8-12, 2014}, pages = {1890--1896}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOM.2014.7037084}, doi = {10.1109/GLOCOM.2014.7037084}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HuangHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3d/YuCLC14, author = {Chun{-}Chang Yu and Chia{-}Hao Cheng and Pei{-}Chun Lin and Charlie Chung{-}Ping Chen}, title = {Cost-efficient hardware implementation of stereo image depth optimization system}, booktitle = {2014 International Conference on 3D Imaging, {IC3D} 2014, Li{\`{e}}ge, Belgium, December 9-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IC3D.2014.7032589}, doi = {10.1109/IC3D.2014.7032589}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ic3d/YuCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HaoQ14a, author = {Yuanhong Hao and Chun Qi}, title = {Robust virtual frontal face synthesis from a given pose using regularized linear regression}, booktitle = {2014 {IEEE} International Conference on Image Processing, {ICIP} 2014, Paris, France, October 27-30, 2014}, pages = {4702--4706}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICIP.2014.7025953}, doi = {10.1109/ICIP.2014.7025953}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/HaoQ14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangHPWWLWC14, author = {Wei{-}Hsin Wang and Yu{-}Liang Hsu and Ming{-}Chyi Pai and Cheng{-}Hsiung Wang and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Pau{-}Choo Chung}, title = {Alzheimer's disease classification based on gait information}, booktitle = {2014 International Joint Conference on Neural Networks, {IJCNN} 2014, Beijing, China, July 6-11, 2014}, pages = {3251--3257}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IJCNN.2014.6889762}, doi = {10.1109/IJCNN.2014.6889762}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WangHPWWLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/YeYZZ14, author = {Qiaolin Ye and Ning Ye and Haofeng Zhang and Chunxia Zhao}, title = {Fast orthogonal linear discriminant analysis with applications to image classification}, booktitle = {2014 International Joint Conference on Neural Networks, {IJCNN} 2014, Beijing, China, July 6-11, 2014}, pages = {299--306}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IJCNN.2014.6889388}, doi = {10.1109/IJCNN.2014.6889388}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/YeYZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ZhangWLF14, author = {Jing Zhang and Hao Wang and Heshan Lin and Wu{-}chun Feng}, title = {cuBLASTP: Fine-Grained Parallelization of Protein Sequence Search on a {GPU}}, booktitle = {2014 {IEEE} 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}, pages = {251--260}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPS.2014.36}, doi = {10.1109/IPDPS.2014.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ZhangWLF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/FuCL14, author = {Chun{-}Hao Fu and Cheng{-}Shang Chang and Duan{-}Shin Lee}, editor = {James Joshi and Elisa Bertino and Bhavani Thuraisingham and Ling Liu}, title = {A proximity measure for link prediction in social user-item networks}, booktitle = {Proceedings of the 15th {IEEE} International Conference on Information Reuse and Integration, {IRI} 2014, Redwood City, CA, USA, August 13-15, 2014}, pages = {710--717}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IRI.2014.7051959}, doi = {10.1109/IRI.2014.7051959}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iri/FuCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCCW14, author = {Yu{-}Min Lin and Yu{-}Hao Chen and Ming{-}Han Chung and An{-}Yeu Wu}, title = {High-throughput {QC-LDPC} decoder with cost-effective early termination scheme for non-volatile memory systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2732--2735}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865738}, doi = {10.1109/ISCAS.2014.6865738}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaoCCCLLHKCL14, author = {Shih{-}Min Chao and Po{-}Juei Chen and Jing{-}Yu Chen and Po{-}Hao Chen and Ang{-}Feng Lin and James Chien{-}Mo Li and Pei{-}Ying Hsueh and Chun{-}Yi Kuo and Ying{-}Yen Chen and Jih{-}Nung Li}, title = {Divide and conquer diagnosis for multiple defects}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035362}, doi = {10.1109/TEST.2014.7035362}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChaoCCCLLHKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungLCJCTCHKHLK14, author = {Chao{-}Kuei Chung and Chien{-}Yu Lu and Zhi{-}Hao Chang and Shyh{-}Jye Jou and Ching{-}Te Chuang and Ming{-}Hsien Tu and Yu{-}Hsian Chen and Yong{-}Jyun Hu and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 40nm 256kb 6T {SRAM} with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {455--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948972}, doi = {10.1109/SOCC.2014.6948972}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungLCJCTCHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinHLC14, author = {Chih{-}Hao Lin and Chih{-}Cheng Hsieh and Che{-}Chun Lin and Ren{-}Jr Chen}, title = {A dual-mode {CMOS} image sensor for optical wireless communication}, booktitle = {Technical Papers of 2014 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2014, Hsinchu, Taiwan, April 28-30, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSI-DAT.2014.6834892}, doi = {10.1109/VLSI-DAT.2014.6834892}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinHLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JuLWCWHLLCCCWCH14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Huaide Wang and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Lin Hsieh and Brian Liu and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Ryan Yeh and Ted Chuang and Hsiu{-}Yi Lin and Chung{-}Hung Tsai}, title = {A 4K{\texttimes}2K@60fps multi-standard {TV} SoC processor with integrated {HDMI/MHL} receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858389}, doi = {10.1109/VLSIC.2014.6858389}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JuLWCWHLLCCCWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HuangHLY14, author = {Liang{-}Hao Huang and Hui{-}Ju Hung and Chih{-}Chung Lin and De{-}Nian Yang}, title = {Scalable Steiner Tree for Multicast Communications in Software-Defined Networking}, journal = {CoRR}, volume = {abs/1404.3454}, year = {2014}, url = {http://arxiv.org/abs/1404.3454}, eprinttype = {arXiv}, eprint = {1404.3454}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HuangHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/LiuHC13, author = {Xin Liu and Chunlin Hao and Minghou Cheng}, title = {A Sequential Subspace Projection Method for Linear Symmetric Eigenvalue Problem}, journal = {Asia Pac. J. Oper. Res.}, volume = {30}, number = {3}, year = {2013}, url = {https://doi.org/10.1142/S0217595913400034}, doi = {10.1142/S0217595913400034}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/LiuHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/YangLRSL13, author = {Chao{-}Tung Yang and Jung{-}Chun Liu and Rajiv Ranjan and Wen{-}Chung Shih and Chih{-}Hao Lin}, title = {On construction of heuristic QoS bandwidth management in clouds}, journal = {Concurr. Comput. Pract. Exp.}, volume = {25}, number = {18}, pages = {2540--2560}, year = {2013}, url = {https://doi.org/10.1002/cpe.3090}, doi = {10.1002/CPE.3090}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/YangLRSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenLHL13, author = {Chun{-}Hao Chen and Guo{-}Cheng Lan and Tzung{-}Pei Hong and Yui{-}Kai Lin}, title = {Mining high coherent association rules with consideration of support measure}, journal = {Expert Syst. Appl.}, volume = {40}, number = {16}, pages = {6531--6537}, year = {2013}, url = {https://doi.org/10.1016/j.eswa.2013.06.002}, doi = {10.1016/J.ESWA.2013.06.002}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenLHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LinWLH13, author = {Yen{-}Wen Lin and Hao{-}Chun Weng and Tsung{-}Han Lee and Shan{-}Yin Hou}, title = {An Adaptive Clustering Scheme for Improving the Scalability in Intelligent Transportation Systems}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/964698}, doi = {10.1155/2013/964698}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LinWLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WuLWL13, author = {Qi Wu and Rob Law and Edmond H. C. Wu and Jinxing Lin}, title = {A hybrid-forecasting model reducing Gaussian noise based on the Gaussian support vector regression machine and chaotic particle swarm optimization}, journal = {Inf. Sci.}, volume = {238}, pages = {96--110}, year = {2013}, url = {https://doi.org/10.1016/j.ins.2013.02.017}, doi = {10.1016/J.INS.2013.02.017}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WuLWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/LinC13, author = {Chih{-}Hao Lin and Chia{-}Chun Chuang}, title = {A Rough Penalty Genetic Algorithm for Multicast Routing in Mobile Ad Hoc Networks}, journal = {J. Appl. Math.}, volume = {2013}, pages = {986985:1--986985:11}, year = {2013}, url = {https://doi.org/10.1155/2013/986985}, doi = {10.1155/2013/986985}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/LinC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenYLWCWWPHCCLCL13, author = {Lichin Chen and Hui{-}Chu Yu and Hao{-}Chun Li and Yi{-}Van Wang and Huang{-}Jen Chen and I{-}Ching Wang and Chiou{-}Shiang Wang and Hui{-}Yu Peng and Yu{-}Ling Hsu and Chi{-}Huang Chen and Lee{-}Ming Chuang and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {An Architecture Model for Multiple Disease Management Information Systems}, journal = {J. Medical Syst.}, volume = {37}, number = {2}, pages = {9931}, year = {2013}, url = {https://doi.org/10.1007/s10916-013-9931-x}, doi = {10.1007/S10916-013-9931-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenYLWCWWPHCCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/BhallaCCUYCYP13, author = {Nikhil Bhalla and Danny Wen{-}Yaw Chung and Yaw{-}Jen Chang and Kimberly Jane S. Uy and Yi Ying Ye and Ting{-}Yu Chin and Hao Chun Yang and Dorota G. Pijanowska}, title = {Microfluidic Platform for Enzyme-Linked and Magnetic Particle-Based Immunoassay}, journal = {Micromachines}, volume = {4}, number = {2}, pages = {257--271}, year = {2013}, url = {https://doi.org/10.3390/mi4020257}, doi = {10.3390/MI4020257}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/BhallaCCUYCYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/WangCLSYJ13, author = {Chua{-}Chin Wang and Chih{-}Lin Chen and Jie{-}Jyun Li and Gang{-}Neng Sung and Tai{-}Hao Yeh and Chun{-}Ying Juan}, title = {A low-power transceiver design for FlexRay-based communication systems}, journal = {Microelectron. J.}, volume = {44}, number = {4}, pages = {359--366}, year = {2013}, url = {https://doi.org/10.1016/j.mejo.2012.10.009}, doi = {10.1016/J.MEJO.2012.10.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/WangCLSYJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChiuWLLKCWGC13, author = {Hsien{-}Chin Chiu and Hsiang{-}Chun Wang and Chao{-}Wei Lin and Yi{-}Cheng Luo and Hsuan{-}Ling Kao and Feng{-}Tso Chien and Ping{-}Kuo Weng and Yan{-}Tang Gau and Hao{-}Wei Chuang}, title = {Low gate interface traps AlGaN/GaN HEMTs using a lattice matched ZrZnO transparent gate design}, journal = {Microelectron. Reliab.}, volume = {53}, number = {8}, pages = {1130--1136}, year = {2013}, url = {https://doi.org/10.1016/j.microrel.2013.04.010}, doi = {10.1016/J.MICROREL.2013.04.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChiuWLLKCWGC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/WangYLHS13, author = {Haoran Wang and Chunfeng Yuan and Guan Luo and Weiming Hu and Changyin Sun}, title = {Action recognition using linear dynamic systems}, journal = {Pattern Recognit.}, volume = {46}, number = {6}, pages = {1710--1718}, year = {2013}, url = {https://doi.org/10.1016/j.patcog.2012.12.001}, doi = {10.1016/J.PATCOG.2012.12.001}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/WangYLHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenC13a, author = {Chun{-}Chi Chen and Hao{-}Wen Chen}, title = {A Linearization Time-Domain {CMOS} Smart Temperature Sensor Using a Curvature Compensation Oscillator}, journal = {Sensors}, volume = {13}, number = {9}, pages = {11439--11452}, year = {2013}, url = {https://doi.org/10.3390/s130911439}, doi = {10.3390/S130911439}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenL13, author = {Chun{-}Chi Chen and Shih{-}Hao Lin}, title = {A Time-Domain {CMOS} Oscillator-Based Thermostat with Digital Set-Point Programming}, journal = {Sensors}, volume = {13}, number = {2}, pages = {1679--1691}, year = {2013}, url = {https://doi.org/10.3390/s130201679}, doi = {10.3390/S130201679}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/LinLL13, author = {Yu{-}Ping Lin and Chun{-}Liang Lin and Yun{-}Hao Li}, title = {Development of 3-D Modified Proportional Navigation Guidance Law against High-Speed Targets}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {49}, number = {1}, pages = {677--687}, year = {2013}, url = {https://doi.org/10.1109/TAES.2013.6404133}, doi = {10.1109/TAES.2013.6404133}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/LinLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChouCWL13, author = {Hao{-}Gong Chou and Chun{-}Fu Chuang and Wen{-}June Wang and Jia{-}Chin Lin}, title = {A Fuzzy-Model-Based Chaotic Synchronization and Its Implementation on a Secure Communication System}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {8}, number = {12}, pages = {2177--2185}, year = {2013}, url = {https://doi.org/10.1109/TIFS.2013.2286268}, doi = {10.1109/TIFS.2013.2286268}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ChouCWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/WuLCCC13, author = {Shan{-}Hung Wu and Keng{-}Pei Lin and Hao{-}Heng Chien and Chung{-}Min Chen and Ming{-}Syan Chen}, title = {On Generalizable Low False-Positive Learning Using Asymmetric Support Vector Machines}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {25}, number = {5}, pages = {1083--1096}, year = {2013}, url = {https://doi.org/10.1109/TKDE.2012.46}, doi = {10.1109/TKDE.2012.46}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/WuLCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LinCCZW13, author = {Yi{-}Hsuan Lin and Yu{-}Hao Chen and Chun{-}Yuan Chu and Cheng{-}Zhou Zhan and An{-}Yeu Wu}, title = {Dual-Mode Low-Complexity Codebook Searching Algorithm and {VLSI} Architecture for LTE/LTE-Advanced Systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {61}, number = {14}, pages = {3545--3562}, year = {2013}, url = {https://doi.org/10.1109/TSP.2013.2257764}, doi = {10.1109/TSP.2013.2257764}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/LinCCZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LinSW13, author = {Yen{-}Wen Lin and Jie{-}Min Shen and Hao{-}Chun Weng}, title = {Cloud-Supported Seamless Internet Access in Intelligent Transportation Systems}, journal = {Wirel. Pers. Commun.}, volume = {72}, number = {4}, pages = {2081--2106}, year = {2013}, url = {https://doi.org/10.1007/s11277-013-1137-5}, doi = {10.1007/S11277-013-1137-5}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LinSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TsengLCW13, author = {Shu{-}Ming Tseng and Hung{-}Pin Lin and Chih{-}Hao Chen and Yung{-}Chung Wang}, title = {Throughput Analysis of {DS} CDMA/Unslotted {ALOHA} Wireless Networks with Fixed Packet Length in Rayleigh Fading Finite-State Markov Channel Model}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {4}, pages = {3091--3104}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0992-9}, doi = {10.1007/S11277-012-0992-9}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TsengLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicics/HuangCLL13, author = {Chung{-}Hao Huang and Chun{-}Kai Chen and Lun{-}Hui Lee and Ming{-}Shing Lin}, title = {T-slot High-Impedance Surface structures for {EMC} of wireless products}, booktitle = {9th International Conference on Information, Communications {\&} Signal Processing, {ICICS} 2013, Tainan, Taiwan, December 10-13, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICICS.2013.6782808}, doi = {10.1109/ICICS.2013.6782808}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/IEEEicics/HuangCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LinLYHHL13, author = {Jhih{-}Yan Lin and Chu{-}Chuan Lee and Chao{-}Chun Yen and Shih{-}Chun Hsu and Cheng{-}Hung Hsieh and Chun{-}Hao Lin}, title = {A dynamic network access control mechanism for virtual desktop environment}, booktitle = {15th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2013, Hiroshima, Japan, September 25-27, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6665247/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/LinLYHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LinTCYWNW13, author = {Yung{-}Chi Lin and Sheng{-}Hao Tseng and Pau{-}Choo Chung and Ching{-}Fang Yang and Ming{-}Han Wu and Shoko Nioka and Yong{-}Kie Wong}, title = {Non-invasive tumor detection using {NIR} light}, booktitle = {2013 {IEEE} Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31 - Nov. 2, 2013}, pages = {122--125}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BioCAS.2013.6679654}, doi = {10.1109/BIOCAS.2013.6679654}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/LinTCYWNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangHKLWYPHLC13, author = {Kuo{-}Chen Wang and Ming{-}Tung Hong and Hsin{-}Liu Cindy Kao and Allen C. Lin and Chi{-}An Wang and Chuang{-}Wen You and Chun{-}Hung Pan and Ming{-}Chyi Huang and Chun{-}Mao Liang and Hao{-}Hua Chu}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {A phone-based support system to assist alcohol recovery}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {529--534}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468449}, doi = {10.1145/2468356.2468449}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/WangHKLWYPHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeHCCWY13, author = {Tsung{-}Han Lee and Ming{-}Chun Hsieh and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Chih{-}Hao Wen and Kian Meng Yap}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Avoiding Collisions Between {IEEE} 802.11 and {IEEE} 802.15.4 Using Coexistence Inter-Frame Space}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1185--1193}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_135}, doi = {10.1007/978-94-007-7262-5\_135}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeHCCWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeWCCH13, author = {Tsung{-}Han Lee and Chih{-}Hao Wen and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Ming{-}Chun Hsieh}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {A Lightweight Intrusion Detection Scheme Based on Energy Consumption Analysis in 6LowPAN}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1205--1213}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_137}, doi = {10.1007/978-94-007-7262-5\_137}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeWCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ZhangWLF13, author = {Jing Zhang and Hao Wang and Heshan Lin and Wu{-}chun Feng}, title = {Consolidating Applications for Energy Efficiency in Heterogeneous Computing Systems}, booktitle = {10th {IEEE} International Conference on High Performance Computing and Communications {\&} 2013 {IEEE} International Conference on Embedded and Ubiquitous Computing, {HPCC/EUC} 2013, Zhangjiajie, China, November 13-15, 2013}, pages = {399--406}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPCC.and.EUC.2013.64}, doi = {10.1109/HPCC.AND.EUC.2013.64}, timestamp = {Wed, 05 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/ZhangWLF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3d/LinHLCWHL13, author = {Hsueh{-}Yi Lin and Po{-}Kuan Huang and Tung{-}Yang Lin and Ting{-}Ting Chang and Chi{-}Hao Wu and Chin{-}Chun Hsiao and Chao{-}Kang Liao}, title = {Stereo matching architecture for 3D pose/gesture recognition and distance-measuring application}, booktitle = {International Conference on 3D Imaging, {IC3D} 2013, Li{\`{e}}ge, Belgium, December 3-5, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IC3D.2013.6732095}, doi = {10.1109/IC3D.2013.6732095}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic3d/LinHLCWHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ChengHLLZ13, author = {Xin Cheng and Chungjin Hu and Yang Li and Wei Lin and Haolei Zuo}, title = {Data Evolution Analysis of Virtual DataSpace for Managing the Big Data Lifecycle}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {2054--2063}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.57}, doi = {10.1109/IPDPSW.2013.57}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/ChengHLLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYLLLCCHJTHHKCWWLCS13, author = {Chi{-}Shin Chang and Hao{-}I Yang and Wei{-}Nan Liao and Yi{-}Wei Lin and Nan{-}Chun Lien and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Ming{-}Hsien Tu and Huan{-}Shun Huang and Yong{-}Jyun Hu and Paul{-}Sen Kan and Cheng{-}Yo Cheng and Wei{-}Chang Wang and Jian{-}Hao Wang and Kuen{-}Di Lee and Chia{-}Cheng Chen and Wei{-}Chiang Shih}, title = {A 40nm 1.0Mb pipeline 6T {SRAM} with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572134}, doi = {10.1109/ISCAS.2013.6572134}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYLLLCCHJTHHKCWWLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/HsiaoWHL13, author = {Yi{-}Mao Hsiao and Liang{-}Hung Wang and Min{-}Chun Hu and Kuang{-}Hao Lin}, title = {Multimedia home environment streaming system design}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {81--82}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCE.2013.6570263}, doi = {10.1109/ISCE.2013.6570263}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/HsiaoWHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCCCWSCLGCCCCSJIWW13, author = {Tay{-}Jyi Lin and Cheng{-}An Chien and Pei{-}Yao Chang and Ching{-}Wen Chen and Po{-}Hao Wang and Ting{-}Yu Shyu and Chien{-}Yung Chou and Shien{-}Chun Luo and Jiun{-}In Guo and Tien{-}Fu Chen and Gene C. H. Chuang and Yuan{-}Hua Chu and Liang{-}Chia Cheng and Hong{-}Men Su and Chewnpu Jou and Meikei Ieong and Cheng{-}Wen Wu and Jinn{-}Shyan Wang}, title = {A 0.48V 0.57nJ/pixel video-recording SoC in 65nm {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {158--159}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487680}, doi = {10.1109/ISSCC.2013.6487680}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCCCWSCLGCCCCSJIWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsuCHLLLPW13, author = {Sen{-}Kuei Hsu and Hao Chen and Chung{-}Han Huang and Der{-}Jiann Liu and Wei{-}Hsun Lin and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Test-yield improvement of high-density probing technology using optimized metal backer with plastic patch}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651888}, doi = {10.1109/TEST.2013.6651888}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HsuCHLLLPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ChinJZWTYWCYCHK13, author = {Wei{-}Sheng Chin and Yu{-}Chin Juan and Yong Zhuang and Felix Wu and Hsiao{-}Yu Tung and Tong Yu and Jui{-}Pin Wang and Cheng{-}Xia Chang and Chun{-}Pai Yang and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Yu{-}Chuan Su and Cheng{-}Kuang Wei and Tu{-}Chun Yin and Chun{-}Liang Li and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Effective string processing and matching for author disambiguation}, booktitle = {Proceedings of the 2013 {KDD} Cup 2013 Workshop, {KDD} Cup 2013, Chicago, Illinois, USA, August 11-14, 2013}, pages = {7:1--7:9}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2517288.2517295}, doi = {10.1145/2517288.2517295}, timestamp = {Sun, 24 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/ChinJZWTYWCYCHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LiSLTCHKLLLYCCJ13, author = {Chun{-}Liang Li and Yu{-}Chuan Su and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Chun{-}Pai Yang and Cheng{-}Xia Chang and Wei{-}Sheng Chin and Yu{-}Chin Juan and Hsiao{-}Yu Tung and Jui{-}Pin Wang and Cheng{-}Kuang Wei and Felix Wu and Tu{-}Chun Yin and Tong Yu and Yong Zhuang and Shou{-}de Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Combination of feature engineering and ranking models for paper-author identification in {KDD} Cup 2013}, booktitle = {Proceedings of the 2013 {KDD} Cup 2013 Workshop, {KDD} Cup 2013, Chicago, Illinois, USA, August 11-14, 2013}, pages = {2:1--2:7}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2517288.2517290}, doi = {10.1145/2517288.2517290}, timestamp = {Sun, 24 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LiSLTCHKLLLYCCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/KangTCL13, author = {Chung{-}Hao Kang and Shih{-}Hsiang Tai and Sheng{-}Chung Chen and David T. W. Lin}, title = {Optimization of the micro channel ladder shape heat sink}, booktitle = {8th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2013, Suzhou, China, April 7-10, 2013}, pages = {389--392}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NEMS.2013.6559757}, doi = {10.1109/NEMS.2013.6559757}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/KangTCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/LinSCY13, author = {Yu{-}Yuan Lin and Kuo{-}Feng Ssu and Hau{-}Yu Chiang and Chun{-}Hao Yang}, title = {Reducing Communication Delay by Finding Sink Location in Low-Duty-Cycle Wireless Sensor Networks}, booktitle = {{IEEE} 19th Pacific Rim International Symposium on Dependable Computing, {PRDC} 2013, Vancouver, BC, Canada, December 2-4, 2013}, pages = {136--137}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PRDC.2013.30}, doi = {10.1109/PRDC.2013.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/LinSCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/YangSL13, author = {Chun{-}Hao Yang and Kuo{-}Feng Ssu and Yu{-}Yuan Lin}, title = {A Delay-Awareness Routing Protocol in Intermittently Connected Underwater Acoustic Sensor Networks}, booktitle = {{IEEE} 19th Pacific Rim International Symposium on Dependable Computing, {PRDC} 2013, Vancouver, BC, Canada, December 2-4, 2013}, pages = {138--139}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PRDC.2013.31}, doi = {10.1109/PRDC.2013.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/YangSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChenLCCYH13, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Kuo{-}Yi Chen and Yuan{-}Hao Chang and Pen{-}Chung Yew and Chien{-}Chung Ho}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {A systematic methodology for {OS} benchmark characterization}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {404--409}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513234}, doi = {10.1145/2513228.2513234}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ChenLCCYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/YehYCLL13, author = {Chun{-}Hsien Yeh and Ying{-}Hao Yu and Pei{-}Yin Chen and Chih{-}Yuan Lien and Jian{-}He Lin}, title = {Mobile Nursery Construction with Alignment of Sensors for Orchids Breeding}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {299--302}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.74}, doi = {10.1109/RVSP.2013.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/YehYCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeCCHWY13, author = {Tsung{-}Han Lee and Hung{-}Shiou Chiang and Lin{-}Huang Chang and Ming{-}Chun Hsieh and Chih{-}Hao Wen and Kian Meng Yap}, title = {Modeling and Performance Analysis of Route-Over and Mesh-Under Routing Schemes in 6LoWPAN}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {3802--3806}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.649}, doi = {10.1109/SMC.2013.649}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/LeeCCHWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiaoLCCYCJHTHWKH13, author = {Wei{-}Nan Liao and Nan{-}Chun Lien and Chi{-}Shin Chang and Li{-}Wei Chu and Hao{-}I Yang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Ming{-}Hsien Tu and Huan{-}Shun Huang and Jian{-}Hao Wang and Paul{-}Sen Kan and Yong{-}Jyun Hu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A 40nm 1.0Mb 6T pipeline {SRAM} with digital-based Bit-Line Under-Drive, Three-Step-Up Word-Line, Adaptive Data-Aware Write-Assist with {VCS} tracking and Adaptive Voltage Detector for boosting control}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {110--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749670}, doi = {10.1109/SOCC.2013.6749670}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiaoLCCYCJHTHWKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/WangCYKTCLWC13, author = {Po{-}Hao Wang and Wei{-}Chung Cheng and Yung{-}Hui Yu and Tang{-}Chieh Kao and Chi{-}Lun Tsai and Pei{-}Yao Chang and Tay{-}Jyi Lin and Jinn{-}Shyan Wang and Tien{-}Fu Chen}, editor = {Martin Margala and Ricardo Augusto da Luz Reis and Alex Orailoglu and Luigi Carro and Lu{\'{\i}}s Miguel Silveira and H. Fatih Ugurdag}, title = {Variation-aware and adaptive-latency accesses for reliable low voltage caches}, booktitle = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013}, pages = {358--363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLSI-SoC.2013.6673309}, doi = {10.1109/VLSI-SOC.2013.6673309}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/WangCYKTCLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1301-2383, author = {Hong{-}Fei Zhang and Jian Wang and Ke Cui and Chun{-}Li Luo and Sheng{-}zhao Lin and Lei Zhou and Hao Liang and Teng{-}Yun Chen and Kai Chen and Jian{-}Wei Pan}, title = {A real-time {QKD} system based on {FPGA}}, journal = {CoRR}, volume = {abs/1301.2383}, year = {2013}, url = {http://arxiv.org/abs/1301.2383}, eprinttype = {arXiv}, eprint = {1301.2383}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1301-2383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/WuWCKLH12, author = {Wen{-}Hsiung Wu and Yen{-}Chun Jim Wu and Chun{-}Yu Chen and Hao{-}Yun Kao and Che{-}Hung Lin and Sih{-}Han Huang}, title = {Review of trends from mobile learning studies: {A} meta-analysis}, journal = {Comput. Educ.}, volume = {59}, number = {2}, pages = {817--827}, year = {2012}, url = {https://doi.org/10.1016/j.compedu.2012.03.016}, doi = {10.1016/J.COMPEDU.2012.03.016}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/WuWCKLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhouZZWC12, author = {Hao Zhou and Jia{-}Pei Zhao and Li{-}Gang Zheng and Chun{-}Lin Wang and Ke{-}Fa Cen}, title = {Modeling NO\({}_{\mbox{x}}\) emissions from coal-fired utility boilers using support vector regression with ant colony optimization}, journal = {Eng. Appl. Artif. Intell.}, volume = {25}, number = {1}, pages = {147--158}, year = {2012}, url = {https://doi.org/10.1016/j.engappai.2011.08.005}, doi = {10.1016/J.ENGAPPAI.2011.08.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ZhouZZWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijat/LinPPKHL12, author = {Chung{-}Yi Lin and Tung{-}Cheng Pan and Yao{-}Chi Peng and Cheng{-}Hao Ko and Rong{-}Mou Hong and Jian{-}Shian Lin}, title = {Multi-Angle Bending Machine for Creating High Luminance Efficiency {LED} Module with Diversified Light Distribution Curve}, journal = {Int. J. Autom. Technol.}, volume = {6}, number = {3}, pages = {354--362}, year = {2012}, url = {https://doi.org/10.20965/ijat.2012.p0354}, doi = {10.20965/IJAT.2012.P0354}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijat/LinPPKHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/ChenLLLL12, author = {Chi{-}Hua Chen and Bon{-}Yeh Lin and Chun{-}Hao Lin and Yen{-}Szu Liu and Chi{-}Chun Lo}, title = {A green positioning algorithm for Campus Guidance System}, journal = {Int. J. Mob. Commun.}, volume = {10}, number = {2}, pages = {119--131}, year = {2012}, url = {https://doi.org/10.1504/IJMC.2012.045669}, doi = {10.1504/IJMC.2012.045669}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/ChenLLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/YuAQDW12, author = {Hongfang Yu and Vishal Anand and Chunming Qiao and Hao Di and Xuetao Wei}, title = {A Cost Efficient Design of Virtual Infrastructures with Joint Node and Link Mapping}, journal = {J. Netw. Syst. Manag.}, volume = {20}, number = {1}, pages = {97--115}, year = {2012}, url = {https://doi.org/10.1007/s10922-011-9209-x}, doi = {10.1007/S10922-011-9209-X}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/YuAQDW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/YeZZC12, author = {Qiaolin Ye and Chunxia Zhao and Haofeng Zhang and Xiaobo Chen}, title = {Recursive "concave-convex" Fisher Linear Discriminant with applications to face, handwritten digit and terrain recognition}, journal = {Pattern Recognit.}, volume = {45}, number = {1}, pages = {54--65}, year = {2012}, url = {https://doi.org/10.1016/j.patcog.2011.07.008}, doi = {10.1016/J.PATCOG.2011.07.008}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/YeZZC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/HuangKCLC12, author = {Chung{-}Ming Huang and Hao{-}Hsiang Ku and Yu{-}Chang Chao and Cheng{-}Wei Lin and Yen{-}Wen Chen}, title = {Design and implementation of an adaptive Web2.0 QoS-based home appliance control service platform}, journal = {Softw. Pract. Exp.}, volume = {42}, number = {1}, pages = {57--87}, year = {2012}, url = {https://doi.org/10.1002/spe.1049}, doi = {10.1002/SPE.1049}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/HuangKCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/YangHTLSL12, author = {An{-}Shik Yang and Hao{-}Hung Huang and Li{-}Yu Tseng and Po{-}Hung Liu and Tsai{-}Lung Su and Chun{-}Lung Li}, title = {A vacuum-pumped microfluidic device for automated in-line mixing and focusing processes}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {109--114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386455}, doi = {10.1109/COASE.2012.6386455}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/YangHTLSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/HongLC12, author = {Tzung{-}Pei Hong and Feng{-}Shih Lin and Chun{-}Hao Chen}, title = {Using the group genetic algorithm for attribute clustering}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2012, Brisbane, Australia, June 10-15, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CEC.2012.6256645}, doi = {10.1109/CEC.2012.6256645}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/HongLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuCCLC12, author = {Hsin{-}Wu Hsu and Meng{-}Ling Chen and Hung{-}Ming Chen and Hung{-}Chun Li and Shi{-}Hao Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On effective flip-chip routing via pseudo single redistribution layer}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1597--1602}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176727}, doi = {10.1109/DATE.2012.6176727}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsuCCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LiaoWHHLL12, author = {Chao{-}Kang Liao and Chi{-}Hao Wu and Ching{-}Chun Hsiao and Po{-}Kuan Huang and Tung{-}Yang Lin and Hsu{-}Ting Lin}, editor = {Andrea Fusiello and Vittorio Murino and Rita Cucchiara}, title = {3D Gesture Touchless Control Based on Real-Time Stereo Matching}, booktitle = {Computer Vision - {ECCV} 2012. Workshops and Demonstrations - Florence, Italy, October 7-13, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7585}, pages = {615--618}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33885-4\_65}, doi = {10.1007/978-3-642-33885-4\_65}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/LiaoWHHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ChenHHL12, author = {Chun{-}Hao Chen and Chi{-}Hsuan Ho and Tzung{-}Pei Hong and Wei{-}Tee Lin}, editor = {Tsau Young Lin and Xiaohua Hu and Zhaohui Wu and Arbee L. P. Chen and Andrei Z. Broder and Howard Ho and Shuliang Wang}, title = {{MOGA} for multi-level fuzzy data mining}, booktitle = {2012 {IEEE} International Conference on Granular Computing, GrC 2012, Hangzhou, China, August 11-13, 2012}, pages = {32--37}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/GrC.2012.6468695}, doi = {10.1109/GRC.2012.6468695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/ChenHHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/ChungCL12, author = {Sheng{-}Hao Chung and Wei{-}Han Chang and Kawuu W. Lin}, editor = {Tsau Young Lin and Xiaohua Hu and Zhaohui Wu and Arbee L. P. Chen and Andrei Z. Broder and Howard Ho and Shuliang Wang}, title = {Applying region-aware cyclic patterns to object recovering energy-efficiently in sensor networks}, booktitle = {2012 {IEEE} International Conference on Granular Computing, GrC 2012, Hangzhou, China, August 11-13, 2012}, pages = {289--293}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/GrC.2012.6468692}, doi = {10.1109/GRC.2012.6468692}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/ChungCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdip/ChenS12, author = {Chun{-}Jung Chen and Tien{-}Hao Shin}, editor = {Mohamed Othman and Sukumar Senthilkumar and Xie Yi}, title = {Circuit simulation for large-scale {MOSFET} and lossy coupled transmission line circuits using multi-rate iterated timing analysis algorithm}, booktitle = {Fourth International Conference on Digital Image Processing, {ICDIP} 2012, Kuala Lumpur, Malaysia, April 7-8, 2012}, series = {{SPIE} Proceedings}, volume = {8334}, pages = {833447}, publisher = {{SPIE}}, year = {2012}, url = {https://doi.org/10.1117/12.968574}, doi = {10.1117/12.968574}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdip/ChenS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JuLCCTHCHWCLCCWWLCT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yeh{-}Lin Chu and Chuang{-}Chi Chiou and Bin{-}Jung Tsai and Te{-}Chi Hsiao and Ginny Chen and Pin{-}Huan Hsu and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Jiun{-}Yuan Wu and Yuan{-}Chun Lin and Yung{-}Chang Chang and Chung{-}Hung Tsai}, title = {Area and Memory Efficient Architectures for 3D Blu-ray-compliant Multimedia Processors}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {776--781}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICME.2012.81}, doi = {10.1109/ICME.2012.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JuLCCTHCHWCLCCWWLCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehLWCC12, author = {Min{-}Han Hsieh and Bing{-}Feng Lin and Yu{-}Shun Wang and Hao{-}Huei Chang and Charlie Chung{-}Ping Chen}, title = {A 2 - 8 GHz multi-phase distributed {DLL} using phase insertion in 90 nm}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2015--2018}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271675}, doi = {10.1109/ISCAS.2012.6271675}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehLWCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuLCWCLCCWCHLT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Hao{-}Wei Li and Chung{-}Hung Tsai}, title = {A 775-{\(\mathrm{\mu}\)}W/fps/view {H.264/MVC} decoder chip compliant with 3D Blu-ray specifications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271516}, doi = {10.1109/ISCAS.2012.6271516}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuLCWCLCCWCHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLHLCCCHJLLLSWLH12, author = {Hao{-}I Yang and Yi{-}Wei Lin and Mao{-}Chih Hsia and Geng{-}Cing Lin and Chi{-}Shin Chang and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {High-performance 0.6V {VMIN} 55nm 1.0Mb 6T {SRAM} with adaptive {BL} bleeder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1831--1834}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271624}, doi = {10.1109/ISCAS.2012.6271624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLHLCCCHJLLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HuangLLWHLL12, author = {Po{-}Kuan Huang and Tung{-}Yang Lin and Hsu{-}Ting Lin and Chi{-}Hao Wu and Ching{-}Chun Hsiao and Chao{-}Kang Liao and Peter Lemmens}, title = {Real-time stereo matching for 3D hand gesture recognition}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {29--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406917}, doi = {10.1109/ISOCC.2012.6406917}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HuangLLWHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiLWCLYHW12, author = {Shih{-}An Li and Yi{-}Chun Lin and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Circle object recognition based on monocular vision for home security robot}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {258--261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473491}, doi = {10.1109/ISPACS.2012.6473491}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiLWCLYHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiWCLYLHW12, author = {Shih{-}An Li and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Yi{-}Chun Lin and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Servo motor controller design for robotic manipulator}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {254--257}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473490}, doi = {10.1109/ISPACS.2012.6473490}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiWCLYLHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/WangCLS12, author = {Hao Chun Wang and Wei Ming Chen and Yen Pin Lin and Wei{-}Chih Shen}, title = {Tumor detecting in colonoscopic narrow-band imaging data}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {564--568}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473553}, doi = {10.1109/ISPACS.2012.6473553}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/WangCLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/HuangLWH12, author = {Chung{-}Ming Huang and Shih Yang Lin and Shih{-}Hao Wang and Shih{-}Chin Hsu}, title = {A farthest-first forwarding algorithm in VANETs}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {817--821}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425296}, doi = {10.1109/ITST.2012.6425296}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/HuangLWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinYHLCCHLLSWLH12, author = {Yung{-}Wei Lin and Hao{-}I Yang and Mao{-}Chih Hsia and Yi{-}Wei Lin and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 55nm 0.5V 128Kb cross-point 8T {SRAM} with data-aware dynamic supply Write-assist}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {218--223}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398351}, doi = {10.1109/SOCC.2012.6398351}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinYHLCCHLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenLHL12, author = {Chun{-}Hao Chen and Guo{-}Cheng Lan and Tzung{-}Pei Hong and Yui{-}Kai Lin}, title = {A High Coherent Association Rule Mining Algorithm}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2012, Tainan, Taiwan, November 16-18, 2012}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TAAI.2012.51}, doi = {10.1109/TAAI.2012.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/ChenLHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinTYLWCJHLLS12, author = {Yi{-}Wei Lin and Ming{-}Chien Tsai and Hao{-}I Yang and Geng{-}Cing Lin and Shao{-}Cheng Wang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih}, title = {An all-digital Read Stability and Write Margin characterization scheme for {CMOS} 6T {SRAM} array}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212589}, doi = {10.1109/VLSI-DAT.2012.6212589}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinTYLWCJHLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiLYTSLLJCH12, author = {Ming{-}Chien Tsai and Yi{-}Wei Lin and Hao{-}I Yang and Ming{-}Hsien Tu and Wei{-}Chiang Shih and Nan{-}Chun Lien and Kuen{-}Di Lee and Shyh{-}Jye Jou and Ching{-}Te Chuang and Wei Hwang}, title = {Embedded {SRAM} ring oscillator for in-situ measurement of {NBTI} and {PBTI} degradation in {CMOS} 6T {SRAM} array}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212587}, doi = {10.1109/VLSI-DAT.2012.6212587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiLYTSLLJCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChungKL12, author = {Hao{-}Hsiang Chung and Shiuan{-}Hao Kuo and Mao{-}Chao Lin}, title = {A Physical-Layer Network Coding Scheme Based on Linear {MIMO} Detection}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VETECS.2012.6240165}, doi = {10.1109/VETECS.2012.6240165}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChungKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12, author = {Po{-}Lung Chen and Chen{-}Tse Tsai and Yao{-}Nan Chen and Ku{-}Chun Chou and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Yu{-}Cheng Chou and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Rong{-}Bing Chiu and Chieh{-}Yen Lin and Chien{-}Chih Wang and Po{-}Wei Wang and Wei{-}Lun Su and Chen{-}Hung Wu and Tsung{-}Ting Kuo and Todd G. McKenzie and Ya{-}Hsuan Chang and Chun{-}Sung Ferng and Chia{-}Mau Ni and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {A Linear Ensemble of Individual and Blended Models for Music Rating Prediction}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {21--60}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/chen12a.html}, timestamp = {Wed, 29 May 2019 08:41:47 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChenTCCLTWCLLYCLWPSWKMCFNLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12, author = {Todd G. McKenzie and Chun{-}Sung Ferng and Yao{-}Nan Chen and Chun{-}Liang Li and Cheng{-}Hao Tsai and Kuan{-}Wei Wu and Ya{-}Hsuan Chang and Chung{-}Yi Li and Wei{-}Shih Lin and Shu{-}Hao Yu and Chieh{-}Yen Lin and Po{-}Wei Wang and Chia{-}Mau Ni and Wei{-}Lun Su and Tsung{-}Ting Kuo and Chen{-}Tse Tsai and Po{-}Lung Chen and Rong{-}Bing Chiu and Ku{-}Chun Chou and Yu{-}Cheng Chou and Chien{-}Chih Wang and Chen{-}Hung Wu and Hsuan{-}Tien Lin and Chih{-}Jen Lin and Shou{-}De Lin}, editor = {Gideon Dror and Yehuda Koren and Markus Weimer}, title = {Novel Models and Ensemble Techniques to Discriminate Favorite Items from Unrated Ones for Personalized Music Recommendation}, booktitle = {Proceedings of {KDD} Cup 2011 competition, San Diego, CA, USA, 2011}, series = {{JMLR} Proceedings}, volume = {18}, pages = {101--135}, publisher = {JMLR.org}, year = {2012}, url = {http://proceedings.mlr.press/v18/mckenzie12a.html}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/McKenzieFCLTWCLLYLWNSKTCCCCWWLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/HwangTCKW11, author = {Yi{-}Ting Hwang and Hao{-}Yun Tsai and Yeu{-}Jhy Chang and Hsun{-}Chih Kuo and Chun{-}Chao Wang}, title = {The joint model of the logistic model and linear random effect model - An application to predict orthostatic hypertension for subacute stroke patients}, journal = {Comput. Stat. Data Anal.}, volume = {55}, number = {1}, pages = {914--923}, year = {2011}, url = {https://doi.org/10.1016/j.csda.2010.07.024}, doi = {10.1016/J.CSDA.2010.07.024}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/HwangTCKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LaiHLK11, author = {Mei{-}Chi Lai and Hao{-}Chen Huang and Lee{-}Hsuan Lin and Meng{-}Chun Kao}, title = {Potential of organizational memory for creating service performance: {A} cross-level analysis}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {10493--10498}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.02.089}, doi = {10.1016/J.ESWA.2011.02.089}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LaiHLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LaiWHK11, author = {Mei{-}Chi Lai and Wei{-}Kang Wang and Hao{-}Chen Huang and Meng{-}Chun Kao}, title = {Linking the benchmarking tool to a knowledge-based system for performance improvement}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {10579--10586}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.02.101}, doi = {10.1016/J.ESWA.2011.02.101}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LaiWHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LienYLCC11, author = {Chang{-}Hua Lien and Ker{-}Wei Yu and Yen{-}Feng Lin and Hao{-}Chin Chang and Yeong{-}Jay Chung}, title = {Stability analysis for Cohen-Grossberg neural networks with time-varying delays via {LMI} approach}, journal = {Expert Syst. Appl.}, volume = {38}, number = {5}, pages = {6360--6367}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.11.103}, doi = {10.1016/J.ESWA.2010.11.103}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LienYLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinYHW11, author = {Feng{-}Cheng Lin and Hsin{-}Wen Yu and Chih Hao Hsu and Tzu{-}Chun Weng}, title = {Recommendation system for localized products in vending machines}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {9129--9138}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.01.051}, doi = {10.1016/J.ESWA.2011.01.051}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinYHW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/YeZZY11, author = {Qiaolin Ye and Chunxia Zhao and Haofeng Zhang and Ning Ye}, title = {Distance difference and linear programming nonparallel plane classifier}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {9425--9433}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.01.131}, doi = {10.1016/J.ESWA.2011.01.131}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/YeZZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijiids/HongLCO11, author = {Tzung{-}Pei Hong and Wei{-}Tee Lin and Chun{-}Hao Chen and Chen{-}Sen Ouyang}, title = {Evolutionarily adjusting membership functions in Takagi-Sugeno fuzzy systems}, journal = {Int. J. Intell. Inf. Database Syst.}, volume = {5}, number = {3}, pages = {229--245}, year = {2011}, url = {https://doi.org/10.1504/IJIIDS.2011.040087}, doi = {10.1504/IJIIDS.2011.040087}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijiids/HongLCO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolis/ChenLCS11, author = {Kuan{-}nien Chen and Pei{-}Chun Lin and Sung{-}Shan Chang and Hao{-}chang Sun}, title = {Library use by medical students: {A} comparison of two curricula}, journal = {J. Libr. Inf. Sci.}, volume = {43}, number = {3}, pages = {176--184}, year = {2011}, url = {https://doi.org/10.1177/0961000611410928}, doi = {10.1177/0961000611410928}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolis/ChenLCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ShenWCLHS11, author = {Sun{-}Ju Shen and Sheng{-}Hung Wu and Jen{-}Hao Chi and Chen{-}Chung Lin and Jao{-}Jia Horng and Chi{-}Min Shu}, title = {Simulation of solid thermal explosion and liquid thermal explosion of dicumyl peroxide using calorimetric technique}, journal = {Simul. Model. Pract. Theory}, volume = {19}, number = {4}, pages = {1251--1257}, year = {2011}, url = {https://doi.org/10.1016/j.simpat.2010.11.001}, doi = {10.1016/J.SIMPAT.2010.11.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/ShenWCLHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenYLC11, author = {Jau{-}Horng Chen and Hao{-}Shun Yang and Hou{-}Chung Lin and Yi{-}Jan Emery Chen}, title = {A Polar-Transmitter Architecture Using Multiphase Pulsewidth Modulation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {2}, pages = {244--252}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2071918}, doi = {10.1109/TCSI.2010.2071918}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenYLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ChungTL11, author = {Hao{-}Hsiang Chung and Yung{-}Chih Tsai and Mao{-}Chao Lin}, title = {{IDMA} Using Non-Gray Labelled Modulation}, journal = {{IEEE} Trans. Commun.}, volume = {59}, number = {9}, pages = {2492--2501}, year = {2011}, url = {https://doi.org/10.1109/TCOMM.2011.070511.100537}, doi = {10.1109/TCOMM.2011.070511.100537}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ChungTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/LinYPF11, author = {Chun{-}Cheng Lin and Hsu{-}Chun Yen and Sheung{-}Hung Poon and Jia{-}Hao Fan}, title = {Complexity analysis of balloon drawing for rooted trees}, journal = {Theor. Comput. Sci.}, volume = {412}, number = {4-5}, pages = {430--447}, year = {2011}, url = {https://doi.org/10.1016/j.tcs.2010.10.015}, doi = {10.1016/J.TCS.2010.10.015}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/LinYPF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenWHF11, author = {Yen{-}Lin Chen and Bing{-}Fei Wu and Hao{-}Yu Huang and Chung{-}Jui Fan}, title = {A Real-Time Vision System for Nighttime Vehicle Detection and Traffic Surveillance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {58}, number = {5}, pages = {2030--2044}, year = {2011}, url = {https://doi.org/10.1109/TIE.2010.2055771}, doi = {10.1109/TIE.2010.2055771}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChenWHF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinLCCYL11, author = {Yu{-}Tso Lin and Yo{-}Sheng Lin and Chun{-}Hao Chen and Hsiao{-}Chin Chen and Yu{-}Che Yang and Shey{-}Shi Lu}, title = {A 0.5-V Biomedical System-on-a-Chip for Intrabody Communication System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {58}, number = {2}, pages = {690--699}, year = {2011}, url = {https://doi.org/10.1109/TIE.2010.2046571}, doi = {10.1109/TIE.2010.2046571}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LinLCCYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChiuHCLH11, author = {Po{-}Lin Chiu and Lin{-}Zheng Huang and Li{-}Wei Chai and Chun{-}Fu Liao and Yuan{-}Hao Huang}, title = {A 684Mbps 57mW joint {QR} decomposition and {MIMO} processor for 4{\texttimes}4 {MIMO-OFDM} systems}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2011, Jeju, South Korea, November 14-16, 2011}, pages = {309--312}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASSCC.2011.6123574}, doi = {10.1109/ASSCC.2011.6123574}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChiuHCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinH11, author = {Ting{-}Hao Lin and Chung{-}Yang (Ric) Huang}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Using SAT-based Craig interpolation to enlarge clock gating functions}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {621--626}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024867}, doi = {10.1145/2024724.2024867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/ChenHLCL11, author = {Fu{-}Hao Chen and Kuo{-}Chung Huang and Lang{-}Chin Lin and Yi{-}Heng Chou and Kuen Lee}, editor = {Andrew J. Woods and Nicolas S. Holliman and Neil A. Dodgson}, title = {System crosstalk measurement of a time-sequential 3D display using ideal shutter glasses}, booktitle = {Stereoscopic Displays and Applications XXII, San Francisco Airport, California, USA, January 23-27, 2011}, series = {{SPIE} Proceedings}, volume = {7863}, pages = {78632E}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.876739}, doi = {10.1117/12.876739}, timestamp = {Tue, 08 Aug 2023 11:10:38 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/ChenHLCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/ChangKLSCJLL11, author = {Yu{-}Hao Chang and Chi{-}Bang Kuan and Cheng{-}Yen Lin and Te{-}Feng Su and Chun{-}Ta Chen and Jyh{-}Shing Roger Jang and Shang{-}Hong Lai and Jenq Kuen Lee}, title = {Support of software framework for embedded multi-core systems with Android environments}, booktitle = {9th {IEEE} Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2011, Taipei, Taiwan, October 13-14, 2011}, pages = {2--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ESTIMedia.2011.6088522}, doi = {10.1109/ESTIMEDIA.2011.6088522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/ChangKLSCJLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenFLH11, author = {Hou{-}Chun Chen and Huai{-}Lei Fu and Phone Lin and Chih{-}Hao Hsu}, title = {Energy-Aware Transmission Scheduling in Mobile Sensor Networks}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6133687}, doi = {10.1109/GLOCOM.2011.6133687}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenFLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/ChungCL11, author = {Sheng{-}Hao Chung and Wei{-}Han Chang and Kawuu W. Lin}, editor = {Ajith Abraham and Mohamed Kamel and Ronald R. Yager}, title = {A data mining algorithm for mining region-aware cyclic patterns}, booktitle = {11th International Conference on Hybrid Intelligent Systems, {HIS} 2011, Melacca, Malaysia, December 5-8, 2011}, pages = {709--713}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HIS.2011.6122195}, doi = {10.1109/HIS.2011.6122195}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/his/ChungCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/LanCHL11, author = {Guo{-}Cheng Lan and Chun{-}Hao Chen and Tzung{-}Pei Hong and Shih{-}Bin Lin}, editor = {Ajith Abraham and Mohamed Kamel and Ronald R. Yager}, title = {A fuzzy approach for mining general temporal association rules in a publication database}, booktitle = {11th International Conference on Hybrid Intelligent Systems, {HIS} 2011, Melacca, Malaysia, December 5-8, 2011}, pages = {611--615}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HIS.2011.6122175}, doi = {10.1109/HIS.2011.6122175}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/his/LanCHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LinSW11, author = {Yen{-}Wen Lin and Jie{-}Min Shen and Hao{-}Chun Weng}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Gateway Discovery in {VANET} Cloud}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {951--954}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.138}, doi = {10.1109/HPCC.2011.138}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LinSW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiaoLHC11, author = {Chun{-}Fu Liao and Fang{-}Chun Lan and Yuan{-}Hao Huang and Po{-}Lin Chiu}, title = {Latency-constrained low-complexity lattice reduction for {MIMO-OFDM} systems}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {1677--1680}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5946822}, doi = {10.1109/ICASSP.2011.5946822}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LiaoLHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/WangWG11, author = {Chun{-}Hao Wang and Yongjin Wang and Ling Guan}, editor = {Mohamed Kamel and Aur{\'{e}}lio C. Campilho}, title = {Event Detection and Recognition using Histogram of Oriented Gradients and Hidden Markov Models}, booktitle = {Image Analysis and Recognition - 8th International Conference, {ICIAR} 2011, Burnaby, BC, Canada, June 22-24, 2011. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6753}, pages = {436--445}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21593-3\_44}, doi = {10.1007/978-3-642-21593-3\_44}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/WangWG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeCCWWP11, author = {Chung{-}Lin Lee and Chun{-}Chi Chen and Yi{-}Wen Chen and Mu{-}Hsuan Wu and Chung{-}Hao Wu and Wen{-}Hsiao Peng}, editor = {Beno{\^{\i}}t Macq and Peter Schelkens}, title = {Bi-prediction combining template and block motion compensations}, booktitle = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, pages = {1221--1224}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICIP.2011.6115652}, doi = {10.1109/ICIP.2011.6115652}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/LeeCCWWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/DongLWLCB11, author = {Wei Dong and Yunhao Liu and Chao Wang and Xue Liu and Chun Chen and Jiajun Bu}, title = {Link quality aware code dissemination in wireless sensor networks}, booktitle = {Proceedings of the 19th annual {IEEE} International Conference on Network Protocols, {ICNP} 2011, Vancouver, BC, Canada, October 17-20, 2011}, pages = {89--98}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICNP.2011.6089086}, doi = {10.1109/ICNP.2011.6089086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnp/DongLWLCB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/LinWC11, author = {Wen{-}Yang Lin and You{-}En Wei and Chun{-}Hao Chen}, editor = {Kishan G. Mehrotra and Chilukuri K. Mohan and Jae C. Oh and Pramod K. Varshney and Moonis Ali}, title = {A Generic Approach for Mining Indirect Association Rules in Data Streams}, booktitle = {Modern Approaches in Applied Intelligence - 24th International Conference on Industrial Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2011, Syracuse, NY, USA, June 28 - July 1, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6703}, pages = {95--104}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21822-4\_11}, doi = {10.1007/978-3-642-21822-4\_11}, timestamp = {Sat, 19 Oct 2019 20:14:58 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/LinWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/LinLPH11, author = {Hao{-}Min Lin and Hong{-}Ying Lin and Ai{-}Chun Pang and Chih{-}Wen Hsueh}, title = {iShare: An ad-hoc sharing system for internet connectivity}, booktitle = {Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2011, Istanbul, Turkey, 4-8 July, 2011}, pages = {1946--1951}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IWCMC.2011.5982832}, doi = {10.1109/IWCMC.2011.5982832}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/LinLPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/HwangLLLLHCYWCC11, author = {Flora W. H. Hwang and Gage Lin and Yu{-}Shu Liu and Chung{-}Kuei Li and Yaya Lin and Jeremy Huang and Yu{-}Hsin Chien and Zheng{-}Hao Yang and Tuffkid Wu and Shih{-}Yao Chang and Way Chang and Benny Chung and Crazy Dog and Kyle Wen and Yi{-}Ping Chueh and Moody Cheng and Nadia Chang and Joe Chiang and Chen{-}Hung Chuang and Ea Wu}, editor = {Eric Liu}, title = {Opuesto}, booktitle = {{SIGGRAPH} Asia 2011 Computer Animation Festival, Hong Kong, December 12-15, 2011}, pages = {28:1}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077356.2425744}, doi = {10.1145/2077356.2425744}, timestamp = {Thu, 11 Mar 2021 13:45:50 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/HwangLLLLHCYWCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangYHLLCCLCCHJLLLSWLH11, author = {Hao{-}I Yang and Shih{-}Chi Yang and Mao{-}Chih Hsia and Yung{-}Wei Lin and Yi{-}Wei Lin and Chien{-}Hen Chen and Chi{-}Shin Chang and Geng{-}Cing Lin and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {A high-performance low {VMIN} 55nm 512Kb disturb-free 8T {SRAM} with adaptive {VVSS} control}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {197--200}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085080}, doi = {10.1109/SOCC.2011.6085080}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/YangYHLLCCLCCHJLLLSWLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/LeeCPL11, author = {Guanling Lee and Yi{-}Chun Chen and Sheng{-}Lung Peng and Jyun{-}Hao Lin}, editor = {Ruay{-}Shiung Chang and Tai{-}Hoon Kim and Sheng{-}Lung Peng}, title = {Solving the Sensitive Itemset Hiding Problem Whilst Minimizing Side Effects on a Sanitized Database}, booktitle = {Security-Enriched Urban Computing and Smart Grid - Second International Conference, SUComS 2011, Hualien, Taiwan, September 21-23, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {223}, pages = {104--113}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23948-9\_13}, doi = {10.1007/978-3-642-23948-9\_13}, timestamp = {Wed, 13 Mar 2019 08:47:03 +0100}, biburl = {https://dblp.org/rec/conf/sucoms/LeeCPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vnc/ShihTLP11, author = {Oliver Shih and Hsin{-}Mu Tsai and Hao{-}Min Lin and Ai{-}Chun Pang}, editor = {Onur Altintas and Wai Chen and Geert J. Heijenk}, title = {A rule-based mixed mobility model for cars and scooters (poster)}, booktitle = {2011 {IEEE} Vehicular Networking Conference, {IEEE} {VNC} 2011, Amsterdam, The Netherlands, November 14-16, 2011}, pages = {198--205}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VNC.2011.6117143}, doi = {10.1109/VNC.2011.6117143}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vnc/ShihTLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChinCHKL10, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {A hub-attachment based method to detect functional modules from confidence-scored protein interactions and expression profiles}, journal = {{BMC} Bioinform.}, volume = {11}, number = {{S-1}}, pages = {25}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-S1-S25}, doi = {10.1186/1471-2105-11-S1-S25}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChinCHKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/YangLYC10, author = {Chao{-}Tung Yang and Chih{-}Hao Lin and Ming{-}Feng Yang and Wen{-}Chung Chiang}, title = {A heuristic QoS measurement with domain-based network information model for grid computing environments}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {5}, number = {4}, pages = {235--243}, year = {2010}, url = {https://doi.org/10.1504/IJAHUC.2010.032998}, doi = {10.1504/IJAHUC.2010.032998}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/YangLYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/LuLGF10, author = {Hao{-}Chun Lu and Han{-}Lin Li and Chrysanthos E. Gounaris and Christodoulos A. Floudas}, title = {Convex relaxation for solving posynomial programs}, journal = {J. Glob. Optim.}, volume = {46}, number = {1}, pages = {147--154}, year = {2010}, url = {https://doi.org/10.1007/s10898-009-9414-2}, doi = {10.1007/S10898-009-9414-2}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/LuLGF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/LinTCHWW10, author = {Bang{-}Yan Lin and Chun{-}Hao Teng and Hung{-}Chun Chang and Hui{-}Hsin Hsiao and Juen{-}Kai Wang and Yuh{-}Lin Wang}, title = {Pseudospectral Modeling of Nano-Optics in Ag Sphere Arrays}, journal = {J. Sci. Comput.}, volume = {45}, number = {1-3}, pages = {429--446}, year = {2010}, url = {https://doi.org/10.1007/s10915-010-9376-z}, doi = {10.1007/S10915-010-9376-Z}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jscic/LinTCHWW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinLCLCLKFW10, author = {Ray{-}Ming Lin and Yung{-}Hsiang Lin and Chung{-}Hao Chiang and Mu{-}Jen Lai and Yi{-}Lun Chou and Yuan{-}Chieh Lu and Shou{-}Yi Kuo and Bor{-}Ren Fang and Meng{-}Chyi Wu}, title = {Inserting a low-temperature n-GaN underlying layer to separate nonradiative recombination centers improves the luminescence efficiency of blue InGaN/GaN LEDs}, journal = {Microelectron. Reliab.}, volume = {50}, number = {5}, pages = {679--682}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.01.017}, doi = {10.1016/J.MICROREL.2010.01.017}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LinLCLCLKFW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/YangYLKC10, author = {Hung{-}Pin D. Yang and Zao{-}En Yeh and Gray Lin and Hao{-}Chung Kuo and Jim Y. Chi}, title = {InGaAs submonolayer quantum-dot photonic-crystal LEDs for fiber-optic communications}, journal = {Microelectron. Reliab.}, volume = {50}, number = {5}, pages = {688--691}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.01.044}, doi = {10.1016/J.MICROREL.2010.01.044}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/YangYLKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinCLH10, author = {Jin{-}Fu Lin and Soon{-}Jyh Chang and Chun{-}Cheng Liu and Chih{-}Hao Huang}, title = {A 10-bit 60-MS/s Low-Power Pipelined {ADC} With Split-Capacitor {CDS} Technique}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {3}, pages = {163--167}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2040307}, doi = {10.1109/TCSII.2010.2040307}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinCLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/TsaiCL10, author = {Yung{-}Chih Tsai and Hao{-}Hsiang Chung and Mao{-}Chao Lin}, title = {Scrambling-Based Shaping for Turbo Coded Modulation}, journal = {{IEEE} Trans. Commun.}, volume = {58}, number = {11}, pages = {3148--3153}, year = {2010}, url = {https://doi.org/10.1109/TCOMM.2010.093010.080521}, doi = {10.1109/TCOMM.2010.093010.080521}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/TsaiCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/WenL10, author = {Chun{-}Hao Wen and Chun{-}Hung Richard Lin}, editor = {Fatos Xhafa and Leonard Barolli and Hiroaki Nishino and Markus Aleksy}, title = {Towards Minimizing Average Finish Time of {P2P} File Delivery Under Peer Leaving}, booktitle = {3PGCIC 2010, International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, Fukuoka Institute of Technology, Fukuoka, Japan, 4-6 November 2010}, pages = {55--62}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/3PGCIC.2010.14}, doi = {10.1109/3PGCIC.2010.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3pgcic/WenL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoCCH10, author = {Chun{-}Fu Liao and Li{-}Wei Chai and Po{-}Lin Chiu and Yuan{-}Hao Huang}, title = {Multi-stage lattice-reduction-aided {MIMO} detector using reverse-order {LLL} algorithm}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {100--103}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774735}, doi = {10.1109/APCCAS.2010.5774735}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoCCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ChangCLTPSCT10, author = {Hao{-}Teng Chang and Chung{-}Hsiao Chao and Yu{-}Fong Lin and Louis J. Tseng and Tun{-}Wen Pai and Tsan{-}Huang Shih and Margaret Dah{-}Tsyr Chang and Pei{-}Wen Tsai}, editor = {Leonard Barolli and Fatos Xhafa and Salvatore Vitabile and Hui{-}Huang Hsu}, title = {Prediction of Regulatory Molecules for Enhancement of {EGFR} Overexpression Triggered by Signal Peptide of Ribonuclease 3}, booktitle = {{CISIS} 2010, The Fourth International Conference on Complex, Intelligent and Software Intensive Systems, Krakow, Poland, 15-18 February 2010}, pages = {672--676}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CISIS.2010.82}, doi = {10.1109/CISIS.2010.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/ChangCLTPSCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icee/LiLHLH10, author = {Chunfa Li and Jianjian Li and Linna Hao and Jingfeng Li and Wenjing Hu}, title = {Analysis of Operating Pattern of {ESN} by Evolutionary Game Theory Based on Stakeholders}, booktitle = {The International Conference on E-Business and E-Government, {ICEE} 2010, 7-9 May 2010, Guangzhou, China, Proceedings}, pages = {5090--5093}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICEE.2010.1277}, doi = {10.1109/ICEE.2010.1277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icee/LiLHLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChenCHL10, author = {Hsieh{-}Chung Chen and Chen{-}Mou Cheng and Shih{-}Hao Hung and Zong{-}Cing Lin}, title = {Integer Number Crunching on the Cell Processor}, booktitle = {39th International Conference on Parallel Processing, {ICPP} 2010, San Diego, California, USA, 13-16 September 2010}, pages = {508--515}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPP.2010.59}, doi = {10.1109/ICPP.2010.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ChenCHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isita/ChungULL10, author = {Cha{-}Hao Chung and Yeong{-}Luh Ueng and Ming{-}Che Lu and Mao{-}Chao Lin}, title = {Adaptive quantization for low-density-parity-check decoders}, booktitle = {Proceedings of the International Symposium on Information Theory and its Applications, {ISITA} 2010, 17-20 October 2010, Taichung, Taiwan}, pages = {13--18}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISITA.2010.5649830}, doi = {10.1109/ISITA.2010.5649830}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isita/ChungULL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuCHLHHBT10, author = {Chun{-}Cheng Liu and Soon{-}Jyh Chang and Guan{-}Ying Huang and Ying{-}Zu Lin and Chung{-}Ming Huang and Chih{-}Hao Huang and Linkai Bu and Chih{-}Chung Tsai}, title = {A 10b 100MS/s 1.13mW {SAR} {ADC} with binary-scaled error compensation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {386--387}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433970}, doi = {10.1109/ISSCC.2010.5433970}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiuCHLHHBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsiehLYCWCH10, author = {Chun{-}Ko Hsieh and I{-}Ling Liu and Neng{-}Hao Yu and Yueh{-}Hsuan Chiang and Hsiang{-}Tao Wu and Ying{-}Jui Chen and Yi{-}Ping Hung}, editor = {Alberto Del Bimbo and Shih{-}Fu Chang and Arnold W. M. Smeulders}, title = {Yongzheng emperor's interactive tabletop: seamless multimedia system in a museum context}, booktitle = {Proceedings of the 18th International Conference on Multimedia 2010, Firenze, Italy, October 25-29, 2010}, pages = {1453--1456}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1873951.1874242}, doi = {10.1145/1873951.1874242}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/HsiehLYCWCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/TsaiLKCSCL10, author = {Chun{-}Yu Tsai and Hung{-}Jung Lin and Tzu{-}Hao Kuo and Kai{-}Yin Cheng and I{-}Chao Shen and Bing{-}Yu Chen and Rung{-}Huei Liang}, editor = {Cindy Grimm}, title = {MusicSpace: you "play" the music}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2010, Los Angeles, California, USA, July 26-30, 2010, Poster Proceedings}, pages = {91:1}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1836845.1836943}, doi = {10.1145/1836845.1836943}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/TsaiLKCSCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/DongLWGC10, author = {Wei Dong and Yunhao Liu and Xiaofan Wu and Lin Gu and Chun Chen}, editor = {Vishal Misra and Paul Barford and Mark S. Squillante}, title = {Elon: enabling efficient and long-term reprogramming for wireless sensor networks}, booktitle = {{SIGMETRICS} 2010, Proceedings of the 2010 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, New York, New York, USA, 14-18 June 2010}, pages = {49--60}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1811039.1811046}, doi = {10.1145/1811039.1811046}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmetrics/DongLWGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1004-2338, author = {Chun{-}Cheng Lin and Hsu{-}Chun Yen and Sheung{-}Hung Poon and Jia{-}Hao Fan}, title = {Complexity Analysis of Balloon Drawing for Rooted Trees}, journal = {CoRR}, volume = {abs/1004.2338}, year = {2010}, url = {http://arxiv.org/abs/1004.2338}, eprinttype = {arXiv}, eprint = {1004.2338}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1004-2338.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinWYTT09, author = {Chu{-}Cheng Lin and Yu{-}Chun Wang and Chih{-}Hao Yeh and Wei{-}Chi Tsai and Richard Tzong{-}Han Tsai}, title = {Learning weights for translation candidates in Japanese-Chinese information retrieval}, journal = {Expert Syst. Appl.}, volume = {36}, number = {4}, pages = {7695--7699}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.09.004}, doi = {10.1016/J.ESWA.2008.09.004}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LinWYTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZhengZCW09, author = {Li{-}Gang Zheng and Hao Zhou and Ke{-}Fa Cen and Chun{-}Lin Wang}, title = {A comparative study of optimization algorithms for low NOx combustion modification at a coal-fired utility boiler}, journal = {Expert Syst. Appl.}, volume = {36}, number = {2}, pages = {2780--2793}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.01.088}, doi = {10.1016/J.ESWA.2008.01.088}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ZhengZCW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informs/LiLHH09, author = {Han{-}Lin Li and Hao{-}Chun Lu and Chia{-}Hui Huang and Nian{-}Ze Hu}, title = {A Superior Representation Method for Piecewise Linear Functions}, journal = {{INFORMS} J. Comput.}, volume = {21}, number = {2}, pages = {314--321}, year = {2009}, url = {https://doi.org/10.1287/ijoc.1080.0294}, doi = {10.1287/IJOC.1080.0294}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informs/LiLHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/LiL09, author = {Han{-}Lin Li and Hao{-}Chun Lu}, title = {Global Optimization for Generalized Geometric Programs with Mixed Free-Sign Variables}, journal = {Oper. Res.}, volume = {57}, number = {3}, pages = {701--713}, year = {2009}, url = {https://doi.org/10.1287/opre.1080.0586}, doi = {10.1287/OPRE.1080.0586}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/LiL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/LinLLPL09, author = {Ying{-}Dar Lin and Chun{-}Nan Lu and Yuan{-}Cheng Lai and Wei{-}Hao Peng and Po{-}Ching Lin}, title = {Application classification using packet size distribution and port association}, journal = {J. Netw. Comput. Appl.}, volume = {32}, number = {5}, pages = {1023--1030}, year = {2009}, url = {https://doi.org/10.1016/j.jnca.2009.03.001}, doi = {10.1016/J.JNCA.2009.03.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/LinLLPL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChenHHLCYLYLWCL09, author = {Chun{-}Hao Chen and Rong{-}Zhang Hwang and Long{-}Sun Huang and Shi{-}Ming Lin and Hsiao{-}Chin Chen and Yu{-}Che Yang and Yu{-}Tso Lin and Shih{-}An Yu and Yo{-}Sheng Lin and Yiao{-}Hong Wang and Nai{-}Kuan Chou and Shey{-}Shi Lu}, title = {A Wireless Bio-MEMS Sensor for C-Reactive Protein Detection Based on Nanomechanics}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {56}, number = {2}, pages = {462--470}, year = {2009}, url = {https://doi.org/10.1109/TBME.2008.2003262}, doi = {10.1109/TBME.2008.2003262}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChenHHLCYLYLWCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChangLTHH09, author = {Chung{-}Hao Chang and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hua{-}Min Huang and Lih{-}Hsing Hsu}, title = {The super spanning connectivity and super spanning laceability of the enhanced hypercubes}, journal = {J. Supercomput.}, volume = {48}, number = {1}, pages = {66--87}, year = {2009}, url = {https://doi.org/10.1007/s11227-008-0206-0}, doi = {10.1007/S11227-008-0206-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChangLTHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/HongLCO09, author = {Tzung{-}Pei Hong and Wei{-}Tee Lin and Chun{-}Hao Chen and Chen{-}Sen Ouyang}, editor = {Ngoc Thanh Nguyen and Huynh Phan Nguyen and Adam Grzech}, title = {Learning Membership Functions in Takagi-Sugeno Fuzzy Systems by Genetic Algorithms}, booktitle = {First Asian Conference on Intelligent Information and Database Systems, {ACIIDS} 2009, Dong hoi, Quang binh, Vietnam, April 1-3, 2009}, pages = {301--306}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACIIDS.2009.18}, doi = {10.1109/ACIIDS.2009.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aciids/HongLCO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccsa/HuangLYCK09, author = {Chung{-}Ming Huang and Chung{-}Wei Lin and Chia{-}Ching Yang and Chung{-}Heng Chang and Hao{-}Hsiang Ku}, editor = {El Mostapha Aboulhamid and Jos{\'{e}} Luis Sevillano}, title = {An {SVC-MDC} video coding scheme using the multi-core parallel programming paradigm for {P2P} video streaming}, booktitle = {The 7th {IEEE/ACS} International Conference on Computer Systems and Applications, {AICCSA} 2009, Rabat, Morocco, May 10-13, 2009}, pages = {919--926}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/AICCSA.2009.5069442}, doi = {10.1109/AICCSA.2009.5069442}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccsa/HuangLYCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuLHH09, author = {Chi{-}An Wu and Ting{-}Hao Lin and Shao{-}Lun Huang and Chung{-}Yang Huang}, editor = {Kazutoshi Wakabayashi}, title = {SAT-controlled redundancy addition and removal: a novel circuit restructuring technique}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {191--196}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796479}, doi = {10.1109/ASPDAC.2009.4796479}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuLHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edutainment/SuCTYCJHHL09, author = {Mu{-}Chun Su and Gwo{-}Dong Chen and Yi{-}Shan Tsai and Ren{-}Hao Yao and Chung{-}Kuang Chou and Yohannes Budiono Jinawi and De{-}Yuan Huang and Yi{-}Zeng Hsieh and Shih{-}Chieh Lin}, editor = {Maiga Chang and Rita Kuo and Kinshuk and Gwo{-}Dong Chen and Michitaka Hirose}, title = {Design of an Interactive Table for Mixed-Reality Learning Environments}, booktitle = {Learning by Playing. Game-based Education System Design and Development, 4th International Conference on E-Learning and Games, Edutainment 2009, Banff, Canada, August 9-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5670}, pages = {489--494}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03364-3\_59}, doi = {10.1007/978-3-642-03364-3\_59}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/edutainment/SuCTYCJHHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ChangCL09, author = {Chung{-}I Chang and Hao{-}En Chueh and Nancy P. Lin}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {Sequential Patterns Mining with Fuzzy Time-Intervals}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {165--169}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.733}, doi = {10.1109/FSKD.2009.733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/ChangCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/HsuehZKWW09, author = {Yu{-}Ling Hsueh and Roger Zimmermann and Wei{-}Shinn Ku and Haojun Wang and Chung{-}Dau Wang}, editor = {Yannis E. Ioannidis and Dik Lun Lee and Raymond T. Ng}, title = {{PLUS:} {A} Message-Efficient Prototype for Location-Based Applications}, booktitle = {Proceedings of the 25th International Conference on Data Engineering, {ICDE} 2009, March 29 2009 - April 2 2009, Shanghai, China}, pages = {1515--1518}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDE.2009.48}, doi = {10.1109/ICDE.2009.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/HsuehZKWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/LinLHCW09, author = {Feng{-}Cheng Lin and Yi{-}Shiou Lee and Chih Hao Hsu and Kuan Yu Chen and Tzu{-}Chun Weng}, title = {Service Component Architecture for Vending Machine System in Cloud Computing Infrastructure}, booktitle = {2009 {IEEE} International Conference on e-Business Engineering, {ICEBE} 2009, Macau, China, 21-23 October 2009}, pages = {591--595}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICEBE.2009.93}, doi = {10.1109/ICEBE.2009.93}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/LinLHCW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/XuWZSG09, author = {Ying Bo Xu and Chun{-}Hao Wang and Paul Zalzal and Oleg Safir and Ling Guan}, editor = {Mohamed S. Kamel and Aur{\'{e}}lio C. Campilho}, title = {Analysis of Human Gait Bilateral Symmetry for Functional Assessment after an Orthopaedic Surgery}, booktitle = {Image Analysis and Recognition, 6th International Conference, {ICIAR} 2009, Halifax, Canada, July 6-8, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5627}, pages = {627--636}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02611-9\_62}, doi = {10.1007/978-3-642-02611-9\_62}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/XuWZSG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interaction/LiuTLL09, author = {Chung{-}Hsin Liu and Po{-}Cheng Teng and Chun{-}Lin Lo and Kuo{-}Hao Lee}, editor = {Sungwon Sohn and Ling Chen and Soonwook Hwang and Kyungeun Cho and Shigeo Kawata and Kyhyun Um and Franz I. S. Ko and Kae{-}Dal Kwack and Jong Hyung Lee and Gang Kou and Kiyoshi Nakamura and Alvis Cheuk M. Fong and Patrick C. M. Ma}, title = {The study of the wireless network DoS attack}, booktitle = {Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human {(ICIS} 2009), Seoul, Korea, 24-26 November 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {403}, pages = {418--421}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1655925.1656002}, doi = {10.1145/1655925.1656002}, timestamp = {Sun, 28 Feb 2021 18:18:34 +0100}, biburl = {https://dblp.org/rec/conf/interaction/LiuTLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuSYJLW09, author = {Chia{-}Hao Hsu and Gang{-}Neng Sung and Tuo{-}Yu Yao and Chun{-}Ying Juan and Yain{-}Reu Lin and Chua{-}Chin Wang}, title = {Low-power 7.2 GHz Complementary All-N-Transistor Logic using 90 nm {CMOS} Technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {389--392}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117767}, doi = {10.1109/ISCAS.2009.5117767}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuSYJLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HuangKL09, author = {Chung{-}Ming Huang and Hao{-}Hsiang Ku and Cheng{-}Wei Lin}, title = {Design and Implementation of a Web2.0-Based Home-Appliances Control Service Platform}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {110--115}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.72}, doi = {10.1109/I-SPAN.2009.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HuangKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Chih{-}Chieh Yang and Shih{-}Hung Lin and Kuo{-}Pin Lan and Chien{-}Hua Wu and Ting{-}Hsun Wei and Chi{-}Chin Lien and Jiun{-}Yuan Wu and Chih{-}Hao Hsiao and Te{-}Wei Chen and Yeh{-}Lin Chu and Guan{-}Yi Lin and Yung{-}Chang Chang and Kung{-}Sheng Lin and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Chien{-}Hung Lin and Yung{-}Teng Lin and Shang{-}Ming Lee and Ya{-}Ching Yang and Yu{-}Lun Cheng and Chen{-}Chia Lee and Ming{-}Shiang Lai and Wen{-}Hua Wu and Ted Hu and Chao{-}Wei Tseng and Chen{-}Yu Hsiao and Wei{-}Liang Lee and Bo{-}Jiun Chen and Pao{-}Cheng Chiu and Shang{-}Ping Chen and Kun{-}Hsien Li and Kuan{-}Hua Chao and Chien{-}Ming Chen and Chuan{-}Cheng Hsiao and Jeffrey Ju and Wei{-}Hung Huang and Chi{-}Hui Wang and Hung{-}Sung Li and Evan Su and Joe Chen}, title = {A multi-format Blu-ray player SoC in 90nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {152--153}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977353}, doi = {10.1109/ISSCC.2009.4977353}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/DongCLBL09, author = {Wei Dong and Chun Chen and Xue Liu and Jiajun Bu and Yunhao Liu}, title = {Dynamic Linking and Loading in Networked Embedded Systems}, booktitle = {{IEEE} 6th International Conference on Mobile Adhoc and Sensor Systems, {MASS} 2009, 12-15 October 2009, Macau (S.A.R.), China}, pages = {554--562}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MOBHOC.2009.5336957}, doi = {10.1109/MOBHOC.2009.5336957}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/DongCLBL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/TsengWWHKLSCLYD09, author = {Yu{-}Chee Tseng and Chin{-}Hao Wu and Fang{-}Jing Wu and Chi{-}Fu Huang and Chung{-}Ta King and Chun{-}Yu Lin and Jang{-}Ping Sheu and Chun{-}Yu Chen and Chi{-}Yuan Lo and Chien{-}Wen Yang and Chi{-}Wen Deng}, editor = {Yu{-}Chee Tseng and Peter Scheuermann and Ralf Hartmut G{\"{u}}ting and Wang{-}Chien Lee and Chung{-}Ta King and Evaggelia Pitoura}, title = {A Wireless Human Motion Capturing System for Home Rehabilitation}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {359--360}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MDM.2009.51}, doi = {10.1109/MDM.2009.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/TsengWWHKLSCLYD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpar/LinLLZ09, author = {Chu{-}Hsing Lin and Chen{-}Yu Lee and Jung{-}Chun Liu and Hao{-}Tian Zuo}, editor = {Ajith Abraham and Azah Kamilah Muda and Nanna Suryana Herman and Siti Mariyam Shamsuddin and Yun{-}Huoy Choo}, title = {Investigations of Factors Affecting the Genetic Algorithm for Shortest Driving Time}, booktitle = {First International Conference of Soft Computing and Pattern Recognition, SoCPaR 2009, Malacca, Malaysia, December 4-7, 2009}, pages = {106--111}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SoCPaR.2009.32}, doi = {10.1109/SOCPAR.2009.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socpar/LinLLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soli/TianLZLW09, author = {Chun hua Tian and Feng Li and Hao Zhang and Tie Liu and Chen Wang}, title = {Rule flow learning: {A} multiple linear classifier algorithm}, booktitle = {2009 {IEEE/INFORMS} International Conference on Service Operations, Logistics and Informatics, {SOLI} 2009, Chicago, IL, USA, July 22-24, 2009}, pages = {718--723}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOLI.2009.5204027}, doi = {10.1109/SOLI.2009.5204027}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soli/TianLZLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssme/JiLZWZZFWY09, author = {Yang Ji and Nan Lin and Fan Zhang and Yangyi Wen and Kui Zhang and Zhuo{-}Hong Zhu and Chunlei Fan and Hao Wang and Kun Yu}, title = {Requirement and Design Analysis for the Post-Trauma Mobile Psychology Service}, booktitle = {2009 {IITA} International Conference on Services Science, Management and Engineering, {SSME} 2009, 11-12 July 2009, Zhangjiajie, China}, pages = {270--273}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SSME.2009.118}, doi = {10.1109/SSME.2009.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssme/JiLZWZZFWY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongQGM08, author = {Bin Song and Hao Qin and Chunfang Guo and Linhua Ma}, title = {Bandwidth Reallocation Strategy for Video Communications on {NGN}}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {9}, pages = {3037--3040}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.9.3037}, doi = {10.1093/IETCOM/E91-B.9.3037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SongQGM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgaa/LinKY08, author = {Chun{-}Cheng Lin and Hao{-}Jen Kao and Hsu{-}Chun Yen}, title = {Many-to-One Boundary Labeling}, journal = {J. Graph Algorithms Appl.}, volume = {12}, number = {3}, pages = {319--356}, year = {2008}, url = {https://doi.org/10.7155/jgaa.00169}, doi = {10.7155/JGAA.00169}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jgaa/LinKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jscic/TengLCHLF08, author = {Chun{-}Hao Teng and Bang{-}Yan Lin and Hung{-}Chun Chang and Hei{-}Chen Hsu and Chien{-}Nan Lin and Ko{-}An Feng}, title = {A Legendre Pseudospectral Penalty Scheme for Solving Time-Domain Maxwell's Equations}, journal = {J. Sci. Comput.}, volume = {36}, number = {3}, pages = {351--390}, year = {2008}, url = {https://doi.org/10.1007/s10915-008-9194-8}, doi = {10.1007/S10915-008-9194-8}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jscic/TengLCHLF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/YangYH08, author = {Lin{-}An Yang and Chun{-}Li Yu and Yue Hao}, title = {A new model of subthreshold swing for sub-100 nm MOSFETs}, journal = {Microelectron. Reliab.}, volume = {48}, number = {3}, pages = {342--347}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2007.06.007}, doi = {10.1016/J.MICROREL.2007.06.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/YangYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LinCWCHK08, author = {Chung{-}Yen Lin and Chia{-}Hao Chin and Hsin{-}Hung Wu and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko}, title = {Hubba: hub objects analyzer - a framework of interactome hubs identification for network biology}, journal = {Nucleic Acids Res.}, volume = {36}, number = {Web-Server-Issue}, pages = {438--443}, year = {2008}, url = {https://doi.org/10.1093/nar/gkn257}, doi = {10.1093/NAR/GKN257}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LinCWCHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LinHCHW08, author = {Shu{-}Yen Lin and Chun{-}Hsiang Huang and Chih{-}Hao Chao and Keng{-}Hsien Huang and An{-}Yeu Wu}, title = {Traffic-Balanced Routing Algorithm for Irregular Mesh-Based On-Chip Networks}, journal = {{IEEE} Trans. Computers}, volume = {57}, number = {9}, pages = {1156--1168}, year = {2008}, url = {https://doi.org/10.1109/TC.2008.60}, doi = {10.1109/TC.2008.60}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LinHCHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiaoLCYLC08, author = {Chun{-}Hao Liao and Jun{-}Wei Lin and Yen{-}Shuo Chang and Ching{-}Hao Yu and Chun{-}Hao Liu and Tzi{-}Dar Chiueh}, title = {An {IP} generator for quasi-cyclic {LDPC} convolutional code decoders}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746354}, doi = {10.1109/APCCAS.2008.4746354}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiaoLCYLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/TsangLC08, author = {Po{-}Hao Tsang and Frank Yeong{-}Sung Lin and Chun{-}Wei Chen}, title = {Maximization of Network Survival Time in the Event of Intelligent and Malicious Attacks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2008, Beijing, China, 19-23 May 2008}, pages = {1722--1726}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICC.2008.331}, doi = {10.1109/ICC.2008.331}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/TsangLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/LinCHCL08, author = {Kuang{-}Hao Lin and Robert C. Chang and Alex Chien{-}Lin Huang and Feng{-}Chi Chen and Shih{-}Chun Lin}, title = {Implementation of {QR} decomposition for {MIMO-OFDM} detection systems}, booktitle = {15th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2008, St. Julien's, Malta, August 31 2008-September 3, 2008}, pages = {57--60}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICECS.2008.4674790}, doi = {10.1109/ICECS.2008.4674790}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/LinCHCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangG08, author = {Chun{-}Hao Wang and Ling Guan}, title = {Graph cut video object segmentation using histogram of oriented gradients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {2590--2593}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541986}, doi = {10.1109/ISCAS.2008.4541986}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isi/ChenCYW08, author = {Chung{-}Hao Chen and TeYu Chien and Wen{-}Chao Yang and Che{-}Yen Wen}, title = {Restoration of linear motion and out-of-focus blurred images in surveillance systems}, booktitle = {{IEEE} International Conference on Intelligence and Security Informatics, {ISI} 2008, Taipei, Taiwan, June 17-20, 2008, Proceedings}, pages = {239--241}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISI.2008.4565067}, doi = {10.1109/ISI.2008.4565067}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isi/ChenCYW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/HuangCKHL08, author = {Po{-}Chun Huang and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Jen{-}Wei Hsieh and Miller Lin}, title = {The Behavior Analysis of Flash-Memory Storage Systems}, booktitle = {11th {IEEE} International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2008), 5-7 May 2008, Orlando, Florida, {USA}}, pages = {529--534}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISORC.2008.33}, doi = {10.1109/ISORC.2008.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/HuangCKHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/LoPCLL08, author = {Chia{-}Hao Lo and Wen{-}Chih Peng and Chien{-}Wen Chen and Ting{-}Yu Lin and Chun{-}Shuo Lin}, editor = {Xiaofeng Meng and Hui Lei and St{\'{e}}phane Grumbach and Hong Va Leong}, title = {CarWeb: {A} Traffic Data Collection Platform}, booktitle = {9th International Conference on Mobile Data Management {(MDM} 2008), Beijing, China, April 27-30, 2008}, pages = {221--222}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/MDM.2008.26}, doi = {10.1109/MDM.2008.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/LoPCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/ChenHT08, author = {Chun{-}Hao Chen and Tzung{-}Pei Hong and Vincent S. Tseng}, editor = {Tsau Young Lin and Ying Xie and Anita Wasilewska and Churn{-}Jung Liau}, title = {Mining Linguistic Trends from Time Series}, booktitle = {Data Mining: Foundations and Practice}, series = {Studies in Computational Intelligence}, volume = {118}, pages = {49--60}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-78488-3\_3}, doi = {10.1007/978-3-540-78488-3\_3}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/ChenHT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/KungHKL07, author = {Hsu{-}Yang Kung and Chung{-}Ming Huang and Hao{-}Hsiang Ku and Ching{-}Yu Lin}, title = {Efficient multimedia distribution architecture using anycast}, journal = {Comput. Commun.}, volume = {30}, number = {2}, pages = {288--301}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2006.08.022}, doi = {10.1016/J.COMCOM.2006.08.022}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/KungHKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/PanWLLSL07, author = {Wen{-}Ju Pan and Guangyao Wu and Chun{-}Xia Li and Fuchun Lin and Junmo Sun and Hao Lei}, title = {Progressive atrophy in the optic pathway and visual cortex of early blind Chinese adults: {A} voxel-based morphometry magnetic resonance imaging study}, journal = {NeuroImage}, volume = {37}, number = {1}, pages = {212--220}, year = {2007}, url = {https://doi.org/10.1016/j.neuroimage.2007.05.014}, doi = {10.1016/J.NEUROIMAGE.2007.05.014}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/PanWLLSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acc/PolendoQL007, author = {Jason Polendo and Chunjiang Qian and Hao Lei and Wei Lin}, title = {A Dual Observer Method for Global Stabilization of Nonlinear Systems with Limited and Uncertain Information}, booktitle = {American Control Conference, {ACC} 2007, New York, NY, USA, 9-13 July, 2007}, pages = {2600--2605}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ACC.2007.4283089}, doi = {10.1109/ACC.2007.4283089}, timestamp = {Fri, 03 Dec 2021 13:01:26 +0100}, biburl = {https://dblp.org/rec/conf/acc/PolendoQL007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/KaoLY07, author = {Hao{-}Jen Kao and Chun{-}Cheng Lin and Hsu{-}Chun Yen}, editor = {Seok{-}Hee Hong and Kwan{-}Liu Ma}, title = {Many-to-one boundary labeling}, booktitle = {{APVIS} 2007, 6th International Asia-Pacific Symposium on Visualization 2007, Sydney, Australia, 5-7 February 2007}, pages = {65--72}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/APVIS.2007.329277}, doi = {10.1109/APVIS.2007.329277}, timestamp = {Thu, 27 Apr 2023 14:57:18 +0200}, biburl = {https://dblp.org/rec/conf/apvis/KaoLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinOLDL07, author = {Li{-}Chun Lin and Shih{-}Hao Ou and Tay{-}Jyi Lin and Siang{-}Den Deng and Chih{-}Wei Liu}, title = {Single-Issue 1500MIPS Embedded {DSP} with Ultra Compact Codes}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {110--111}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357965}, doi = {10.1109/ASPDAC.2007.357965}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LinOLDL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuLLH07, author = {Chi{-}An Wu and Ting{-}Hao Lin and Chih{-}Chun Lee and Chung{-}Yang Huang}, editor = {Rudy Lauwereins and Jan Madsen}, title = {QuteSAT: a robust circuit-based {SAT} solver for complex circuit structure}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1313--1318}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266653}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/WuLLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HwangYLCHYH07, author = {Sheue{-}Ling Hwang and Yi{-}Jan Yau and Yu{-}Ting Lin and Jun Hao Chen and Tsun{-}Hung Huang and Tzu{-}Chung Yenn and Chong{-}Cheng Hsu}, editor = {Don Harris}, title = {A Mental Workload Predicator Model for the Design of Pre Alarm Systems}, booktitle = {Engineering Psychology and Cognitive Ergonomics, 7th International Conference, {EPCE} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4562}, pages = {316--323}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73331-7\_34}, doi = {10.1007/978-3-540-73331-7\_34}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HwangYLCHYH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WangFDETG07, author = {Chun{-}Hao Wang and Xiaoming Fan and Ming Du and Bruce Elder and Xiaoou Tang and Ling Guan}, title = {Special Effects in Film Making with Object Based Transformations}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {1519--1522}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284951}, doi = {10.1109/ICME.2007.4284951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/WangFDETG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/YehCLCTS07, author = {Ken Yeh and Cheng{-}Wu Chen and Shu{-}Hao Lin and Chen{-}Yuan Chen and Chung{-}Hung Tsai and Jine{-}Lih Shen}, editor = {Hiroshi G. Okuno and Moonis Ali}, title = {Stability Analysis for Nonlinear Systems Subjected to External Force}, booktitle = {New Trends in Applied Artificial Intelligence, 20th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2007, Kyoto, Japan, June 26-29, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4570}, pages = {493--500}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73325-6\_49}, doi = {10.1007/978-3-540-73325-6\_49}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/YehCLCTS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/FanLLY07, author = {Jia{-}Hao Fan and Chun{-}Cheng Lin and Hsueh{-}I Lu and Hsu{-}Chun Yen}, editor = {Takeshi Tokuyama}, title = {Width-Optimal Visibility Representations of Plane Graphs}, booktitle = {Algorithms and Computation, 18th International Symposium, {ISAAC} 2007, Sendai, Japan, December 17-19, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4835}, pages = {160--171}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77120-3\_16}, doi = {10.1007/978-3-540-77120-3\_16}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/isaac/FanLLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LinYJLCL07, author = {Fuchun Lin and Chunshui Yu and Tianzi Jiang and Kuncheng Li and Piu Chan and Hao Lei}, title = {Discriminative Analysis of Neuromyelitis Optica Using Twodimensional Histogram from Diffusion Tensor Imaging}, booktitle = {Proceedings of the 2007 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Washington, DC, USA, April 12-16, 2007}, pages = {752--755}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISBI.2007.356961}, doi = {10.1109/ISBI.2007.356961}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LinYJLCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbra/ChangCHTLZWCP07, author = {Margaret Dah{-}Tsyr Chang and Hao{-}Teng Chang and Rong{-}Yuan Huang and Wen{-}Shyong Tzou and Chih{-}Hong Liu and Wei{-}Jun Zhung and Hsien{-}Wei Wang and Chun{-}Tien Chang and Tun{-}Wen Pai}, editor = {Ion I. Mandoiu and Alexander Zelikovsky}, title = {A Database for Prediction of Unique Peptide Motifs as Linear Epitopes}, booktitle = {Bioinformatics Research and Applications, Third International Symposium, {ISBRA} 2007, Atlanta, GA, USA, May 7-10, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4463}, pages = {430--440}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72031-7\_39}, doi = {10.1007/978-3-540-72031-7\_39}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/isbra/ChangCHTLZWCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCSYLCCYLCWG07, author = {Hsiu{-}Cheng Chang and Jia{-}Wei Chen and Ching{-}Lung Su and Yao{-}Chang Yang and Yao Li and Chun{-}Hao Chang and Ze{-}Min Chen and Wei{-}Sen Yang and Chien{-}Chang Lin and Ching{-}Wen Chen and Jinn{-}Shyan Wang and Jiun{-}In Guo}, title = {A 7mW-to-183mW Dynamic Quality-Scalable {H.264} Video Encoder Chip}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {280--603}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373403}, doi = {10.1109/ISSCC.2007.373403}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCSYLCCYLCWG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/FanWHHHCZL07, author = {Shih{-}Chen Fan and Ming{-}Hui Wen and Chun{-}Tang Hsu and Chung{-}Hao Hung and Shang Hwa Hsu and Ming{-}Chuen Chuang and John Kar{-}Kin Zao and Chin{-}Teng Lin}, title = {Health pal: a {PDA} phone that will take care of your health}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {3703--3708}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4414196}, doi = {10.1109/ICSMC.2007.4414196}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/FanWHHHCZL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HungLH07, author = {Hsing{-}Chih Hung and Ting{-}Hao Lin and Chung{-}Yang Huang}, title = {QuteIP: An {IP} qualification framework for System on Chip}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {237--240}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545466}, doi = {10.1109/SOCC.2007.4545466}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/HungLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChangHL06, author = {Robert Chen{-}Hao Chang and Po{-}Chung Hung and Hsin{-}Lei Lin}, title = {Low Power Energy Recovery Complementary Pass-Transistor Logic}, journal = {J. Circuits Syst. Comput.}, volume = {15}, number = {4}, pages = {491--504}, year = {2006}, url = {https://doi.org/10.1142/S0218126606003271}, doi = {10.1142/S0218126606003271}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/ChangHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LinLC06, author = {Chun{-}Li Lin and Yu{-}Hao Lin and Alvin Chao{-}Yu Chen}, title = {Buttressing angle of the double-plating fixation of a distal radius fracture: a finite element study}, journal = {Medical Biol. Eng. Comput.}, volume = {44}, number = {8}, pages = {665--673}, year = {2006}, url = {https://doi.org/10.1007/s11517-006-0082-9}, doi = {10.1007/S11517-006-0082-9}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/LinLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinH06, author = {Bor{-}Ren Lin and Chun{-}Hao Huang}, title = {Implementation of a Three-Phase Capacitor-Clamped Active Power Filter Under Unbalanced Condition}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {53}, number = {5}, pages = {1621--1630}, year = {2006}, url = {https://doi.org/10.1109/TIE.2006.881991}, doi = {10.1109/TIE.2006.881991}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LinH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/LinTCTL06, author = {Frank Yeong{-}Sung Lin and Po{-}Hao Tsang and C.{-}H. Chen and Chung{-}Lien Tseng and Y.{-}L. Lin}, title = {Evaluation of Network Robustness for Given Defense Resource Allocation Strategies}, booktitle = {Proceedings of the The First International Conference on Availability, Reliability and Security, {ARES} 2006, The International Dependability Conference - Bridging Theory and Practice, April 20-22 2006, Vienna University of Technology, Austria}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ARES.2006.61}, doi = {10.1109/ARES.2006.61}, timestamp = {Sat, 17 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEares/LinTCTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PanCHCLCLLHWLLTYMCCPHCH06, author = {Jyh{-}Shin Pan and Hao{-}Cheng Chen and Bing{-}Yu Hsieh and Hong{-}Ching Chen and Roger Lee and Ching{-}Ho Chu and Yuan{-}Chin Liu and Chuan Liu and Lily Huang and Chang{-}Long Wu and Meng{-}Hsueh Lin and Chun{-}Yiu Lin and Shang{-}Nien Tsai and Jenn{-}Ning Yang and Chang{-}Po Ma and Yung Cheng and Shu{-}Hung Chou and Hsiu{-}Chen Peng and Peng{-}Chuan Huang and Benjamin Chiu and Alex Ho}, editor = {Ellen Sentovich}, title = {A {CMOS} SoC for 56/18/16 CD/DVD-dual/RAM applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {290--291}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146985}, doi = {10.1145/1146909.1146985}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PanCHCLCLLHWLLTYMCCPHCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChangLCH06, author = {Chun{-}Yuan Chang and Tsungnan Lin and Din{-}Yuen Chan and Shih{-}Hao Hung}, title = {A Low Complexity Rate-Distortion Source Modeling Framework}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {929--932}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1660496}, doi = {10.1109/ICASSP.2006.1660496}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChangLCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenYPX06, author = {Guan{-}Hao Chen and Chun{-}Ling Yang and Lai{-}Man Po and Sheng{-}Li Xie}, title = {Edge-Based Structural Similarity for Image Quality Assessment}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {933--936}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1660497}, doi = {10.1109/ICASSP.2006.1660497}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenYPX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenYX06, author = {Guan{-}Hao Chen and Chun{-}Ling Yang and Sheng{-}Li Xie}, title = {Gradient-Based Structural Similarity for Image Quality Assessment}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2006, October 8-11, Atlanta, Georgia, {USA}}, pages = {2929--2932}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICIP.2006.313132}, doi = {10.1109/ICIP.2006.313132}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenYX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenCLYGW06, author = {Jia{-}Wei Chen and Chun{-}Hao Chang and Chien{-}Chang Lin and Yi{-}Huan Yang and Jiun{-}In Guo and Jinn{-}Shyan Wang}, title = {A Condition-based Intra Prediction Algorithm for {H.264/AVC}}, booktitle = {Proceedings of the 2006 {IEEE} International Conference on Multimedia and Expo, {ICME} 2006, July 9-12 2006, Toronto, Ontario, Canada}, pages = {1077--1080}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICME.2006.262721}, doi = {10.1109/ICME.2006.262721}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenCLYGW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WangWLETG06, author = {Chun{-}Hao Wang and Yongjin Wang and Meifeng Lian and Bruce Elder and Xiaoou Tang and Ling Guan}, title = {Special Effects in Film/Video Making: {A} New Media Initiative Project}, booktitle = {Proceedings of the 2006 {IEEE} International Conference on Multimedia and Expo, {ICME} 2006, July 9-12 2006, Toronto, Ontario, Canada}, pages = {1049--1052}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICME.2006.262714}, doi = {10.1109/ICME.2006.262714}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/WangWLETG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imecs/LeeSWLYL06, author = {Jiann{-}Shu Lee and Yung{-}Nien Sun and Chwin{-}Min Weng and Chii{-}Jeng Lin and Shih{-}Hao Yeh and Hung{-}Chun Lee}, editor = {Sio Iong Ao and Jeong{-}A Lee and Oscar Castillo and Pranay Chaudhuri and David Dagan Feng}, title = {A Biplane-Based Approach to Knee Joint Reconstruction without Calibration}, booktitle = {Proceedings of the International MultiConference of Engineers and Computer Scientists 2006, {IMECS} '06, June 20-22, 2006, Hong Kong, China}, series = {Lecture Notes in Engineering and Computer Science}, pages = {595--598}, publisher = {Newswood Limited}, year = {2006}, timestamp = {Wed, 12 Sep 2018 01:05:12 +0200}, biburl = {https://dblp.org/rec/conf/imecs/LeeSWLYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCMLLLLHL06, author = {Lei{-}Fone Chen and Yuan Chen and Lu{-}Chung Chien and Ying{-}Hao Ma and Chia{-}Hao Lee and Yu{-}Wei Lin and Chien{-}Ching Lin and Hsuan{-}Yu Liu and Terng{-}Yin Hsu and Chen{-}Yi Lee}, title = {A 1.8V 250mW {COFDM} baseband receiver for {DVB-T/H} applications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1002--1011}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696142}, doi = {10.1109/ISSCC.2006.1696142}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCMLLLLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenHHLCYLYWCL06, author = {Chun{-}Hao Chen and Rong{-}Zhang Hwang and Long{-}Sun Huang and Shi{-}Ming Lin and Hsiao{-}Chin Chen and Yu{-}Che Yang and Yu{-}Tso Lin and Shih{-}An Yu and Yo{-}Sheng Lin and Yiao{-}Hong Wang and Nai{-}Kuan Chou and Shey{-}Shi Lu}, title = {A Wireless Bio-MEMS Sensor for C-Reactive Protein Detection Based on Nanomechanics}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {2298--2307}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696292}, doi = {10.1109/ISSCC.2006.1696292}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenHHLCYLYWCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PanHCCHCTCLCLHL06, author = {Jyh{-}Shin Pan and Tse{-}Hsiang Hsu and Hao{-}Cheng Chen and Jong{-}Woei Chen and Bing{-}Yu Hsieh and Hong{-}Ching Chen and Wei{-}Hsuan Tu and Chi{-}Ming Chang and Roger Lee and Ching{-}Ho Chu and Yuan{-}Chin Liu and Chuan{-}Cheng Hsiao and Chuan Liu and Lily Huang and Chia{-}Hua Chou and Chang{-}Long Wu and Meng{-}Hsueh Lin and Shang{-}Ping Chen and Brian Liu and Heng{-}Shou Hsu and Chun{-}Yiu Lin and Shang{-}Nien Tsai and Jenn{-}Ning Yang and Sean Chien and Kuan{-}Hua Chao and Chang{-}Po Ma and Yung Cheng and Shu{-}Hung Chou and Yih{-}Shin Weng and Ming{-}Shiam Tsai and Kun{-}Hung Hsieh and Kuang{-}Jung Chang and Jin{-}Chuan Hsu and Hsiu{-}Chen Peng and Alex Ho}, title = {Fully Integrated {CMOS} SoC for 56/18/16 CD/DVD-dual/RAM Applications with On-Chip 4-LVDS Channel {WSG} and 1.5Gb/s {SATA} {PHY}}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1022--1031}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696144}, doi = {10.1109/ISSCC.2006.1696144}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PanHCCHCTCLCLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/YangLCT06, author = {Chao{-}Tung Yang and Chun{-}Sheng Liao and Ping{-}I Chen and Hao{-}Yu Tung}, title = {A Information Monitoring and Job Scheduling System for Multiple Linux {PC} Clusters}, booktitle = {Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2006), 4-7 December 2006, Taipei, Taiwan}, pages = {578--582}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PDCAT.2006.8}, doi = {10.1109/PDCAT.2006.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/YangLCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.