Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Chien-Chung Huang"
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/DaiWSWLLCLCC24, author = {Pei{-}Yu Dai and Yu{-}Cheng Wu and Ruey{-}Kai Sheu and Chieh{-}Liang Wu and Shu{-}Fang Liu and Pei{-}Yi Lin and Wei{-}Lin Cheng and Guan{-}Yin Lin and Huang{-}Chien Chung and Lun{-}Chi Chen}, title = {An automated {ICU} agitation monitoring system for video streaming using deep learning classification}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {77}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02479-2}, doi = {10.1186/S12911-024-02479-2}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/DaiWSWLLCLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/DengHHW24, author = {Juinn{-}Horng Deng and Xiang{-}He Huang and Chung{-}Lien Ho and Yu{-}Chien Wu}, title = {Design of Calibration Algorithms for Fully-Activated Millimeter-Wave Phased Array Antennas}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {13--18}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471908}, doi = {10.23919/ICACT60172.2024.10471908}, timestamp = {Wed, 10 Apr 2024 17:19:24 +0200}, biburl = {https://dblp.org/rec/conf/icact/DengHHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/HuangAY24, author = {Chien{-}Chung Huang and Nidia Obscura Acosta and Sorrachai Yingchareonthawornchai}, editor = {Jens Vygen and Jaroslaw Byrka}, title = {An {FPTAS} for Connectivity Interdiction}, booktitle = {Integer Programming and Combinatorial Optimization - 25th International Conference, {IPCO} 2024, Wroc{\l}aw, Poland, July 3-5, 2024, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14679}, pages = {210--223}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-59835-7\_16}, doi = {10.1007/978-3-031-59835-7\_16}, timestamp = {Tue, 04 Jun 2024 15:39:41 +0200}, biburl = {https://dblp.org/rec/conf/ipco/HuangAY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/HuangS24, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, editor = {David P. Woodruff}, title = {Robust Sparsification for Matroid Intersection with Applications}, booktitle = {Proceedings of the 2024 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2024, Alexandria, VA, USA, January 7-10, 2024}, pages = {2916--2940}, publisher = {{SIAM}}, year = {2024}, url = {https://doi.org/10.1137/1.9781611977912.104}, doi = {10.1137/1.9781611977912.104}, timestamp = {Thu, 04 Apr 2024 19:38:10 +0200}, biburl = {https://dblp.org/rec/conf/soda/HuangS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinHHC23, author = {Faa{-}Jeng Lin and Ming{-}Shi Huang and Chung{-}Yu Hung and Yu{-}Chen Chien}, title = {Intelligent Computed Torque Control With Recurrent Legendre Fuzzy Neural Network for Permanent-Magnet Assisted Synchronous Reluctance Motor}, journal = {{IEEE} Access}, volume = {11}, pages = {54017--54028}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3279275}, doi = {10.1109/ACCESS.2023.3279275}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinHHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/HsuHSHCCWCLCLTLCL23, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Ling Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {A dual-purpose deep learning model for auscultated lung and tracheal sound analysis based on mixed set training}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {B}}, pages = {105222}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105222}, doi = {10.1016/J.BSPC.2023.105222}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/HsuHSHCCWCLCLTLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/NguyenHCC23, author = {Tran Hong Van Nguyen and Pei{-}Min Huang and Chen Fu Chien and Chung{-}Kai Chang}, title = {Digital transformation for cost estimation system via \emph{meta}-learning and an empirical study in aerospace industry}, journal = {Comput. Ind. Eng.}, volume = {184}, pages = {109558}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109558}, doi = {10.1016/J.CIE.2023.109558}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/NguyenHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcg/HuangMMV23, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Jens Vygen}, title = {Approximating Maximum Integral Multiflows on Bounded Genus Graphs}, journal = {Discret. Comput. Geom.}, volume = {70}, number = {4}, pages = {1266--1291}, year = {2023}, url = {https://doi.org/10.1007/s00454-023-00552-7}, doi = {10.1007/S00454-023-00552-7}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcg/HuangMMV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/TsaiLMCLHCCH23, author = {Wei{-}Chun Tsai and Chung{-}Feng Liu and Yu{-}Shan Ma and Chia{-}Jung Chen and Hung{-}Jung Lin and Chien{-}Chin Hsu and Julie Chi Chow and Yu{-}Wen Chien and Chien{-}Cheng Huang}, title = {Real-time artificial intelligence system for bacteremia prediction in adult febrile emergency department patients}, journal = {Int. J. Medical Informatics}, volume = {178}, pages = {105176}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105176}, doi = {10.1016/J.IJMEDINF.2023.105176}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/TsaiLMCLHCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/HsuHSTWLLL23, author = {Pi{-}Shan Hsu and Chien{-}Chung Huang and Wei{-}Ying Sung and Han{-}Ying Tsai and Zih{-}Xin Wu and Ting{-}Yu Lin and Kuo{-}Ping Lin and Gia{-}Shie Liu}, title = {Optimal adaptive neuro-fuzzy inference system with biogeography-based optimization for numbers of {COVID-19} vaccination prediction}, journal = {J. Intell. Fuzzy Syst.}, volume = {45}, number = {3}, pages = {4639--4650}, year = {2023}, url = {https://doi.org/10.3233/JIFS-231165}, doi = {10.3233/JIFS-231165}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/HsuHSTWLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChenWCCHL23, author = {Yi{-}Yuan Chen and Kuochen Wang and Hao{-}Wei Chung and Chien{-}Chih Chen and Bohau Huang and I{-}Wei Lu}, title = {Multi-Person Pose Estimation using an Ordinal Depth-Guided Convolutional Neural Network}, journal = {J. Inf. Sci. Eng.}, volume = {39}, number = {6}, pages = {1403--1420}, year = {2023}, url = {http://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=195\_2639}, timestamp = {Sat, 11 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jise/ChenWCCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Tianlong Pan and Chuan{-}Jia Jhang and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 8-b-Precision 6T {SRAM} Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {877--892}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3199077}, doi = {10.1109/JSSC.2022.3199077}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SheuLPHPCH23, author = {Ruey{-}Kai Sheu and Yuan{-}Cheng Lin and Mayuresh Sunil Pardeshi and Chin{-}Yin Huang and Kai{-}Chih Pai and Lun{-}Chi Chen and Chien{-}Chung Huang}, title = {Adaptive Autonomous Protocol for Secured Remote Healthcare Using Fully Homomorphic Encryption (AutoPro-RHC)}, journal = {Sensors}, volume = {23}, number = {20}, pages = {8504}, year = {2023}, url = {https://doi.org/10.3390/s23208504}, doi = {10.3390/S23208504}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SheuLPHPCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/HuangW23, author = {Chien{-}Chung Huang and Justin Ward}, title = {FPT-Algorithms for the {\textbackslash}({\textbackslash}ell{\textbackslash}) -Matchoid Problem with a Coverage Objective}, journal = {{SIAM} J. Discret. Math.}, volume = {37}, number = {2}, pages = {1053--1078}, year = {2023}, url = {https://doi.org/10.1137/21m1442267}, doi = {10.1137/21M1442267}, timestamp = {Sun, 13 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/HuangW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LaiCSLH23, author = {Kuan{-}Ting Lai and Yueh{-}Tsung Chung and Jun{-}Jia Su and Chien{-}Hung Lai and Yu{-}Hsuan Huang}, title = {{AI} Wings: An AIoT Drone System for Commanding ArduPilot UAVs}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {2}, pages = {2213--2224}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3189011}, doi = {10.1109/JSYST.2022.3189011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LaiCSLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/HuangS23, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, title = {Matroid-constrained vertex cover}, journal = {Theor. Comput. Sci.}, volume = {965}, pages = {113977}, year = {2023}, url = {https://doi.org/10.1016/j.tcs.2023.113977}, doi = {10.1016/J.TCS.2023.113977}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/HuangS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/WuCHCHS23, author = {Shin{-}Ting Wu and Liang{-}Chi Chen and Po{-}Chun Huang and Yuan{-}Hao Chang and Chien{-}Chung Ho and Wei{-}Kuan Shih}, title = {WARM-tree: Making Quadtrees Write-efficient and Space-economic on Persistent Memories}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {119:1--119:26}, year = {2023}, url = {https://doi.org/10.1145/3608033}, doi = {10.1145/3608033}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/WuCHCHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ChiouLHC23, author = {Chien{-}Yu Chiou and Kuang{-}Ting Lee and Chun{-}Rong Huang and Pau{-}Choo Chung}, title = {ADMM-SRNet: Alternating Direction Method of Multipliers Based Sparse Representation Network for One-Class Classification}, journal = {{IEEE} Trans. Image Process.}, volume = {32}, pages = {2843--2856}, year = {2023}, url = {https://doi.org/10.1109/TIP.2023.3274488}, doi = {10.1109/TIP.2023.3274488}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ChiouLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/PengLHH23, author = {Cheng{-}Wei Peng and Tai{-}You Lin and Chen{-}Chien Hsu and Sheng{-}Chung Huang}, title = {Enhanced Vision-Based Speed Estimation By Roadside Surveillance Cameras}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {889--890}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315516}, doi = {10.1109/GCCE59613.2023.10315516}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/PengLHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangLLCWLCCHCYLLC23, author = {Shao{-}Chang Huang and Ching{-}Ho Li and Chih{-}Cherng Liao and Jung{-}Tsun Chuang and Chien{-}Wei Wang and Gong{-}Kai Lin and Lin{-}Fan Chen and Chun{-}Chih Chen and Kuan{-}I Ho and Che{-}Hua Chang and Hsiao{-}Ying Yang and Chung{-}Ren Lao and Jian{-}Hsing Lee and Ke{-}Horng Chen}, title = {Optimizing Device Metal Routing Layouts by the Simulation Tool}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {219--220}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226704}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226704}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangLLCWLCCHCYLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/LeeWCCY23, author = {Huang{-}Liang Lee and Jung{-}Hua Wu and Yu{-}Chen Chien and Chia{-}Yun Chung and Wei{-}Chieh Yeh}, title = {Research on the Location Selection of Healing Parks - {A} Case Research of Nantun District, Taichung City, Taiwan}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {391--396}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625739}, doi = {10.1145/3625704.3625739}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/LeeWCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChiouCHC23, author = {Chien{-}Yu Chiou and Wei{-}Li Chen and Chun{-}Rong Huang and Pau{-}Choo Chung}, editor = {Chao{-}Yang Lee and Chun{-}Li Lin and Hsuan{-}Ting Chang}, title = {Image Pseudo Label Consistency Exploitation for Semi-supervised Pathological Tissue Segmentation}, booktitle = {Technologies and Applications of Artificial Intelligence - 28th International Conference, {TAAI} 2023, Yunlin, Taiwan, December 1-2, 2023, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {2074}, pages = {217--226}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-1711-8\_16}, doi = {10.1007/978-981-97-1711-8\_16}, timestamp = {Wed, 03 Apr 2024 15:59:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/ChiouCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04342, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, title = {Matroid-Constrained Vertex Cover}, journal = {CoRR}, volume = {abs/2306.04342}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04342}, doi = {10.48550/ARXIV.2306.04342}, eprinttype = {arXiv}, eprint = {2306.04342}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-16827, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, title = {Robust Sparsification for Matroid Intersection with Applications}, journal = {CoRR}, volume = {abs/2310.16827}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.16827}, doi = {10.48550/ARXIV.2310.16827}, eprinttype = {arXiv}, eprint = {2310.16827}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-16827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/combinatorics/AboulkerAH22, author = {Pierre Aboulker and Guillaume Aubian and Chien{-}Chung Huang}, title = {Vizing's and Shannon's Theorems for Defective Edge Colouring}, journal = {Electron. J. Comb.}, volume = {29}, number = {4}, year = {2022}, url = {https://doi.org/10.37236/11049}, doi = {10.37236/11049}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/combinatorics/AboulkerAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HuangZCHJS22, author = {Hsiu{-}Chuan Huang and Zhi{-}Kai Zhang and Chung{-}Kuan Chen and Wei{-}da Hong and Jui{-}Chien Jao and Shiuhpyng Shieh}, title = {Adaptive Entry Point Discovery for Web Vulnerability Scanning}, journal = {J. Inf. Sci. Eng.}, volume = {38}, number = {1}, pages = {185--205}, year = {2022}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=184\_2495}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HuangZCHJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/HuangK22, author = {Chien{-}Chung Huang and Naonori Kakimura}, title = {Multi-Pass Streaming Algorithms for Monotone Submodular Function Maximization}, journal = {Theory Comput. Syst.}, volume = {66}, number = {1}, pages = {354--394}, year = {2022}, url = {https://doi.org/10.1007/s00224-021-10065-6}, doi = {10.1007/S00224-021-10065-6}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mst/HuangK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/HuangKMY22, author = {Chien{-}Chung Huang and Naonori Kakimura and Simon Mauras and Yuichi Yoshida}, title = {Approximability of Monotone Submodular Function Maximization under Cardinality and Matroid Constraints in the Streaming Model}, journal = {{SIAM} J. Discret. Math.}, volume = {36}, number = {1}, pages = {355--382}, year = {2022}, url = {https://doi.org/10.1137/20m1357317}, doi = {10.1137/20M1357317}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/HuangKMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ChungLXHL22, author = {Yu{-}Chien Chung and Hao{-}Hsiang Lian and Yong{-}Lun Xiao and Chih{-}Tsun Huang and Jing{-}Jia Liou}, title = {Fast DNN-based Mechatronics Prototyping Platform on Robotic Arm Control}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869932}, doi = {10.1109/AICAS54282.2022.9869932}, timestamp = {Fri, 16 Sep 2022 20:28:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ChungLXHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/LiuKYBCHY22, author = {Ling{-}Chung Liu and Yung{-}Han Kao and Tina Chien{-}Wen Yuan and Nanyi Bi and An{-}Nie Chung and Ming{-}Chyi Huang and Chuang{-}Wen You}, editor = {Gary Hsieh and Anthony Tang and Morgan G. Ames and Sharon Ding and Susan R. Fussell and Vera Liao and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and John Tang}, title = {Exploring Challenges and Opportunities in Developing Systems to Improve Shopping Addiction through Social Sensing Technology}, booktitle = {Companion Computer Supported Cooperative Work and Social Computing, {CSCW} 2022, Virtual Event, Taiwan, November 8-22, 2022}, pages = {50--53}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3500868.3559453}, doi = {10.1145/3500868.3559453}, timestamp = {Sat, 30 Sep 2023 09:37:53 +0200}, biburl = {https://dblp.org/rec/conf/cscw/LiuKYBCHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/HuangS22, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, editor = {Shiri Chechik and Gonzalo Navarro and Eva Rotenberg and Grzegorz Herman}, title = {Maximum Weight b-Matchings in Random-Order Streams}, booktitle = {30th Annual European Symposium on Algorithms, {ESA} 2022, September 5-9, 2022, Berlin/Potsdam, Germany}, series = {LIPIcs}, volume = {244}, pages = {68:1--68:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.ESA.2022.68}, doi = {10.4230/LIPICS.ESA.2022.68}, timestamp = {Wed, 28 Feb 2024 00:16:41 +0100}, biburl = {https://dblp.org/rec/conf/esa/HuangS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/ChalermsookHNSS22, author = {Parinya Chalermsook and Chien{-}Chung Huang and Danupon Nanongkai and Thatchaphol Saranurak and Pattara Sukprasert and Sorrachai Yingchareonthawornchai}, editor = {Mikolaj Bojanczyk and Emanuela Merelli and David P. Woodruff}, title = {Approximating k-Edge-Connected Spanning Subgraphs via a Near-Linear Time {LP} Solver}, booktitle = {49th International Colloquium on Automata, Languages, and Programming, {ICALP} 2022, July 4-8, 2022, Paris, France}, series = {LIPIcs}, volume = {229}, pages = {37:1--37:20}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.ICALP.2022.37}, doi = {10.4230/LIPICS.ICALP.2022.37}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalp/ChalermsookHNSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuYTHCWCHLLCP22, author = {Yen{-}Cheng Chiu and Chia{-}Sheng Yang and Shih{-}Hsih Teng and Hsiao{-}Yu Huang and Fu{-}Chun Chang and Yuan Wu and Yu{-}An Chien and Fang{-}Ling Hsieh and Chung{-}Yuan Li and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and Chung{-}Chuan Lo and Win{-}San Khwa and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chieh{-}Pu Lo and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 4Mb {STT-MRAM} Data-Encrypted Near-Memory Computation Macro with a 192GB/s Read-and-Decryption Bandwidth and 25.1-55.1TOPS/W 8b {MAC} for {AI} Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {178--180}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731621}, doi = {10.1109/ISSCC42614.2022.9731621}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiuYTHCWCHLLCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangLHGCKLY22, author = {Yun{-}Han Chang and Fang{-}Jyun Liou and Yu{-}Ming Huang and Wahyu Hendra Gunawan and Chi{-}Wai Chow and Hao{-}Chung Kuo and Yang Liu and Chien{-}Hung Yeh}, title = {High-speed White Light Visible Light Communication {(VLC)} Based on Semipolar {(20-21)} Blue micro-Light Emitting Diode ({\(\mathrm{\mu}\)}-LED)}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748218}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/ChangLHGCKLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChienCKCCHSL22, author = {Tzu{-}Chun Chien and Zih{-}Yuan Ciou and Shih{-}Chun Kao and Chih{-}Hsien Cheng and Kuo{-}Fang Chung and Ding{-}Wei Huang and Tien{-}Tsorng Shih and Gong{-}Ru Lin}, title = {Bias-dependent Chirping Dynamics of Single-arm Encoded Silicon Mach-Zender Interferometric Modulator}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9849986}, doi = {10.23919/OECC/PSC53152.2022.9849986}, timestamp = {Tue, 23 Aug 2022 10:41:19 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChienCKCCHSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/PengHWH22, author = {Cheng{-}Wei Peng and Chen{-}Chien Hsu and Wei{-}Yen Wang and Sheng{-}Chung Huang}, editor = {Jun Jo and Han{-}Lim Choi and Mard{\'{e}} Helbig and Hyondong Oh and Jemin Hwangbo and Chang{-}Hun Lee and Bela Stantic}, title = {Traffic Signal State Broadcasting Over {C-V2X} Communication Technique for Autonomous Shuttle Service}, booktitle = {Robot Intelligence Technology and Applications 7 - Results from the 10th International Conference on Robot Intelligence Technology and Applications, RiTA 2022, Daejeon, South Korea, 7-9 December, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {642}, pages = {245--251}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26889-2\_22}, doi = {10.1007/978-3-031-26889-2\_22}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/PengHWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swat/HuangS22, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, editor = {Artur Czumaj and Qin Xin}, title = {Matroid-Constrained Maximum Vertex Cover: Approximate Kernels and Streaming Algorithms}, booktitle = {18th Scandinavian Symposium and Workshops on Algorithm Theory, {SWAT} 2022, June 27-29, 2022, T{\'{o}}rshavn, Faroe Islands}, series = {LIPIcs}, volume = {227}, pages = {27:1--27:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2022}, url = {https://doi.org/10.4230/LIPIcs.SWAT.2022.27}, doi = {10.4230/LIPICS.SWAT.2022.27}, timestamp = {Thu, 23 Jun 2022 13:34:22 +0200}, biburl = {https://dblp.org/rec/conf/swat/HuangS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TsaiCHLCTLJCHCC22, author = {Chung{-}En Tsai and Chun{-}Yi Cheng and Bo{-}Wei Huang and Hsin{-}Cheng Lin and Tao Chou and Chien{-}Te Tu and Yi{-}Chun Liu and Sun{-}Rong Jan and Yu{-}Rui Chen and Wan{-}Hsuan Hsieh and Kung{-}Ying Chiu and Shee{-}Jier Chueh and Chee Wee Liu}, title = {Nearly Ideal Subthreshold Swing and Delay Reduction of Stacked Nanosheets Using Ultrathin Bodies}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {401--402}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830357}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830357}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/TsaiCHLCTLJCHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-11548, author = {Pierre Aboulker and Guillaume Aubian and Chien{-}Chung Huang}, title = {Vizing's and Shannon's Theorems for defective edge colouring}, journal = {CoRR}, volume = {abs/2201.11548}, year = {2022}, url = {https://arxiv.org/abs/2201.11548}, eprinttype = {arXiv}, eprint = {2201.11548}, timestamp = {Wed, 02 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-11548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-11457, author = {Chao{-}Wei Huang and Kai{-}Chou Yang and Zi{-}Yuan Chen and Hao{-}Chien Cheng and Po{-}Yu Wu and Yu{-}Yang Huang and Chung{-}Kai Hsieh and Geng{-}Zhi Wildsky Fann and Ting{-}Yin Cheng and Ethan Tu and Yun{-}Nung Chen}, title = {Islander: {A} Real-Time News Monitoring and Analysis System}, journal = {CoRR}, volume = {abs/2204.11457}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.11457}, doi = {10.48550/ARXIV.2204.11457}, eprinttype = {arXiv}, eprint = {2204.11457}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-11457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14978, author = {Parinya Chalermsook and Chien{-}Chung Huang and Danupon Nanongkai and Thatchaphol Saranurak and Pattara Sukprasert and Sorrachai Yingchareonthawornchai}, title = {Approximating k-Edge-Connected Spanning Subgraphs via a Near-Linear Time {LP} Solver}, journal = {CoRR}, volume = {abs/2205.14978}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14978}, doi = {10.48550/ARXIV.2205.14978}, eprinttype = {arXiv}, eprint = {2205.14978}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14978.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03863, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, title = {Maximum Weight b-Matchings in Random-Order Streams}, journal = {CoRR}, volume = {abs/2207.03863}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03863}, doi = {10.48550/ARXIV.2207.03863}, eprinttype = {arXiv}, eprint = {2207.03863}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03863.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-01306, author = {Chin{-}Yi Cheng and Chien{-}Yi Yang and Ren{-}Chu Wang and Yi{-}Hsiang Kuo and Hao{-}Chung Cheng and Chung{-}Yang Huang}, title = {Qubit Mapping Toward Quantum Advantage}, journal = {CoRR}, volume = {abs/2210.01306}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.01306}, doi = {10.48550/ARXIV.2210.01306}, eprinttype = {arXiv}, eprint = {2210.01306}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-01306.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangLT21, author = {Jyun{-}Cheng Huang and Chien{-}Sheng Liu and Chung{-}Yu Tsai}, title = {Calibration Procedure of Camera With Multifocus Zoom Lens for Three-Dimensional Scanning System}, journal = {{IEEE} Access}, volume = {9}, pages = {106387--106398}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3100311}, doi = {10.1109/ACCESS.2021.3100311}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuWCLHYPLS21, author = {Chieh{-}Liang Wu and Ming{-}Ju Wu and Lun{-}Chi Chen and Ying{-}Chih Lo and Chien{-}Chung Huang and Hsiu{-}Hui Yu and Mayuresh Sunil Pardeshi and Win{-}Tsung Lo and Ruey{-}Kai Sheu}, title = {{AEP-DLA:} Adverse Event Prediction in Hospitalized Adult Patients Using Deep Learning Algorithms}, journal = {{IEEE} Access}, volume = {9}, pages = {55673--55689}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3070618}, doi = {10.1109/ACCESS.2021.3070618}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuWCLHYPLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuangCCWCHWHH21, author = {Yee{-}Pay Wuang and Yenming J. Chen and Yu{-}Hsien Chiu and Chih{-}Chung Wang and Chiu{-}Ping Chen and Chien{-}Ling Huang and Tang{-}Meng Wu and Tsung{-}Han Hsieh and Wen{-}Hsien Ho}, title = {Effectiveness of Kinesthetic Game-Based Training System in Children With Visual-Perceptual Dysfunction}, journal = {{IEEE} Access}, volume = {9}, pages = {153838--153849}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3128109}, doi = {10.1109/ACCESS.2021.3128109}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WuangCCWCHWHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HuangK21, author = {Chien{-}Chung Huang and Naonori Kakimura}, title = {Improved Streaming Algorithms for Maximizing Monotone Submodular Functions under a Knapsack Constraint}, journal = {Algorithmica}, volume = {83}, number = {3}, pages = {879--902}, year = {2021}, url = {https://doi.org/10.1007/s00453-020-00786-4}, doi = {10.1007/S00453-020-00786-4}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HuangK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/LuTHC21, author = {Yu{-}Sheng Lu and Tsang{-}Shiuan Tsai and Chien{-}Chih Huang and Chung{-}Hsin Cheng}, title = {{PID} auto-tuning for simultaneously fulfilling the requirements of relative stability and steady-state error}, journal = {Artif. Life Robotics}, volume = {26}, number = {2}, pages = {162--168}, year = {2021}, url = {https://doi.org/10.1007/s10015-020-00661-z}, doi = {10.1007/S10015-020-00661-Z}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/alr/LuTHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijira/HuangLCWC21, author = {Kuo{-}Chun Huang and Feng{-}Li Lian and Chien{-}Tung Chen and Chung{-}Hou Wu and Chao{-}Cheng Chen}, title = {A novel solution with rapid Voronoi-based coverage path planning in irregular environment for robotic mowing systems}, journal = {Int. J. Intell. Robotics Appl.}, volume = {5}, number = {4}, pages = {558--575}, year = {2021}, url = {https://doi.org/10.1007/s41315-021-00199-8}, doi = {10.1007/S41315-021-00199-8}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijira/HuangLCWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangHCTTCLYHLKW21, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Li{-}Yang Tang and Yen{-}Fu Tu and Po{-}Chih Chang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design of a Bone-Guided Cochlear Implant Microsystem With Monopolar Biphasic Multiple Stimulations and Evoked Compound Action Potential Acquisition and Its In Vivo Verification}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {3062--3076}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3087629}, doi = {10.1109/JSSC.2021.3087629}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangHCTTCLYHLKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/HuangK21, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Popularity, Mixed Matchings, and Self-Duality}, journal = {Math. Oper. Res.}, volume = {46}, number = {2}, pages = {405--427}, year = {2021}, url = {https://doi.org/10.1287/moor.2020.1063}, doi = {10.1287/MOOR.2020.1063}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/HuangK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinHLLH21, author = {Tzu{-}Wei Lin and Chien{-}Lung Hsu and Tuan{-}Vinh Le and Chung{-}Fu Lu and Bo{-}Yu Huang}, title = {A Smartcard-Based User-Controlled Single Sign-On for Privacy Preservation in 5G-IoT Telemedicine Systems}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2880}, year = {2021}, url = {https://doi.org/10.3390/s21082880}, doi = {10.3390/S21082880}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinHLLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/HuangMMSV21, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Kevin Schewior and Jens Vygen}, title = {An Approximation Algorithm for Fully Planar Edge-Disjoint Paths}, journal = {{SIAM} J. Discret. Math.}, volume = {35}, number = {2}, pages = {752--769}, year = {2021}, url = {https://doi.org/10.1137/20M1319401}, doi = {10.1137/20M1319401}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/HuangMMSV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WengCCSH21, author = {Chien{-}Chang Weng and Pei{-}Yu Chen and Dean Chou and Cho{-}Chiang Shih and Chih{-}Chung Huang}, title = {High Frequency Ultrasound Elastography for Estimating the Viscoelastic Properties of the Cornea Using Lamb Wave Model}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {9}, pages = {2637--2644}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3044066}, doi = {10.1109/TBME.2020.3044066}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/WengCCSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/LiYLCLTHLLKXS21, author = {Chao{-}Chieh Li and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Yu{-}Tso Lin and Tsung{-}Hsien Tsai and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A Compact Transformer-Based Fractional-N {ADPLL} in 10-nm FinFET {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {5}, pages = {1881--1891}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3059484}, doi = {10.1109/TCSI.2021.3059484}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/LiYLCLTHLLKXS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/HuangS21, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, editor = {Mary Wootters and Laura Sanit{\`{a}}}, title = {Semi-Streaming Algorithms for Submodular Function Maximization Under b-Matching Constraint}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2021, August 16-18, 2021, University of Washington, Seattle, Washington, {USA} (Virtual Conference)}, series = {LIPIcs}, volume = {207}, pages = {14:1--14:18}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2021.14}, doi = {10.4230/LIPICS.APPROX/RANDOM.2021.14}, timestamp = {Tue, 21 Sep 2021 09:36:32 +0200}, biburl = {https://dblp.org/rec/conf/approx/HuangS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HuangCSTH21, author = {Po{-}Hsun Huang and Wei{-}Chan Chung and Chau{-}Chyun Sheu and Jong{-}Rung Tsai and Tzu{-}Chien Hsiao}, title = {Is the asynchronous phase of thoracoabdominal movement a novel feature of successful extubation? {A} preliminary result}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {752--756}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629920}, doi = {10.1109/EMBC46164.2021.9629920}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HuangCSTH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/HuangMMV21, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Jens Vygen}, editor = {Nikhil Bansal and Emanuela Merelli and James Worrell}, title = {Approximating Maximum Integral Multiflows on Bounded Genus Graphs}, booktitle = {48th International Colloquium on Automata, Languages, and Programming, {ICALP} 2021, July 12-16, 2021, Glasgow, Scotland (Virtual Conference)}, series = {LIPIcs}, volume = {198}, pages = {80:1--80:18}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.ICALP.2021.80}, doi = {10.4230/LIPICS.ICALP.2021.80}, timestamp = {Tue, 15 Feb 2022 07:54:27 +0100}, biburl = {https://dblp.org/rec/conf/icalp/HuangMMV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChienLHHL21, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {8588--8592}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413880}, doi = {10.1109/ICASSP39728.2021.9413880}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChienLHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLCWWLJH21, author = {Yu{-}Neng Wang and Yun{-}Rong Luo and Po{-}Chun Chien and Ping{-}Lun Wang and Hao{-}Ren Wang and Wan{-}Hsuan Lin and Jie{-}Hong Roland Jiang and Chung{-}Yang (Ric) Huang}, title = {Compatible Equivalence Checking of X-Valued Circuits}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643515}, doi = {10.1109/ICCAD51958.2021.9643515}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLCWWLJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03049, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Chao{-}Jung Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Lichin Chen and Yen{-}Chun Lai and Bi{-}Fang Hsu and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Yi{-}Lin Wu and Tzu{-}Ling Tzeng and Ching{-}Ting Tseng and Yi{-}Tsun Chen and Feipei Lai}, title = {Benchmarking of eight recurrent neural network variants for breath phase and adventitious sound detection on a self-developed open-access lung sound database-HF{\_}Lung{\_}V1}, journal = {CoRR}, volume = {abs/2102.03049}, year = {2021}, url = {https://arxiv.org/abs/2102.03049}, eprinttype = {arXiv}, eprint = {2102.03049}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-04062, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Jack Hsiao and Chung{-}Wei Chen and Feipei Lai}, title = {An Update of a Progressively Expanded Database for Automated Lung Sound Analysis}, journal = {CoRR}, volume = {abs/2102.04062}, year = {2021}, url = {https://arxiv.org/abs/2102.04062}, eprinttype = {arXiv}, eprint = {2102.04062}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-04062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-09679, author = {Chien{-}Chung Huang and Theophile Thiery and Justin Ward}, title = {Improved Multi-Pass Streaming Algorithms for Submodular Maximization with Matroid Constraints}, journal = {CoRR}, volume = {abs/2102.09679}, year = {2021}, url = {https://arxiv.org/abs/2102.09679}, eprinttype = {arXiv}, eprint = {2102.09679}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-09679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-04088, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, journal = {CoRR}, volume = {abs/2103.04088}, year = {2021}, url = {https://arxiv.org/abs/2103.04088}, eprinttype = {arXiv}, eprint = {2103.04088}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-04088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04229, author = {Fu{-}Shun Hsu and Shang{-}Ran Huang and Chang{-}Fu Su and Chien{-}Wen Huang and Yuan{-}Ren Cheng and Chun{-}Chieh Chen and Chun{-}Yu Wu and Chung{-}Wei Chen and Yen{-}Chun Lai and Tang{-}Wei Cheng and Nian{-}Jhen Lin and Wan{-}Lin Tsai and Ching{-}Shiang Lu and Chuan Chen and Feipei Lai}, title = {Improved Breath Phase and Continuous Adventitious Sound Detection in Lung and Tracheal Sound Using Mixed Set Training and Domain Adaptation}, journal = {CoRR}, volume = {abs/2107.04229}, year = {2021}, url = {https://arxiv.org/abs/2107.04229}, eprinttype = {arXiv}, eprint = {2107.04229}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04229.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-13071, author = {Chien{-}Chung Huang and Fran{\c{c}}ois Sellier}, title = {Semi-Streaming Algorithms for Submodular Function Maximization under {\textdollar}b{\textdollar}-Matching Constraint}, journal = {CoRR}, volume = {abs/2107.13071}, year = {2021}, url = {https://arxiv.org/abs/2107.13071}, eprinttype = {arXiv}, eprint = {2107.13071}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-13071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenTTTHXK20, author = {Lung{-}Chien Chen and Ching{-}Ho Tien and Po{-}Wei Tseng and Zong{-}Liang Tseng and Wei{-}Lun Huang and You{-}Xun Xu and Hao{-}Chung Kuo}, title = {Effect of Washing Solvents on the Properties of Air-Synthesized Perovskite CsPbBr\({}_{\mbox{3}}\) Quantum Dots for Quantum Dot-Based Light-Emitting Devices}, journal = {{IEEE} Access}, volume = {8}, pages = {159415--159423}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020594}, doi = {10.1109/ACCESS.2020.3020594}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenTTTHXK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangC20b, author = {Chung{-}Yuan Huang and Wei Chien Benny Chin}, title = {Distinguishing Arc Types to Understand Complex Network Strength Structures and Hierarchical Connectivity Patterns}, journal = {{IEEE} Access}, volume = {8}, pages = {71021--71040}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2986017}, doi = {10.1109/ACCESS.2020.2986017}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangC20b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangCLLCZLKSLL20, author = {Che{-}Hsuan Huang and Shu Hsiu Chang and Been{-}Yu Liaw and Cheng{-}Yi Liu and Chia{-}Yueh Chou and Jia{-}Rou Zhou and Chien{-}Chung Lin and Hao{-}Chung Kuo and Li{-}jun Song and Feng Li and Xinke Liu}, title = {Research on a Novel GaN-Based Converted Mini-LED Backlight Module via a Spectrum-Decouple System}, journal = {{IEEE} Access}, volume = {8}, pages = {138823--138833}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3010026}, doi = {10.1109/ACCESS.2020.3010026}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuangCLLCZLKSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HuangKY20, author = {Chien{-}Chung Huang and Naonori Kakimura and Yuichi Yoshida}, title = {Streaming Algorithms for Maximizing Monotone Submodular Functions Under a Knapsack Constraint}, journal = {Algorithmica}, volume = {82}, number = {4}, pages = {1006--1032}, year = {2020}, url = {https://doi.org/10.1007/s00453-019-00628-y}, doi = {10.1007/S00453-019-00628-Y}, timestamp = {Thu, 19 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HuangKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/WuTCC20, author = {Mu{-}En Wu and Hui{-}Huang Tsai and Wei{-}Ho Chung and Chien{-}Ming Chen}, title = {Analysis of Kelly betting on finite repeated games}, journal = {Appl. Math. Comput.}, volume = {373}, pages = {125028}, year = {2020}, url = {https://doi.org/10.1016/j.amc.2019.125028}, doi = {10.1016/J.AMC.2019.125028}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/WuTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/ParkRKHL20, author = {Chung Hyuk Park and Raquel Ros and Sonya S. Kwak and Chien{-}Ming Huang and S{\'{e}}verin Lemaignan}, title = {Editorial: Towards Real World Impacts: Design, Development, and Deployment of Social Robots in the Wild}, journal = {Frontiers Robotics {AI}}, volume = {7}, pages = {600830}, year = {2020}, url = {https://doi.org/10.3389/frobt.2020.600830}, doi = {10.3389/FROBT.2020.600830}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/ParkRKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangTHLCCLL20, author = {Chun{-}Chung Huang and Tse{-}Hua Tung and Chien{-}Chu Huang and Shao{-}Yi Lin and Shih{-}Chi Chao and Sheng{-}Po Chiu and Shiao{-}Pieng Lee and Chun{-}Min Lo}, title = {Electrochemical Assessment of Anticancer Compounds on the Human Tongue Squamous Carcinoma Cells}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2632}, year = {2020}, url = {https://doi.org/10.3390/s20092632}, doi = {10.3390/S20092632}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangTHLCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ChiouWLHCL20, author = {Chien{-}Yu Chiou and Wei{-}Cheng Wang and Shueh{-}Chou Lu and Chun{-}Rong Huang and Pau{-}Choo Chung and Yun{-}Yang Lai}, title = {Driver Monitoring Using Sparse Representation With Part-Based Temporal Face Descriptors}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {21}, number = {1}, pages = {346--361}, year = {2020}, url = {https://doi.org/10.1109/TITS.2019.2892155}, doi = {10.1109/TITS.2019.2892155}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/ChiouWLHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/HuangTW20, author = {Chien{-}Chung Huang and Theophile Thiery and Justin Ward}, editor = {Jaroslaw Byrka and Raghu Meka}, title = {Improved Multi-Pass Streaming Algorithms for Submodular Maximization with Matroid Constraints}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2020, August 17-19, 2020, Virtual Conference}, series = {LIPIcs}, volume = {176}, pages = {62:1--62:19}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2020.62}, doi = {10.4230/LIPICS.APPROX/RANDOM.2020.62}, timestamp = {Tue, 21 Sep 2021 09:36:24 +0200}, biburl = {https://dblp.org/rec/conf/approx/HuangTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/WangHCLYHLKW20, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Improved Design and In Vivo Animal Tests of Bone-Guided Cochlear Implant Microsystem with Monopolar Biphasic Multiple Stimulation and Neural Action Potential Acquisition}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336120}, doi = {10.1109/A-SSCC48613.2020.9336120}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/WangHCLYHLKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangWKHLY20, author = {Yao{-}Pin Wang and Chi{-}Chih Wen and Chen{-}Chien Kao and Chung{-}Jung Huang and Der{-}Zheng Liu and Chia{-}Hsiang Yang}, title = {Iterative Receiver with a Lattice-Reduction-Aided {MIMO} Detector for {IEEE} 802.11ax}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9322225}, doi = {10.1109/GLOBECOM42002.2020.9322225}, timestamp = {Mon, 01 Feb 2021 08:43:43 +0100}, biburl = {https://dblp.org/rec/conf/globecom/WangWKHLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacs2/HuangHPL20, author = {Chih{-}Chien Huang and Chung{-}Chian Hsu and Yushun Pon and Wenwei Liao}, title = {A Case Study for Clustering Characteristics Evaluation and Clustering Dimension Selection by Using {UCI} News Data}, booktitle = {ICACS'20: 2020 4th International Conference on Algorithms, Computing and Systems, Rabat, Morocco, January, 2020}, pages = {35--39}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3423390.3423401}, doi = {10.1145/3423390.3423401}, timestamp = {Fri, 24 Feb 2023 14:52:18 +0100}, biburl = {https://dblp.org/rec/conf/icacs2/HuangHPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HongWWH20, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang and Chien{-}Lin Huang}, title = {Statistics Pooling Time Delay Neural Network Based on X-Vector for Speaker Verification}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {6849--6853}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9054350}, doi = {10.1109/ICASSP40776.2020.9054350}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HongWWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HongWWH20a, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Hsin{-}Min Wang and Chien{-}Lin Huang}, title = {Combining Deep Embeddings of Acoustic and Articulatory Features for Speaker Identification}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {7589--7593}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053640}, doi = {10.1109/ICASSP40776.2020.9053640}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HongWWH20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/HuangCLPLC20, author = {Yung{-}Fa Huang and Chien{-}Min Chung and Chuan{-}Bi Lin and Yan{-}Bo Peng and Shing{-}Hong Liu and Honda Chen}, title = {Traffic Classification of QoS Types Based on Machine Learning Combined with {IP} Query and Deep Packet Inspection}, booktitle = {14th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2020, Adelaide, Australia, December 14-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSPCS50536.2020.9310061}, doi = {10.1109/ICSPCS50536.2020.9310061}, timestamp = {Fri, 15 Jan 2021 09:21:24 +0100}, biburl = {https://dblp.org/rec/conf/icspcs/HuangCLPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChenHSWHKLLCWCL30, author = {Ting{-}Hui Chen and Chien{-}Ying Huang and Tim Kuei Shia and Sin{-}Jhu Wun and Ching{-}Hsiang Hsu and Kai{-}Ning Ku and Chi{-}Sen Lee and Chen{-}Yu Lin and Po{-}Chih Chang and Chung{-}Chih Wang and Shang{-}Chun Chen and Chien{-}Chung Lin and Chih I. Wu}, title = {A Frequency Digital Pre-Distortion Compensation Method for {FMCW} LiDAR System}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083645}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChenHSWHKLLCWCL30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-01715, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Kevin Schewior and Jens Vygen}, title = {An Approximation Algorithm for Fully Planar Edge-Disjoint Paths}, journal = {CoRR}, volume = {abs/2001.01715}, year = {2020}, url = {http://arxiv.org/abs/2001.01715}, eprinttype = {arXiv}, eprint = {2001.01715}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-01715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-05477, author = {Chien{-}Chung Huang and Naonori Kakimura and Simon Mauras and Yuichi Yoshida}, title = {Approximability of Monotone Submodular Function Maximization under Cardinality and Matroid Constraints in the Streaming Model}, journal = {CoRR}, volume = {abs/2002.05477}, year = {2020}, url = {https://arxiv.org/abs/2002.05477}, eprinttype = {arXiv}, eprint = {2002.05477}, timestamp = {Fri, 14 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-05477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-00575, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Jens Vygen}, title = {Approximating maximum integral multiflows on bounded genus graphs}, journal = {CoRR}, volume = {abs/2005.00575}, year = {2020}, url = {https://arxiv.org/abs/2005.00575}, eprinttype = {arXiv}, eprint = {2005.00575}, timestamp = {Fri, 08 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-00575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-16611, author = {Yu{-}Chen Ho and Yi{-}Hsuan Chen and Shen{-}Hua Hung and Chien{-}Hao Huang and Poga Po and Chung{-}Hsi Chan and Di{-}Kai Yang and Yi{-}Chin Tu and Tyng{-}Luh Liu and Chi{-}Tai Fang}, title = {Social Distancing 2.0 with Privacy-Preserving Contact Tracing to Avoid a Second Wave of {COVID-19}}, journal = {CoRR}, volume = {abs/2006.16611}, year = {2020}, url = {https://arxiv.org/abs/2006.16611}, eprinttype = {arXiv}, eprint = {2006.16611}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-16611.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-06268, author = {Chien{-}Chung Huang and Justin Ward}, title = {FPT-Algorithms for the l-Matchoid Problem with Linear and Submodular Objectives}, journal = {CoRR}, volume = {abs/2011.06268}, year = {2020}, url = {https://arxiv.org/abs/2011.06268}, eprinttype = {arXiv}, eprint = {2011.06268}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-06268.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/hal/Huang19, author = {Chien{-}Chung Huang}, title = {Graph Matching, Matroid Intersection, and Beyond. (Couplage, L'Intersection de Matro{\"{\i}}des, et Au-Del{\`{a}})}, year = {2019}, url = {https://tel.archives-ouvertes.fr/tel-03943603}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/hal/Huang19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/AntoniadisHO19, author = {Antonios Antoniadis and Chien{-}Chung Huang and Sebastian Ott}, title = {A Fully Polynomial-Time Approximation Scheme for Speed Scaling with a Sleep State}, journal = {Algorithmica}, volume = {81}, number = {9}, pages = {3725--3745}, year = {2019}, url = {https://doi.org/10.1007/s00453-019-00596-3}, doi = {10.1007/S00453-019-00596-3}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/AntoniadisHO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HuangTLTHWC19, author = {Yao{-}Kuang Huang and Yuan{-}Hsi Tseng and Chih{-}Hung Lin and Yuan{-}Hsiung Tsai and Yin{-}Chen Hsu and Shih{-}Chung Wang and Chien{-}Wei Chen}, title = {Evaluation of venous pathology of the lower extremities with triggered angiography non-contrast-enhanced magnetic resonance imaging}, journal = {{BMC} Medical Imaging}, volume = {19}, number = {1}, pages = {96}, year = {2019}, url = {https://doi.org/10.1186/s12880-019-0395-4}, doi = {10.1186/S12880-019-0395-4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HuangTLTHWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/YehCHLJ19, author = {Ming{-}Tsung Yeh and Yi{-}Nung Chung and Yu{-}Xian Huang and Chien{-}Wen Lai and Deng{-}Jyi Juang}, title = {Applying adaptive {LS-PIV} with dynamically adjusting detection region approach on the surface velocity measurement of river flow}, journal = {Comput. Electr. Eng.}, volume = {74}, pages = {466--482}, year = {2019}, url = {https://doi.org/10.1016/j.compeleceng.2017.12.013}, doi = {10.1016/J.COMPELECENG.2017.12.013}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/YehCHLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infor/HuangWPL19, author = {Yen{-}Deng Huang and Hui{-}Ming Wee and Yugowati Praharsi and Chien{-}Chung Lo}, title = {An integrated vendor-buyer replenishment policy for deteriorating items with fuzzy environment and resource constraint}, journal = {{INFOR} Inf. Syst. Oper. Res.}, volume = {57}, number = {4}, pages = {667--691}, year = {2019}, url = {https://doi.org/10.1080/03155986.2019.1624472}, doi = {10.1080/03155986.2019.1624472}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infor/HuangWPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/HuangKK19, author = {Chien{-}Chung Huang and Naonori Kakimura and Naoyuki Kamiyama}, title = {Exact and approximation algorithms for weighted matroid intersection}, journal = {Math. Program.}, volume = {177}, number = {1-2}, pages = {85--112}, year = {2019}, url = {https://doi.org/10.1007/s10107-018-1260-x}, doi = {10.1007/S10107-018-1260-X}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mp/HuangKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ChanHCLCC19, author = {Chih{-}Hung Chan and Tze{-}Ta Huang and Chih{-}Yang Chen and Chien{-}Cheng Lee and Man{-}Yee Chan and Pau{-}Choo Chung}, title = {Texture-Map-Based Branch-Collaborative Network for Oral Cancer Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {13}, number = {4}, pages = {766--780}, year = {2019}, url = {https://doi.org/10.1109/TBCAS.2019.2918244}, doi = {10.1109/TBCAS.2019.2918244}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ChanHCLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChengH19, author = {Chien{-}Fu Cheng and Chung{-}Wei Huang}, title = {The harmonized consensus protocol in distributed systems}, journal = {J. Supercomput.}, volume = {75}, number = {11}, pages = {7690--7722}, year = {2019}, url = {https://doi.org/10.1007/s11227-019-02970-y}, doi = {10.1007/S11227-019-02970-Y}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChengH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/HuangMMMM19, author = {Chien{-}Chung Huang and Mathieu Mari and Claire Mathieu and Joseph S. B. Mitchell and Nabil H. Mustafa}, editor = {Dimitris Achlioptas and L{\'{a}}szl{\'{o}} A. V{\'{e}}gh}, title = {Maximizing Covered Area in the Euclidean Plane with Connectivity Constraint}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2019, September 20-22, 2019, Massachusetts Institute of Technology, Cambridge, MA, {USA}}, series = {LIPIcs}, volume = {145}, pages = {32:1--32:21}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2019}, url = {https://doi.org/10.4230/LIPIcs.APPROX-RANDOM.2019.32}, doi = {10.4230/LIPICS.APPROX-RANDOM.2019.32}, timestamp = {Tue, 21 Sep 2021 09:36:24 +0200}, biburl = {https://dblp.org/rec/conf/approx/HuangMMMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AliYPCWHLWHLWTT19, author = {Tamer A. Ali and Ramy Yousry and Henry Park and Ehung Chen and Po{-}Shuan Weng and Yi{-}Chieh Huang and Chun{-}Cheng Liu and Chien{-}Hua Wu and Shih{-}Hao Huang and Chungshi Lin and Ke{-}Chung Wu and Kun{-}Hung Tsai and Kai{-}Wen Tan and Ahmed ElShater and Kuang{-}Ren Chen and Wei{-}Hao Tsai and Huan{-}Sheng Chen and Weiyu Leng and Mazen Soliman}, title = {A 180mW 56Gb/s DSP-Based Transceiver for High Density IOs in Data Center Switches in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {118--120}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662523}, doi = {10.1109/ISSCC.2019.8662523}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AliYPCWHLWHLWTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/ChenTLLHC19, author = {Lien{-}Wu Chen and Chih{-}Cheng Tsao and Chien{-}Chung Li and Yu{-}Chun Lo and Wen{-}Hsiang Huang and Hao Chen}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Demo: All-You-Can-Bike - {A} Street View and Virtual Reality Based Cyber-Physical System for Bikers through IoT Technologies}, booktitle = {The 25th Annual International Conference on Mobile Computing and Networking, MobiCom 2019, Los Cabos, Mexico, October 21-25, 2019}, pages = {60:1--60:3}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3300061.3343367}, doi = {10.1145/3300061.3343367}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/ChenTLLHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/DuWTCLDHLC19, author = {Jieqiong Du and Chien{-}Heng Wong and Yo{-}Hao Tu and Wei{-}Han Cho and Yilei Li and Yuan Du and Po{-}Tsang Huang and Sheau Jiung Lee and Mau{-}Chung Frank Chang}, editor = {Paul Bogdan and Cristina Silvano}, title = {A 7.5-mW 10-Gb/s 16-QAM wireline transceiver with carrier synchronization and threshold calibration for mobile inter-chip communications in 16-nm FinFET}, booktitle = {Proceedings of the 13th {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2019, New York, NY, USA, October 17-18, 2019}, pages = {16:1--16:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3313231.3352381}, doi = {10.1145/3313231.3352381}, timestamp = {Thu, 26 Sep 2019 12:42:42 +0200}, biburl = {https://dblp.org/rec/conf/nocs/DuWTCLDHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuangWWTT19, author = {Wei{-}Hsiang Huang and Chung{-}Wen Wang and Chia{-}Chien Wei and Hidenori Taga and Takehiro Tsuritani}, title = {Improving DML-Based {OFDM} Transmission by a Filter-Aided Neural Network Equalizer}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696990}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HuangWWTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChaoNHLC19, author = {Tzu{-}Yin Chao and Manh{-}Hung Nguyen and Ching{-}Chun Huang and Chien{-}Cheng Liang and Chen{-}Wu Chung}, title = {Online Self-learning for Smart {HVAC} Control}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {4324--4330}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914027}, doi = {10.1109/SMC.2019.8914027}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChaoNHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wads/HuangK19, author = {Chien{-}Chung Huang and Naonori Kakimura}, editor = {Zachary Friggstad and J{\"{o}}rg{-}R{\"{u}}diger Sack and Mohammad R. Salavatipour}, title = {Improved Streaming Algorithms for Maximizing Monotone Submodular Functions Under a Knapsack Constraint}, booktitle = {Algorithms and Data Structures - 16th International Symposium, {WADS} 2019, Edmonton, AB, Canada, August 5-7, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11646}, pages = {438--451}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24766-9\_32}, doi = {10.1007/978-3-030-24766-9\_32}, timestamp = {Wed, 31 Jul 2019 11:02:16 +0200}, biburl = {https://dblp.org/rec/conf/wads/HuangK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/WuangCCCWHWH18, author = {Yee{-}Pay Wuang and Yu{-}Hsien Chiu and Yenming J. Chen and Chiu{-}Ping Chen and Chih{-}Chung Wang and Chien{-}Ling Huang and Tang{-}Meng Wu and Wen{-}Hsien Ho}, title = {Game-Based Auxiliary Training System for improving visual perceptual dysfunction in children with developmental disabilities: {A} proposed design and evaluation}, journal = {Comput. Educ.}, volume = {124}, pages = {27--36}, year = {2018}, url = {https://doi.org/10.1016/j.compedu.2018.05.008}, doi = {10.1016/J.COMPEDU.2018.05.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/WuangCCCWHWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChienCCHWLS18, author = {Tsai{-}Kan Chien and Lih{-}Yih Chiou and Chi{-}Shian Chang and Jing{-}Yu Huang and Chung{-}Han Wu and Heng{-}Yuan Lee and Shyh{-}Shyuan Sheu}, title = {Highly Reliable Two-Step Charge-Pump Read Scheme for 1.5 F\({}^{\mbox{2}}\)/Bit Nonlinear Sub-Teraohm 0TNR Vertical ReRAM}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {9}, pages = {1234--1238}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2017.2778246}, doi = {10.1109/TCSII.2017.2778246}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChienCCHWLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/WangCHCH18, author = {Wei{-}Cheng Wang and Chien{-}Yu Chiou and Chun{-}Rong Huang and Pau{-}Choo Chung and Wei{-}Yun Huang}, title = {Spatiotemporal Coherence-Based Annotation Placement for Surveillance Videos}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {28}, number = {3}, pages = {787--801}, year = {2018}, url = {https://doi.org/10.1109/TCSVT.2016.2629340}, doi = {10.1109/TCSVT.2016.2629340}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/WangCHCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LeeWHCHYTHK18, author = {Chang{-}Shing Lee and Mei{-}Hui Wang and Tzong{-}Xiang Huang and Li{-}Chung Chen and Yung{-}Ching Huang and Sheng{-}Chi Yang and Chien{-}Hsun Tseng and Pi{-}Hsia Hung and Naoyuki Kubota}, title = {Ontology-based Fuzzy Markup Language Agent for Student and Robot Co-Learning}, booktitle = {2018 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FUZZ-IEEE.2018.8491610}, doi = {10.1109/FUZZ-IEEE.2018.8491610}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LeeWHCHYTHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LiaoHSCCLCKTW18, author = {Chia{-}Ning Liao and Yu{-}Ching Huang and Yao{-}Ting Sung and Kuo{-}En Chang and Hsueh Chin Chen and Tzu{-}Chien Liu and Yen{-}Hua Chang and Wen{-}Chung Kao and Chin{-}Chung Tsai and Ming{-}Da Wu}, editor = {Panayiotis Zaphiris and Andri Ioannou}, title = {Electronic Story Book Display Method and Kindergartener Reading Behavior: An Eye-Tracking Investigation}, booktitle = {Learning and Collaboration Technologies. Design, Development and Technological Innovation - 5th International Conference, {LCT} 2018, Held as Part of {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10924}, pages = {147--156}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91743-6\_11}, doi = {10.1007/978-3-319-91743-6\_11}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/LiaoHSCCLCKTW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/HuangCC18, author = {Chien{-}Wen Huang and Chung{-}Kuang Chou and Ming{-}Syan Chen}, editor = {Martin Ester and Dino Pedreschi}, title = {A Salient Ensemble of Trees using Cascaded Linear Classifiers with Feature-Cost Constraints}, booktitle = {Proceedings of the 2018 {SIAM} International Conference on Data Mining, {SDM} 2018, May 3-5, 2018, San Diego Marriott Mission Valley, San Diego, CA, {USA}}, pages = {486--494}, publisher = {{SIAM}}, year = {2018}, url = {https://doi.org/10.1137/1.9781611975321.55}, doi = {10.1137/1.9781611975321.55}, timestamp = {Sun, 13 May 2018 11:47:13 +0200}, biburl = {https://dblp.org/rec/conf/sdm/HuangCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-08650, author = {Chang{-}Shing Lee and Mei{-}Hui Wang and Tzong{-}Xiang Huang and Li{-}Chung Chen and Yung{-}Ching Huang and Sheng{-}Chi Yang and Chien{-}Hsun Tseng and Pi{-}Hsia Hung and Naoyuki Kubota}, title = {Ontology-based Fuzzy Markup Language Agent for Student and Robot Co-Learning}, journal = {CoRR}, volume = {abs/1801.08650}, year = {2018}, url = {http://arxiv.org/abs/1801.08650}, eprinttype = {arXiv}, eprint = {1801.08650}, timestamp = {Tue, 23 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-08650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-06212, author = {Chien{-}Chung Huang and Naonori Kakimura}, title = {Multi-Pass Streaming Algorithms for Monotone Submodular Function Maximization}, journal = {CoRR}, volume = {abs/1802.06212}, year = {2018}, url = {http://arxiv.org/abs/1802.06212}, eprinttype = {arXiv}, eprint = {1802.06212}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-06212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChuHTHWT17, author = {Kuo{-}Chung Chu and Yu{-}Shu Huang and Chien{-}Fu Tseng and Hsin{-}Jou Huang and Chih{-}Huan Wang and Hsin{-}Yi Tai}, title = {Reliability and validity of {DS-ADHD:} {A} decision support system on attention deficit hyperactivity disorders}, journal = {Comput. Methods Programs Biomed.}, volume = {140}, pages = {241--248}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2016.12.003}, doi = {10.1016/J.CMPB.2016.12.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChuHTHWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LinCCWCWHWH17, author = {Hsien{-}Cheng Lin and Yu{-}Hsien Chiu and Yenming J. Chen and Yee{-}Pay Wuang and Chiu{-}Ping Chen and Chih{-}Chung Wang and Chien{-}Ling Huang and Tang{-}Meng Wu and Wen{-}Hsien Ho}, title = {Continued use of an interactive computer game-based visual perception learning system in children with developmental delay}, journal = {Int. J. Medical Informatics}, volume = {107}, pages = {76--87}, year = {2017}, url = {https://doi.org/10.1016/j.ijmedinf.2017.09.003}, doi = {10.1016/J.IJMEDINF.2017.09.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LinCCWCWHWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DuCHLWDKHDLLC17, author = {Yuan Du and Wei{-}Han Cho and Po{-}Tsang Huang and Yilei Li and Chien{-}Heng Wong and Jieqiong Du and Yanghyo Kim and Boyu Hu and Li Du and Chun{-}Chen Liu and Sheau Jiung Lee and Mau{-}Chung Frank Chang}, title = {A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With Forwarded Clock to Enable {PAM-16/256-QAM} and Channel Response Detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {4}, pages = {1111--1122}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2628049}, doi = {10.1109/JSSC.2016.2628049}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DuCHLWDKHDLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/HuangK17, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, title = {New Algorithms for Maximum Weight Matching and a Decomposition Theorem}, journal = {Math. Oper. Res.}, volume = {42}, number = {2}, pages = {411--426}, year = {2017}, url = {https://doi.org/10.1287/moor.2016.0806}, doi = {10.1287/MOOR.2016.0806}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mor/HuangK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuNKMKYH17, author = {Cheng{-}Chien Liu and Ryosuke Nakamura and Ming{-}Hsun Ko and Tomoya Matsuo and Soushi Kato and Hsiao{-}Yuan Yin and Chung{-}Shiou Huang}, title = {Near Real-Time Browsable Landsat-8 Imagery}, journal = {Remote. Sens.}, volume = {9}, number = {1}, pages = {79}, year = {2017}, url = {https://doi.org/10.3390/rs9010079}, doi = {10.3390/RS9010079}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuNKMKYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TakahashiHSWGHT17, author = {Seiji Takahashi and Yi{-}Min Huang and Jhy{-}Jyi Sze and Tung{-}Ting Wu and Fu{-}Sheng Guo and Wei{-}Cheng Hsu and Tung{-}Hsiung Tseng and King Liao and Chin{-}Chia Kuo and Tzu{-}Hsiang Chen and Wei{-}Chieh Chiang and Chun{-}Hao Chuang and Keng{-}Yu Chou and Chi{-}Hsien Chung and Kuo{-}Yu Chou and Chien{-}Hsien Tseng and Chuan{-}Joung Wang and Dun{-}Nien Yaung}, title = {A 45 nm Stacked {CMOS} Image Sensor Process Technology for Submicron Pixel}, journal = {Sensors}, volume = {17}, number = {12}, pages = {2816}, year = {2017}, url = {https://doi.org/10.3390/s17122816}, doi = {10.3390/S17122816}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TakahashiHSWGHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/CsehHK17, author = {{\'{A}}gnes Cseh and Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Popular Matchings with Two-Sided Preferences and One-Sided Ties}, journal = {{SIAM} J. Discret. Math.}, volume = {31}, number = {4}, pages = {2348--2377}, year = {2017}, url = {https://doi.org/10.1137/16M1076162}, doi = {10.1137/16M1076162}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamdm/CsehHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigkdd/ChenCHT17, author = {Hung{-}Hsuan Chen and Chu{-}An Chung and Hsin{-}Chien Huang and Wen Tsui}, title = {Common Pitfalls in Training and Evaluating Recommender Systems}, journal = {{SIGKDD} Explor.}, volume = {19}, number = {1}, pages = {37--45}, year = {2017}, url = {https://doi.org/10.1145/3137597.3137601}, doi = {10.1145/3137597.3137601}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigkdd/ChenCHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sivp/ChungHL17, author = {Kuo{-}Liang Chung and Yong{-}Huai Huang and Chien{-}Hsiung Lin}, title = {Improved universal chroma 4: 2: 2 subsampling for color filter array video coding in {HEVC}}, journal = {Signal Image Video Process.}, volume = {11}, number = {6}, pages = {1041--1048}, year = {2017}, url = {https://doi.org/10.1007/s11760-017-1055-1}, doi = {10.1007/S11760-017-1055-1}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sivp/ChungHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ChinWHWW17, author = {Yu{-}Hao Chin and Jia{-}Ching Wang and Chien{-}Lin Huang and Kuang{-}Yao Wang and Chung{-}Hsien Wu}, title = {Speaker Identification Using Discriminative Features and Sparse Representation}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {12}, number = {8}, pages = {1979--1987}, year = {2017}, url = {https://doi.org/10.1109/TIFS.2017.2678458}, doi = {10.1109/TIFS.2017.2678458}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ChinWHWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/HuangKY17, author = {Chien{-}Chung Huang and Naonori Kakimura and Yuichi Yoshida}, editor = {Klaus Jansen and Jos{\'{e}} D. P. Rolim and David Williamson and Santosh S. Vempala}, title = {Streaming Algorithms for Maximizing Monotone Submodular Functions under a Knapsack Constraint}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2017, August 16-18, 2017, Berkeley, CA, {USA}}, series = {LIPIcs}, volume = {81}, pages = {11:1--11:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2017}, url = {https://doi.org/10.4230/LIPIcs.APPROX-RANDOM.2017.11}, doi = {10.4230/LIPICS.APPROX-RANDOM.2017.11}, timestamp = {Tue, 21 Sep 2021 09:36:24 +0200}, biburl = {https://dblp.org/rec/conf/approx/HuangKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/focs/HuangNS17, author = {Chien{-}Chung Huang and Danupon Nanongkai and Thatchaphol Saranurak}, editor = {Chris Umans}, title = {Distributed Exact Weighted All-Pairs Shortest Paths in {\~{O}}(n\({}^{\mbox{5/4}}\)) Rounds}, booktitle = {58th {IEEE} Annual Symposium on Foundations of Computer Science, {FOCS} 2017, Berkeley, CA, USA, October 15-17, 2017}, pages = {168--179}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FOCS.2017.24}, doi = {10.1109/FOCS.2017.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/focs/HuangNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/HuangK17, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Philip N. Klein}, title = {Popularity, Mixed Matchings, and Self-duality}, booktitle = {Proceedings of the Twenty-Eighth Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2017, Barcelona, Spain, Hotel Porta Fira, January 16-19}, pages = {2294--2310}, publisher = {{SIAM}}, year = {2017}, url = {https://doi.org/10.1137/1.9781611974782.151}, doi = {10.1137/1.9781611974782.151}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soda/HuangK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuWKCH17, author = {Hsiang{-}Huang Wu and Chien{-}Min Wang and Hsuan{-}Chi Kuo and Wei{-}Chun Chung and Jan{-}Ming Ho}, title = {Scalable and Efficient Construction of Suffix Array with MapReduce and In-Memory Data Store System}, journal = {CoRR}, volume = {abs/1705.04789}, year = {2017}, url = {http://arxiv.org/abs/1705.04789}, eprinttype = {arXiv}, eprint = {1705.04789}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuWKCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-03903, author = {Chien{-}Chung Huang and Danupon Nanongkai and Thatchaphol Saranurak}, title = {Distributed Exact Weighted All-Pairs Shortest Paths in {\~{O}}(n\({}^{\mbox{5/4}}\)) Rounds}, journal = {CoRR}, volume = {abs/1708.03903}, year = {2017}, url = {http://arxiv.org/abs/1708.03903}, eprinttype = {arXiv}, eprint = {1708.03903}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-03903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HuangKM016, author = {Chien{-}Chung Huang and Telikepalli Kavitha and Kurt Mehlhorn and Dimitrios Michail}, title = {Fair Matchings and Related Problems}, journal = {Algorithmica}, volume = {74}, number = {3}, pages = {1184--1203}, year = {2016}, url = {https://doi.org/10.1007/s00453-015-9994-9}, doi = {10.1007/S00453-015-9994-9}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HuangKM016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChenHYC16, author = {Chin{-}Sheng Chen and Chien{-}Liang Huang and Chun{-}Wei Yeh and Wen{-}Chung Chang}, title = {An accelerating {CPU} based correlation-based image alignment for real-time automatic optical inspection}, journal = {Comput. Electr. Eng.}, volume = {49}, pages = {207--220}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.09.010}, doi = {10.1016/J.COMPELECENG.2015.09.010}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ChenHYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/ChenYWCH16, author = {Hsing{-}Chung Chen and Ilsun You and Chien{-}Erh Weng and Chia{-}Hsin Cheng and Yung{-}Fa Huang}, title = {A security gateway application for End-to-End {M2M} communications}, journal = {Comput. Stand. Interfaces}, volume = {44}, pages = {85--93}, year = {2016}, url = {https://doi.org/10.1016/j.csi.2015.09.001}, doi = {10.1016/J.CSI.2015.09.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/ChenYWCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LaiTELHC16, author = {Chung{-}Liang Lai and Chien{-}Ming Tseng and D. Erdenetsogt and Tzu{-}Kuan Liao and Ya{-}Ling Huang and Yung{-}fu Chen}, title = {A Kinect-Based System for Balance Rehabilitation of Stroke Patients}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {4}, pages = {1032--1037}, year = {2016}, url = {https://doi.org/10.1587/transinf.2015CYP0016}, doi = {10.1587/TRANSINF.2015CYP0016}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LaiTELHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/HungHYCL16, author = {Shin{-}Yuan Hung and Wei{-}Min Huang and David C. Yen and She{-}I Chang and Chien{-}Cheng Lu}, title = {Effect of Information Service Competence and Contextual Factors on the Effectiveness of Strategic Information Systems Planning in Hospitals}, journal = {J. Glob. Inf. Manag.}, volume = {24}, number = {1}, pages = {14--36}, year = {2016}, url = {https://doi.org/10.4018/JGIM.2016010102}, doi = {10.4018/JGIM.2016010102}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgim/HungHYCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChungHLF16, author = {Kuo{-}Liang Chung and Yong{-}Huai Huang and Chien{-}Hsiung Lin and Jian{-}Ping Fang}, title = {Novel Bitrate Saving and Fast Coding for Depth Videos in 3D-HEVC}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {26}, number = {10}, pages = {1859--1869}, year = {2016}, url = {https://doi.org/10.1109/TCSVT.2015.2473296}, doi = {10.1109/TCSVT.2015.2473296}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChungHLF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShenYH16, author = {Chung{-}An Shen and Chia{-}Po Yu and Chien{-}Hao Huang}, title = {Algorithm and Architecture of Configurable Joint Detection and Decoding for {MIMO} Wireless Communications With Convolutional Codes}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {2}, pages = {587--599}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2419234}, doi = {10.1109/TVLSI.2015.2419234}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShenYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/HuangO16, author = {Chien{-}Chung Huang and Sebastian Ott}, editor = {Piotr Sankowski and Christos D. Zaroliagis}, title = {A Combinatorial Approximation Algorithm for Graph Balancing with Light Hyper Edges}, booktitle = {24th Annual European Symposium on Algorithms, {ESA} 2016, August 22-24, 2016, Aarhus, Denmark}, series = {LIPIcs}, volume = {57}, pages = {49:1--49:15}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2016}, url = {https://doi.org/10.4230/LIPIcs.ESA.2016.49}, doi = {10.4230/LIPICS.ESA.2016.49}, timestamp = {Tue, 11 Feb 2020 15:52:14 +0100}, biburl = {https://dblp.org/rec/conf/esa/HuangO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/HuangYS16, author = {Chien{-}Hao Huang and Chia{-}Po Yu and Chung{-}An Shen}, title = {Tree search based configurable joint detection and decoding algorithms for {MIMO} systems}, booktitle = {2016 International Conference on Computing, Networking and Communications, {ICNC} 2016, Kauai, HI, USA, February 15-18, 2016}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCNC.2016.7440617}, doi = {10.1109/ICCNC.2016.7440617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/HuangYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/YangHCTHC16, author = {Chih{-}Chung Yang and Wen{-}Tse Hsiao and Chien{-}Kai Chung and Shih{-}Feng Tseng and Kuo{-}Cheng Huang and Ming{-}Fei Chen}, title = {Parameters optimization of laser electrode patterning on ITO/glass using multi-performance characteristics analysis}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {1818--1822}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIT.2016.7475040}, doi = {10.1109/ICIT.2016.7475040}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/YangHCTHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LiangHHLMS16, author = {Chao{-}Chun Liang and Kuang{-}Yi Hsu and Chien{-}Tsung Huang and Chung{-}Min Li and Shen{-}Yu Miao and Keh{-}Yih Su}, editor = {Subbarao Kambhampati}, title = {A Tag-Based Statistical English Math Word Problem Solver with Understanding, Reasoning and Explanation}, booktitle = {Proceedings of the Twenty-Fifth International Joint Conference on Artificial Intelligence, {IJCAI} 2016, New York, NY, USA, 9-15 July 2016}, pages = {4254--4255}, publisher = {{IJCAI/AAAI} Press}, year = {2016}, url = {http://www.ijcai.org/Abstract/16/647}, timestamp = {Tue, 20 Aug 2019 16:19:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/LiangHHLMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoLDWDHLCJHC16, author = {Wei{-}Han Cho and Yilei Li and Yuan Du and Chien{-}Heng Wong and Jieqiong Du and Po{-}Tsang Huang and Sheau Jiung Lee and Huan{-}Neng Ron Chen and Chewnpu Jou and Fu{-}Lung Hsueh and Mau{-}Chung Frank Chang}, title = {10.2 {A} 38mW 40Gb/s 4-lane tri-band {PAM-4} / 16-QAM transceiver in 28nm {CMOS} for high-speed Memory interface}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {184--185}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417968}, doi = {10.1109/ISSCC.2016.7417968}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoLDWDHLCJHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/HuangSDTD16, author = {Zequn Huang and Chien{-}Chung Shen and Sheetal Doshi and Nimmi Thomas and Ha Duong}, editor = {Jerry Brand and Matthew C. Valenti and Akinwale Akinpelu and Bharat T. Doshi and Bonnie L. Gorsic}, title = {Fuzzy sets based team decision-making for Cyber Situation Awareness}, booktitle = {2016 {IEEE} Military Communications Conference, {MILCOM} 2016, Baltimore, MD, USA, November 1-3, 2016}, pages = {1077--1082}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MILCOM.2016.7795473}, doi = {10.1109/MILCOM.2016.7795473}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/milcom/HuangSDTD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChuHH16, author = {Hsing{-}Chien Chu and Yu{-}Hua Huang and Chung{-}Chih Hung}, title = {Low-power {CMOS} bandpass filter for application of cochlear prosthesis}, booktitle = {{IEEE} 59th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MWSCAS.2016.7869943}, doi = {10.1109/MWSCAS.2016.7869943}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChuHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LiangHHLMS16, author = {Chao{-}Chun Liang and Kuang{-}Yi Hsu and Chien{-}Tsung Huang and Chung{-}Min Li and Shen{-}Yu Miao and Keh{-}Yih Su}, title = {A Tag-based English Math Word Problem Solver with Understanding, Reasoning and Explanation}, booktitle = {Proceedings of the Demonstrations Session, {NAACL} {HLT} 2016, The 2016 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, San Diego California, USA, June 12-17, 2016}, pages = {67--71}, publisher = {The Association for Computational Linguistics}, year = {2016}, url = {https://doi.org/10.18653/v1/n16-3014}, doi = {10.18653/V1/N16-3014}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LiangHHLMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/HuangKK16, author = {Chien{-}Chung Huang and Naonori Kakimura and Naoyuki Kamiyama}, editor = {Robert Krauthgamer}, title = {Exact and Approximation Algorithms for Weighted Matroid Intersection}, booktitle = {Proceedings of the Twenty-Seventh Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2016, Arlington, VA, USA, January 10-12, 2016}, pages = {430--444}, publisher = {{SIAM}}, year = {2016}, url = {https://doi.org/10.1137/1.9781611974331.ch32}, doi = {10.1137/1.9781611974331.CH32}, timestamp = {Tue, 02 Feb 2021 17:07:56 +0100}, biburl = {https://dblp.org/rec/conf/soda/HuangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/DuCLWDHKCLC16, author = {Yuan Du and Wei{-}Han Cho and Yilei Li and Chien{-}Heng Wong and Jieqiong Du and Po{-}Tsang Huang and Yanghyo Kim and Zuow{-}Zun Chen and Sheau Jiung Lee and Mau{-}Chung Frank Chang}, title = {A 16Gb/s 14.7mW tri-band cognitive serial link transmitter with forwarded clock to enable {PAM-16} / 256-QAM and channel response detection in 28 nm {CMOS}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573523}, doi = {10.1109/VLSIC.2016.7573523}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/DuCLWDHKCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LiTYLCHLLKHCXS16, author = {Chao{-}Chieh Li and Tsung{-}Hsien Tsai and Min{-}Shueh Yuan and Chia{-}Chun Liao and Chih{-}Hsien Chang and Tien{-}Chien Huang and Hsien{-}Yuan Liao and Chung{-}Ting Lu and Hung{-}Yi Kuo and Kenny Hsieh and Mark Chen and Augusto Ronchini Ximenes and Robert Bogdan Staszewski}, title = {A 0.034mm\({}^{\mbox{2}}\), 725fs {RMS} jitter, 1.8{\%}/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital {PLL} in 10nm FinFET {CMOS}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573551}, doi = {10.1109/VLSIC.2016.7573551}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LiTYLCHLLKHCXS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/HuangJ16, author = {Chien{-}Chung Huang and Prasad Jayanti}, editor = {Cyril Gavoille and David Ilcinkas}, title = {Priority Mutual Exclusion: Specification and Algorithm}, booktitle = {Distributed Computing - 30th International Symposium, {DISC} 2016, Paris, France, September 27-29, 2016. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9888}, pages = {385--398}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-662-53426-7\_28}, doi = {10.1007/978-3-662-53426-7\_28}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/wdag/HuangJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/algo/Huang16b, author = {Chien{-}Chung Huang}, title = {Hospitals/Residents Problems with Quota Lower Bounds}, booktitle = {Encyclopedia of Algorithms}, pages = {930--932}, year = {2016}, url = {https://doi.org/10.1007/978-1-4939-2864-4\_675}, doi = {10.1007/978-1-4939-2864-4\_675}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/algo/Huang16b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/CsehHK16, author = {{\'{A}}gnes Cseh and Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Popular matchings with two-sided preferences and one-sided ties}, journal = {CoRR}, volume = {abs/1603.07168}, year = {2016}, url = {http://arxiv.org/abs/1603.07168}, eprinttype = {arXiv}, eprint = {1603.07168}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/CsehHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/HuangHCCL15, author = {Chien{-}Feng Huang and Chi{-}Jen Hsu and Chi{-}Chung Chen and Bao Rong Chang and Chen{-}An Li}, title = {An Intelligent Model for Pairs Trading Using Genetic Algorithms}, journal = {Comput. Intell. Neurosci.}, volume = {2015}, pages = {939606:1--939606:10}, year = {2015}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/HuangHCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijclclp/HuangLS15, author = {Chien{-}Tsung Huang and Yi{-}Chung Lin and Keh{-}Yih Su}, title = {Explanation Generation for a Math Word Problem Solver}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {20}, number = {2}, year = {2015}, url = {http://www.aclclp.org.tw/clclp/v20n2/v20n2a2.pdf}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijclclp/HuangLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijclclp/LinLHHMMKLS15, author = {Yi{-}Chung Lin and Chao{-}Chun Liang and Kuang{-}Yi Hsu and Chien{-}Tsung Huang and Shen{-}Yun Miao and Wei{-}Yun Ma and Lun{-}Wei Ku and Churn{-}Jung Liau and Keh{-}Yih Su}, title = {Designing a Tag-Based Statistical Math Word Problem Solver with Reasoning and Explanation}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {20}, number = {2}, year = {2015}, url = {http://www.aclclp.org.tw/clclp/v20n2/v20n2a1.pdf}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijclclp/LinLHHMMKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChiangWCLHHY15, author = {Kuei{-}Feng Chiang and Hsiu{-}Hung Wang and I{-}Kuang Chien and Jhao{-}Kun Liou and Chung{-}Lieh Hung and Chien{-}Min Huang and Feng{-}Yueh Yang}, title = {Healthcare providers' perceptions of barriers in implementing of home telecare in Taiwan: {A} qualitative study}, journal = {Int. J. Medical Informatics}, volume = {84}, number = {4}, pages = {277--287}, year = {2015}, url = {https://doi.org/10.1016/j.ijmedinf.2015.01.007}, doi = {10.1016/J.IJMEDINF.2015.01.007}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChiangWCLHHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/BhaskarFHH15, author = {Umang Bhaskar and Lisa Fleischer and Darrell Hoy and Chien{-}Chung Huang}, title = {On the Uniqueness of Equilibrium in Atomic Splittable Routing Games}, journal = {Math. Oper. Res.}, volume = {40}, number = {3}, pages = {634--654}, year = {2015}, url = {https://doi.org/10.1287/moor.2014.0688}, doi = {10.1287/MOOR.2014.0688}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mor/BhaskarFHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/HuangK15, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Improved approximation algorithms for two variants of the stable marriage problem with ties}, journal = {Math. Program.}, volume = {154}, number = {1-2}, pages = {353--380}, year = {2015}, url = {https://doi.org/10.1007/s10107-015-0923-0}, doi = {10.1007/S10107-015-0923-0}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mp/HuangK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/AbedH15, author = {Fidaa Abed and Chien{-}Chung Huang}, title = {Coordinating oligopolistic players in unrelated machine scheduling}, journal = {Theor. Comput. Sci.}, volume = {570}, pages = {40--54}, year = {2015}, url = {https://doi.org/10.1016/j.tcs.2014.12.022}, doi = {10.1016/J.TCS.2014.12.022}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/AbedH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuCJTWHKHLK15, author = {Chien{-}Yu Lu and Ching{-}Te Chuang and Shyh{-}Jye Jou and Ming{-}Hsien Tu and Ya{-}Ping Wu and Chung{-}Ping Huang and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, title = {A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold {SRAM} with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {5}, pages = {958--962}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2318518}, doi = {10.1109/TVLSI.2014.2318518}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LuCJTWHKHLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/TsengCCCHM15, author = {Li{-}Chuan Tseng and Feng{-}Tsun Chien and Ronald Y. Chang and Wei{-}Ho Chung and ChingYao Huang and Abdelwaheb Marzouki}, title = {Distributed channel assignment for network {MIMO:} game-theoretic formulation and stochastic learning}, journal = {Wirel. Networks}, volume = {21}, number = {4}, pages = {1211--1226}, year = {2015}, url = {https://doi.org/10.1007/s11276-014-0844-5}, doi = {10.1007/S11276-014-0844-5}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/winet/TsengCCCHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/HuangIMY15, author = {Chien{-}Chung Huang and Kazuo Iwama and Shuichi Miyazaki and Hiroki Yanagisawa}, editor = {Naveen Garg and Klaus Jansen and Anup Rao and Jos{\'{e}} D. P. Rolim}, title = {A Tight Approximation Bound for the Stable Marriage Problem with Restricted Ties}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2015, August 24-26, 2015, Princeton, NJ, {USA}}, series = {LIPIcs}, volume = {40}, pages = {361--380}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2015}, url = {https://doi.org/10.4230/LIPIcs.APPROX-RANDOM.2015.361}, doi = {10.4230/LIPICS.APPROX-RANDOM.2015.361}, timestamp = {Tue, 21 Sep 2021 09:36:24 +0200}, biburl = {https://dblp.org/rec/conf/approx/HuangIMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsima/HuangSDTD15, author = {Zequn Huang and Chien{-}Chung Shen and Sheetal Doshi and Nimmi Thomas and Ha Duong}, title = {Difficulty-level metric for cyber security training}, booktitle = {{IEEE} International Inter-Disciplinary Conference on Cognitive Methods in Situation Awareness and Decision Support, CogSIMA 2015, Orlando, FL, USA, March 9-12, 2015}, pages = {172--178}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/COGSIMA.2015.7108194}, doi = {10.1109/COGSIMA.2015.7108194}, timestamp = {Tue, 29 Sep 2020 17:14:38 +0200}, biburl = {https://dblp.org/rec/conf/cogsima/HuangSDTD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cw/LaiHLTCE15, author = {Chung{-}Liang Lai and Ya{-}Ling Huang and Tzu{-}Kuan Liao and Chien{-}Ming Tseng and Yung{-}fu Chen and D. Erdenetsogt}, title = {A Microsoft Kinect-Based Virtual Rehabilitation System to Train Balance Ability for Stroke Patients}, booktitle = {International Conference on Cyberworlds, {CW} 2015, Visby, Sweden, October 7-9, 2015}, pages = {54--60}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CW.2015.44}, doi = {10.1109/CW.2015.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cw/LaiHLTCE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/FuCQCWSHH15, author = {Yu{-}Min Fu and Che{-}Yu Chen and Xin{-}Hong Qian and Yu{-}Ting Cheng and Chung{-}Yu Wu and Jui{-}Sheng Sun and Chien{-}Chun Huang and Chao{-}Kai Hu}, title = {A microfabricated coil for implantable applications of magnetic spinal cord stimulation}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {6912--6915}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319982}, doi = {10.1109/EMBC.2015.7319982}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/FuCQCWSHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/BhattacharyaHHK15, author = {Sayan Bhattacharya and Martin Hoefer and Chien{-}Chung Huang and Telikepalli Kavitha and Lisa Wagner}, editor = {Magn{\'{u}}s M. Halld{\'{o}}rsson and Kazuo Iwama and Naoki Kobayashi and Bettina Speckmann}, title = {Maintaining Near-Popular Matchings}, booktitle = {Automata, Languages, and Programming - 42nd International Colloquium, {ICALP} 2015, Kyoto, Japan, July 6-10, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9135}, pages = {504--515}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-47666-6\_40}, doi = {10.1007/978-3-662-47666-6\_40}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalp/BhattacharyaHHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/CsehHK15, author = {{\'{A}}gnes Cseh and Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Magn{\'{u}}s M. Halld{\'{o}}rsson and Kazuo Iwama and Naoki Kobayashi and Bettina Speckmann}, title = {Popular Matchings with Two-Sided Preferences and One-Sided Ties}, booktitle = {Automata, Languages, and Programming - 42nd International Colloquium, {ICALP} 2015, Kyoto, Japan, July 6-10, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9134}, pages = {367--379}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-47672-7\_30}, doi = {10.1007/978-3-662-47672-7\_30}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalp/CsehHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-8/HuangSDTD15, author = {Zequn Huang and Chien{-}Chung Shen and Sheetal Doshi and Nimmi Thomas and Ha Duong}, editor = {Matt Bishop and Natalia G. Miloslavskaya and Marianthi Theocharidou}, title = {Cognitive Task Analysis Based Training for Cyber Situation Awareness}, booktitle = {Information Security Education Across the Curriculum - 9th {IFIP} {WG} 11.8 World Conference, WISE9, Hamburg, Germany, May 26-28, 2015, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {453}, pages = {27--40}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-18500-2\_3}, doi = {10.1007/978-3-319-18500-2\_3}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip11-8/HuangSDTD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChiuLTWLCWHHLCC15, author = {Cheng Pu Chiu and Yen{-}Chun Liu and Bin{-}Siang Tsai and Yi{-}Jing Wang and Yeh{-}Sheng Lin and Yun{-}Ru Chen and Chien{-}Lin Weng and Sheng{-}Yuan Hsueh and Jack Hung and Ho{-}Yu Lai and Jei{-}Ming Chen and Albert H.{-}B. Cheng and Chien{-}Chung Huang}, title = {{TDDB} improvement of copper/dielectric in the highly-integrated {BEOL} structure for 28nm technology node and beyond}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112701}, doi = {10.1109/IRPS.2015.7112701}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChiuLTWLCWHHLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HsuLWLLCHWHL15, author = {Li Chieh Hsu and Yu{-}Min Lin and Chien Liang Wu and Wei Kun Lee and Yen Chun Liu and Cheng Pu Chiu and Hsin Kuo Hsu and Chun Yi Wang and Chien Chung Huang and Chin Fu Lin}, title = {Effects of copper {CMP} and post clean process on {VRDB} and {TDDB} at 28nm and advanced technology node}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112775}, doi = {10.1109/IRPS.2015.7112775}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HsuLWLLCHWHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KaoLCLLHHS15, author = {Tzu{-}Cheng Kao and Chen{-}Hsin Lien and Chien{-}Wei Chiu and Jian{-}Hsing Lee and Yen{-}Hsiang Lo and Chung{-}Yu Hung and Tsung{-}Yi Huang and Hung{-}Der Su}, title = {Robust {ESD} self-protected {LDNMOSFET} by an enhanced displacement-current triggering}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112796}, doi = {10.1109/IRPS.2015.7112796}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KaoLCLLHHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuangSLWZCSN15, author = {Hou{-}Tzu Huang and Chung{-}Shin Sun and Chun{-}Ting Lin and Chia{-}Chien Wei and Wei{-}Siang Zeng and Hsi{-}Yu Chang and Boris Shih and Anthony Ng'oma}, title = {Direct-detection {PDM-OFDM} RoF system for 60-GHz wireless {MIMO} transmission without polarization tracking}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7122043}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HuangSLWZCSN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/TsaoLHWHCK15, author = {Che{-}Wei Tsao and Chun{-}Yi Liu and Chien{-}Chung Ho and Tse{-}Yuan Wang and Po{-}Chun Huang and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, editor = {Esmaeil S. Nadimi and Tom{\'{a}}s Cern{\'{y}} and Sung{-}Ryul Kim and Wei Wang}, title = {Rethinking {I/O} request management over eMMC-based solid-state drives}, booktitle = {Proceedings of the 2015 Conference on research in adaptive and convergent systems, {RACS} 2015, Prague, Czech Republic, October 9-12, 2015}, pages = {451--456}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811411.2811536}, doi = {10.1145/2811411.2811536}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/TsaoLHWHCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangLLHMMKLS15, author = {Chien{-}Tsung Huang and Yi{-}Chung Lin and Chao{-}Chun Liang and Kuang{-}Yi Hsu and Shen{-}Yun Miao and Wei{-}Yun Ma and Lun{-}Wei Ku and Churn{-}Jung Liau and Keh{-}Yih Su}, editor = {Sin{-}Horng Chen and Hsin{-}Min Wang and Jen{-}Tzung Chien and Hung{-}Yu Kao and Wen{-}Whei Chang and Yih{-}Ru Wang and Shih{-}Hung Wu}, title = {Designing a Tag-Based Statistical Math Word Problem Solver with Reasoning and Explanation}, booktitle = {Proceedings of the 27th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2015, National Chiao Tung University, Hsinchu, Taiwan, October 1-2, 2015}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2015}, url = {https://aclanthology.org/O15-1006/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangLLHMMKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangLS15, author = {Chien{-}Tsung Huang and Yi{-}Chung Lin and Keh{-}Yih Su}, editor = {Sin{-}Horng Chen and Hsin{-}Min Wang and Jen{-}Tzung Chien and Hung{-}Yu Kao and Wen{-}Whei Chang and Yih{-}Ru Wang and Shih{-}Hung Wu}, title = {Explanation Generation for a Math Word Problem Solver}, booktitle = {Proceedings of the 27th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2015, National Chiao Tung University, Hsinchu, Taiwan, October 1-2, 2015}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2015}, url = {https://aclanthology.org/O15-1007/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/AntoniadisHO15, author = {Antonios Antoniadis and Chien{-}Chung Huang and Sebastian Ott}, editor = {Piotr Indyk}, title = {A Fully Polynomial-Time Approximation Scheme for Speed Scaling with Sleep State}, booktitle = {Proceedings of the Twenty-Sixth Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2015, San Diego, CA, USA, January 4-6, 2015}, pages = {1102--1113}, publisher = {{SIAM}}, year = {2015}, url = {https://doi.org/10.1137/1.9781611973730.74}, doi = {10.1137/1.9781611973730.74}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soda/AntoniadisHO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icalt/2015, editor = {Demetrios G. Sampson and Ronghuai Huang and Gwo{-}Jen Hwang and Tzu{-}Chien Liu and Nian{-}Shing Chen and Kinshuk and Chin{-}Chung Tsai}, title = {15th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2015, Hualien, Taiwan, July 6-9, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7262584/proceeding}, isbn = {978-1-4673-7334-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icalt/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HuangO15, author = {Chien{-}Chung Huang and Sebastian Ott}, title = {A Combinatorial Approximation Algorithm for Graph Balancing with Light Hyper Edges}, journal = {CoRR}, volume = {abs/1507.07396}, year = {2015}, url = {http://arxiv.org/abs/1507.07396}, eprinttype = {arXiv}, eprint = {1507.07396}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HuangO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/TsengCMCCH14, author = {Li{-}Chuan Tseng and Feng{-}Tsun Chien and Abdelwaheb Marzouki and Ronald Y. Chang and Wei{-}Ho Chung and ChingYao Huang}, title = {Self-Organized Cognitive Sensor Networks: Distributed Channel Assignment for Pervasive Sensing}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/183090}, doi = {10.1155/2014/183090}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/TsengCMCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/LiaoHHC14, author = {Chung{-}Shou Liao and Tsung{-}Jung Hsieh and Yu{-}Syuan Huang and Chen{-}Fu Chien}, title = {Similarity Searching for Defective Wafer Bin Maps in Semiconductor Manufacturing}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {11}, number = {3}, pages = {953--960}, year = {2014}, url = {https://doi.org/10.1109/TASE.2013.2277603}, doi = {10.1109/TASE.2013.2277603}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/LiaoHHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WuWLH14, author = {Cheng{-}Yin Wu and Chi{-}An Wu and Chien{-}Yu Lai and Chung{-}Yang (Ric) Huang}, title = {A Counterexample-Guided Interpolant Generation Algorithm for SAT-Based Model Checking}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {12}, pages = {1846--1858}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2363395}, doi = {10.1109/TCAD.2014.2363395}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WuWLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/LoHW14, author = {Shou Chih Lo and Fu Chiang Huang and Chien Chung Wang}, title = {Transport Route Planning for Mobile Tour Applications}, booktitle = {14th {IEEE} International Conference on Computer and Information Technology, {CIT} 2014, Xi'an, China, September 11-13, 2014}, pages = {387--392}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CIT.2014.101}, doi = {10.1109/CIT.2014.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/LoHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LaiWH14, author = {Chien{-}Yu Lai and Cheng{-}Yin Wu and Chung{-}Yang (Ric) Huang}, title = {Adaptive interpolation-based model checking}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {744--749}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742979}, doi = {10.1109/ASPDAC.2014.6742979}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LaiWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/LiaoLCHF14, author = {Chien{-}Ke Liao and Chung Dial Lim and Ching{-}Ying Cheng and Cheng{-}Ming Huang and Li{-}Chen Fu}, title = {Vision based gait analysis on robotic walking stabilization system for patients with Parkinson's Disease}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {818--823}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CoASE.2014.6899420}, doi = {10.1109/COASE.2014.6899420}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/LiaoLCHF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/AbedCH14, author = {Fidaa Abed and Jos{\'{e}} R. Correa and Chien{-}Chung Huang}, editor = {Andreas S. Schulz and Dorothea Wagner}, title = {Optimal Coordination Mechanisms for Multi-job Scheduling Games}, booktitle = {Algorithms - {ESA} 2014 - 22th Annual European Symposium, Wroclaw, Poland, September 8-10, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8737}, pages = {13--24}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44777-2\_2}, doi = {10.1007/978-3-662-44777-2\_2}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esa/AbedCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/HuangK14, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Jon Lee and Jens Vygen}, title = {An Improved Approximation Algorithm for the Stable Marriage Problem with One-Sided Ties}, booktitle = {Integer Programming and Combinatorial Optimization - 17th International Conference, {IPCO} 2014, Bonn, Germany, June 23-25, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8494}, pages = {297--308}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07557-0\_25}, doi = {10.1007/978-3-319-07557-0\_25}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/ipco/HuangK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouCCCLTHLTCTLWCYH14, author = {Ying{-}Wei Chou and Meng{-}Wei Chien and Shin{-}Chieh Chen and Ke{-}Horng Chen and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee and Zhih Han Tai and Yi Hsuan Cheng and Chi Chung Tsai and Hsin{-}Yu Luo and Shih{-}Ming Wang and Long{-}Der Chen and Cheng{-}Chen Yang and Huang Tian Hui}, title = {A low {THD} clock-free Class-D audio amplifier with an increased damping resistor and cross offset cancellation technique}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2680--2683}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865725}, doi = {10.1109/ISCAS.2014.6865725}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouCCCLTHLTCTLWCYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TangCSCYYWHCCHC14, author = {Kea{-}Tiong Tang and Shih{-}Wen Chiu and Chung{-}Hung Shih and Chia{-}Ling Chang and Chia{-}Min Yang and Da{-}Jeng Yao and Jen{-}Huo Wang and Chien{-}Ming Huang and Hsin Chen and Kwuang{-}Han Chang and Chih{-}Cheng Hsieh and Ting{-}Hau Chang and Meng{-}Fan Chang and Chia{-}Min Wang and Yi{-}Wen Liu and Tsan{-}Jieh Chen and Chia{-}Hsiang Yang and Herming Chiueh and Jyuo{-}Min Shyu}, title = {24.5 {A} 0.5V 1.27mW nose-on-a-chip for rapid diagnosis of ventilator-associated pneumonia}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {420--421}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757496}, doi = {10.1109/ISSCC.2014.6757496}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TangCSCYYWHCCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfcs/HuangO14, author = {Chien{-}Chung Huang and Sebastian Ott}, editor = {Erzs{\'{e}}bet Csuhaj{-}Varj{\'{u}} and Martin Dietzfelbinger and Zolt{\'{a}}n {\'{E}}sik}, title = {New Results for Non-Preemptive Speed Scaling}, booktitle = {Mathematical Foundations of Computer Science 2014 - 39th International Symposium, {MFCS} 2014, Budapest, Hungary, August 25-29, 2014. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8635}, pages = {360--371}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44465-8\_31}, doi = {10.1007/978-3-662-44465-8\_31}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mfcs/HuangO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/ChenHCTKWSL14, author = {Chien{-}Ting Chen and Yoshi Shih{-}Chieh Huang and Yuan{-}Ying Chang and Chiao{-}Yun Tu and Chung{-}Ta King and Tai{-}Yuan Wang and Janche Sang and Ming{-}Hua Li}, editor = {Ching{-}Hsien Hsu and Xuanhua Shi and Valentina Salapura}, title = {Designing Coalescing Network-on-Chip for Efficient Memory Accesses of GPGPUs}, booktitle = {Network and Parallel Computing - 11th {IFIP} {WG} 10.3 International Conference, {NPC} 2014, Ilan, Taiwan, September 18-20, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8707}, pages = {169--180}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44917-2\_15}, doi = {10.1007/978-3-662-44917-2\_15}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/npc/ChenHCTKWSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HoCCCHKD14, author = {Chien{-}Chung Ho and Hui{-}Wen Chen and Yuan{-}Hao Chang and Yu{-}Ming Chang and Po{-}Chun Huang and Tei{-}Wei Kuo and David Hung{-}Chang Du}, title = {Energy-aware data placement strategy for SSD-assisted streaming video servers}, booktitle = {{IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2014, Chongqing, China, August 20-21, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NVMSA.2014.6927190}, doi = {10.1109/NVMSA.2014.6927190}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/HoCCCHKD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LuWCCHJCSC14, author = {I{-}Cheng Lu and Chia{-}Chien Wei and Hsing{-}Yu Chen and Pei{-}Yu Chung and Peng{-}Hao Huang and Jia{-}Wei Jiang and Kai{-}Lun Chi and Jin{-}Wei Shi and Jyehong Chen}, title = {Nonlinear compensation for 980 nm high power, single-mode VCSELs for energy efficient {OM} 4 fiber transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.Th4G.5}, doi = {10.1364/OFC.2014.TH4G.5}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LuWCCHJCSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/HuangHHCCK14, author = {Tzu{-}Jung Huang and Chien{-}Chung Ho and Po{-}Chun Huang and Yuan{-}Hao Chang and Che{-}Wei Chang and Tei{-}Wei Kuo}, title = {Current-aware scheduling for flash storage devices}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910534}, doi = {10.1109/RTCSA.2014.6910534}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/HuangHHCCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungLCJCTCHKHLK14, author = {Chao{-}Kuei Chung and Chien{-}Yu Lu and Zhi{-}Hao Chang and Shyh{-}Jye Jou and Ching{-}Te Chuang and Ming{-}Hsien Tu and Yu{-}Hsian Chen and Yong{-}Jyun Hu and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 40nm 256kb 6T {SRAM} with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {455--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948972}, doi = {10.1109/SOCC.2014.6948972}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungLCJCTCHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChenHCCCSCWLTHL14, author = {Wei{-}Chung Chen and Yung{-}Sheng Huang and Meng{-}Wei Chien and Ying{-}Wei Chou and Hsin{-}Chieh Chen and Yi{-}Ping Su and Ke{-}Horng Chen and Chin{-}Long Wey and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {{\(\pm\)}3{\%} voltage variation and 95{\%} efficiency 28nm constant on-time controlled step-down switching regulator directly supplying to Wi-Fi systems}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858441}, doi = {10.1109/VLSIC.2014.6858441}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChenHCCCSCWLTHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/YangLKDLHPW14, author = {Ping{-}Lin Yang and Cheng{-}Chung Lin and Ming{-}Zhang Kuo and Sang{-}Hoo Dhong and Chien{-}Min Lin and Kevin Huang and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {A 4-GHz universal high-frequency on-chip testing platform for {IP} validation}, booktitle = {32nd {IEEE} {VLSI} Test Symposium, {VTS} 2014, Napa, CA, USA, April 13-17, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VTS.2014.6818787}, doi = {10.1109/VTS.2014.6818787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/YangLKDLHPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AntoniadisHO14, author = {Antonios Antoniadis and Chien{-}Chung Huang and Sebastian Ott}, title = {A Fully Polynomial-Time Approximation Scheme for Speed Scaling with Sleep State}, journal = {CoRR}, volume = {abs/1407.0892}, year = {2014}, url = {http://arxiv.org/abs/1407.0892}, eprinttype = {arXiv}, eprint = {1407.0892}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AntoniadisHO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FangHRS14, author = {Rui Fang and Zequn Huang and Louis F. Rossi and Chien{-}Chung Shen}, title = {Probabilistic Modeling of {IEEE} 802.11 Distributed Coordination Functions}, journal = {CoRR}, volume = {abs/1411.1126}, year = {2014}, url = {http://arxiv.org/abs/1411.1126}, eprinttype = {arXiv}, eprint = {1411.1126}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FangHRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HermelinHKW13, author = {Danny Hermelin and Chien{-}Chung Huang and Stefan Kratsch and Magnus Wahlstr{\"{o}}m}, title = {Parameterized Two-Player Nash Equilibrium}, journal = {Algorithmica}, volume = {65}, number = {4}, pages = {802--816}, year = {2013}, url = {https://doi.org/10.1007/s00453-011-9609-z}, doi = {10.1007/S00453-011-9609-Z}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HermelinHKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HuangS13, author = {Chien{-}Chung Huang and Zoya Svitkina}, title = {Donation Center Location Problem}, journal = {Algorithmica}, volume = {66}, number = {1}, pages = {187--210}, year = {2013}, url = {https://doi.org/10.1007/s00453-012-9633-7}, doi = {10.1007/S00453-012-9633-7}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HuangS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/HuangK13, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Popular matchings in the stable marriage problem}, journal = {Inf. Comput.}, volume = {222}, pages = {180--194}, year = {2013}, url = {https://doi.org/10.1016/j.ic.2012.10.012}, doi = {10.1016/J.IC.2012.10.012}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iandc/HuangK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/TsengCZCCH13, author = {Li{-}Chuan Tseng and Feng{-}Tsun Chien and Daqiang Zhang and Ronald Y. Chang and Wei{-}Ho Chung and ChingYao Huang}, title = {Network Selection in Cognitive Heterogeneous Networks Using Stochastic Learning}, journal = {{IEEE} Commun. Lett.}, volume = {17}, number = {12}, pages = {2304--2307}, year = {2013}, url = {https://doi.org/10.1109/LCOMM.2013.102113.131876}, doi = {10.1109/LCOMM.2013.102113.131876}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/TsengCZCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/HuangHLT13, author = {Yueh{-}Min Huang and Yong{-}Ming Huang and Chien{-}Hung Liu and Chin{-}Chung Tsai}, title = {Applying social tagging to manage cognitive load in a Web 2.0 self-learning environment}, journal = {Interact. Learn. Environ.}, volume = {21}, number = {3}, pages = {273--289}, year = {2013}, url = {https://doi.org/10.1080/10494820.2011.555839}, doi = {10.1080/10494820.2011.555839}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ile/HuangHLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChiuCYLH13, author = {Yung{-}Hsiang Chiu and Kuo{-}Liang Chung and Wei{-}Ning Yang and Chien{-}Hsiung Lin and Yong{-}Huai Huang}, title = {Universal intra coding for arbitrary {RGB} color filter arrays in {HEVC}}, journal = {J. Vis. Commun. Image Represent.}, volume = {24}, number = {7}, pages = {867--884}, year = {2013}, url = {https://doi.org/10.1016/j.jvcir.2013.05.012}, doi = {10.1016/J.JVCIR.2013.05.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChiuCYLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/Huang13, author = {Chien{-}Chung Huang}, title = {Collusion in Atomic Splittable Routing Games}, journal = {Theory Comput. Syst.}, volume = {52}, number = {4}, pages = {763--801}, year = {2013}, url = {https://doi.org/10.1007/s00224-012-9421-4}, doi = {10.1007/S00224-012-9421-4}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mst/Huang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/AntoniadisH13, author = {Antonios Antoniadis and Chien{-}Chung Huang}, title = {Non-preemptive speed scaling}, journal = {J. Sched.}, volume = {16}, number = {4}, pages = {385--394}, year = {2013}, url = {https://doi.org/10.1007/s10951-013-0312-6}, doi = {10.1007/S10951-013-0312-6}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/AntoniadisH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/HuangK13, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, title = {Near-Popular Matchings in the Roommates Problem}, journal = {{SIAM} J. Discret. Math.}, volume = {27}, number = {1}, pages = {43--62}, year = {2013}, url = {https://doi.org/10.1137/110852838}, doi = {10.1137/110852838}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamdm/HuangK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChiuSLH13, author = {Chien{-}Ching Chiu and Chi{-}Hsien Sun and Ching{-}Lieh Li and Chung{-}Hsin Huang}, title = {Comparative Study of Some Population-Based Optimization Algorithms on Inverse Scattering of a Two-Dimensional Perfectly Conducting Cylinder in Dielectric Slab Medium}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {51}, number = {4-2}, pages = {2302--2315}, year = {2013}, url = {https://doi.org/10.1109/TGRS.2012.2208756}, doi = {10.1109/TGRS.2012.2208756}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ChiuSLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/HoHCK13, author = {Chien{-}Chung Ho and Po{-}Chun Huang and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, title = {A DRAM-flash index for native flash file systems}, booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2013, Montreal, QC, Canada, September 29 - October 4, 2013}, pages = {3:1--3:10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CODES-ISSS.2013.6658990}, doi = {10.1109/CODES-ISSS.2013.6658990}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/HoHCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChungCWHHCTC13, author = {Yi{-}Ling Chung and Yu{-}Shu Chiang and Hsin{-}Hsuan Wu and Jon{-}Fan Hu and Chiu{-}Hua Huang and Hsueh{-}Chih Chen and Chien{-}Chih Tseng and Li{-}Yun Chang}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {Orthography and configuration on Chinese literacy acquisition: evidence from eye movement}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0726/index.html}, timestamp = {Wed, 17 Apr 2024 12:44:07 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChungCWHHCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChungWCHHCTC13, author = {Yi{-}Ling Chung and Hsin{-}Hsuan Wu and Yu{-}Shu Chiang and Jon{-}Fan Hu and Chiu{-}Hua Huang and Hsueh{-}Chih Chen and Chien{-}Chih Tseng and Li{-}Yun Chang}, editor = {Markus Knauff and Michael Pauen and Natalie Sebanz and Ipke Wachsmuth}, title = {The roles of configuration and orthography in Chinese recognition: a developmental approach}, booktitle = {Proceedings of the 35th Annual Meeting of the Cognitive Science Society, CogSci 2013, Berlin, Germany, July 31 - August 3, 2013}, publisher = {cognitivesciencesociety.org}, year = {2013}, url = {https://mindmodeling.org/cogsci2013/papers/0725/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChungWCHHCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuWLH13, author = {Cheng{-}Yin Wu and Chi{-}An Wu and Chien{-}Yu Lai and Chung{-}Yang (Ric) Huang}, title = {A counterexample-guided interpolant generation algorithm for SAT-based model checking}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {118:1--118:6}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488879}, doi = {10.1145/2463209.2488879}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuWLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/ChungHYCT13, author = {I{-}Ching Chung and Chien{-}Yu Huang and Shyh{-}Ching Yeh and Wei{-}Chi Chiang and Mei{-}Hui Tseng}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Developing Kinect Games Integrated with Virtual Reality on Activities of Daily Living for Children with Developmental Delay}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1091--1097}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_124}, doi = {10.1007/978-94-007-7262-5\_124}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/ChungHYCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/HuangKM013, author = {Chien{-}Chung Huang and Telikepalli Kavitha and Kurt Mehlhorn and Dimitrios Michail}, editor = {Anil Seth and Nisheeth K. Vishnoi}, title = {Fair Matchings and Related Problems}, booktitle = {{IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2013, December 12-14, 2013, Guwahati, India}, series = {LIPIcs}, volume = {24}, pages = {339--350}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2013}, url = {https://doi.org/10.4230/LIPIcs.FSTTCS.2013.339}, doi = {10.4230/LIPICS.FSTTCS.2013.339}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fsttcs/HuangKM013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LinCTH13, author = {Ray F. Lin and Ching{-}Wen Chung and Yi{-}Chien Tsai and Chi{-}Yu Huang}, editor = {Masaaki Kurosu}, title = {An Application of the Ballistic Movement Method for Evaluating Computer Mice}, booktitle = {Human-Computer Interaction. Users and Contexts of Use - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8006}, pages = {199--208}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39265-8\_22}, doi = {10.1007/978-3-642-39265-8\_22}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LinCTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/HsiehHCHCTHS13, author = {Wen{-}Hsuan Hsieh and Yi{-}Chung Huang and Jian{-}Li Chen and Wen{-}Chi Hung and Wood{-}Hi Cheng and Ying{-}Chien Tsai and Yi{-}Cheng Hsu and Maw{-}Tyan Sheen}, title = {Direct near-field phase measurements of lensed fiber employing a single-mode fiber interferometer}, booktitle = {6th {IEEE} International Conference on Advanced Infocomm Technology, {ICAIT} 2013, Hsinchu, Taiwan, July 6-9, 2013}, pages = {93--94}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICAIT.2013.6621513}, doi = {10.1109/ICAIT.2013.6621513}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icait/HsiehHCHCTHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TuCHCNC13, author = {Wen{-}Pin Tu and Chung{-}Han Chou and Shih{-}Hsu Huang and Shih{-}Chieh Chang and Yow{-}Tyng Nieh and Chien{-}Yung Chou}, editor = {J{\"{o}}rg Henkel}, title = {Low-power timing closure methodology for ultra-low voltage designs}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {697--704}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691191}, doi = {10.1109/ICCAD.2013.6691191}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TuCHCNC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/WuH13, author = {Chien{-}Chung Wu and Jyun{-}Jie Huang}, editor = {Kebin Jia and Jeng{-}Shyang Pan and Yao Zhao and Lakhmi C. Jain}, title = {The Study of Android Parallel Programming Based on the Dual-Core Cortex-A9}, booktitle = {Ninth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2013, Beijing, China, October 16-18, 2013}, pages = {477--480}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IIH-MSP.2013.124}, doi = {10.1109/IIH-MSP.2013.124}, timestamp = {Wed, 01 Apr 2020 17:00:41 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/WuH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/YangHLCG13, author = {Chiu{-}Chung Yang and Chien{-}Sheng Huang and Ching{-}Huang Lin and Chien{-}Yue Chen and Shao{-}Ciang Gan}, editor = {Kebin Jia and Jeng{-}Shyang Pan and Yao Zhao and Lakhmi C. Jain}, title = {The Chromaticity Shift of White-LED Light Sources Passing through Fog}, booktitle = {Ninth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2013, Beijing, China, October 16-18, 2013}, pages = {92--95}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IIH-MSP.2013.32}, doi = {10.1109/IIH-MSP.2013.32}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/YangHLCG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Shun{-}Ting Chang and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Sheng{-}Fu Liang and Tzu{-}Chieh Chien and Sih{-}Yen Wu and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Jin{-}Chern Chiou and Chih{-}Wei Chang and Lei{-}Chun Chou and Chung{-}Yu Wu}, title = {A fully integrated 8-channel closed-loop neural-prosthetic SoC for real-time epileptic seizure control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {286--287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487737}, doi = {10.1109/ISSCC.2013.6487737}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfcs/AntoniadisHOV13, author = {Antonios Antoniadis and Chien{-}Chung Huang and Sebastian Ott and Jos{\'{e}} Verschae}, editor = {Krishnendu Chatterjee and Jir{\'{\i}} Sgall}, title = {How to Pack Your Items When You Have to Buy Your Knapsack}, booktitle = {Mathematical Foundations of Computer Science 2013 - 38th International Symposium, {MFCS} 2013, Klosterneuburg, Austria, August 26-30, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8087}, pages = {62--73}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40313-2\_8}, doi = {10.1007/978-3-642-40313-2\_8}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mfcs/AntoniadisHOV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/HuangCKHC13, author = {Po{-}Chun Huang and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Chien{-}Chung Ho and Hyunseung Choo}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {Joint management of performance-predictable virtualized storage devices with hard disk and flash memory}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {461--466}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513293}, doi = {10.1145/2513228.2513293}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/HuangCKHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/ChangLPWHTHC13, author = {Chuan{-}Wang Chang and Hong{-}Jin Lai and Tien{-}Szu Pan and Yung{-}Chen Wu and Chien{-}Lung Huang and Hai{-}Ping Tsui and Chen{-}Ping Ho and Chung{-}Hsien Chen}, title = {Adaptive Resource Allocation for OFDM-Based Single-Relay Cooperative Communication Systems over Rayleigh Fading Channels}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {214--219}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.57}, doi = {10.1109/RVSP.2013.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/ChangLPWHTHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/WuSHCP13, author = {Chang{-}Tzuoh Wu and Ming{-}Hui Shao and Chien{-}Lung Huang and Chung{-}Hsien Chen and Tien{-}Szu Pan}, title = {A Kano-Based Evaluation Model for Extensive Innovation Procedure}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {178--181}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.48}, doi = {10.1109/RVSP.2013.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/WuSHCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/LiuTWFHCPHLC12, author = {Yushu Liu and Pei{-}Wen Tsai and Yong Wang and Tan{-}Chi Fan and Chia{-}Hung Hsieh and Margaret Dah{-}Tsyr Chang and Tun{-}Wen Pai and Chien{-}Fu Huang and Chung{-}Yu Lan and Hao{-}Teng Chang}, title = {Chemoattraction of macrophages by secretory molecules derived from cells expressing the signal peptide of eosinophil cationic protein}, journal = {{BMC} Syst. Biol.}, volume = {6}, pages = {105}, year = {2012}, url = {https://doi.org/10.1186/1752-0509-6-105}, doi = {10.1186/1752-0509-6-105}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/LiuTWFHCPHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HuangYNTHL12, author = {Ding{-}Jie Huang and Kai{-}Ting Yang and Chien{-}Chun Ni and Wei{-}Chung Teng and Tien{-}Ruey Hsiang and Yuh{-}Jye Lee}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Clock Skew Based Client Device Identification in Cloud Environments}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {526--533}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.51}, doi = {10.1109/AINA.2012.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HuangYNTHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ants/FangHRS12, author = {Rui Fang and Zequn Huang and Louis F. Rossi and Chien{-}Chung Shen}, editor = {Marco Dorigo and Mauro Birattari and Christian Blum and Anders Lyhne Christensen and Andries P. Engelbrecht and Roderich Gro{\ss} and Thomas St{\"{u}}tzle}, title = {Analysis of Ant-Based Routing with Wireless Medium Access Control}, booktitle = {Swarm Intelligence - 8th International Conference, {ANTS} 2012, Brussels, Belgium, September 12-14, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7461}, pages = {212--219}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32650-9\_20}, doi = {10.1007/978-3-642-32650-9\_20}, timestamp = {Mon, 16 Aug 2021 17:13:21 +0200}, biburl = {https://dblp.org/rec/conf/ants/FangHRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/HsiehLHC12, author = {Tsung{-}Jung Hsieh and Chung{-}Shou Liao and Yu{-}Syuan Huang and Chen{-}Fu Chien}, editor = {Liang Gao and Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Jianming Yong and Wenfeng Li and Weidong Li}, title = {A new morphology-based approach for similarity searching on wafer bin maps in semiconductor manufacturing}, booktitle = {{IEEE} 16th International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2012, May 23-25, 2012, Wuhan, China}, pages = {869--874}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CSCWD.2012.6221923}, doi = {10.1109/CSCWD.2012.6221923}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/HsiehLHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LinZTWHCKCCJ12, author = {Fang{-}Cheng Lin and John K. Zao and Kuan{-}Chung Tu and Yijun Wang and Yi{-}Pai Huang and Che{-}Wei Chuang and Heng{-}Yuan Kuo and Yu{-}Yi Chien and Ching{-}Chi Chou and Tzyy{-}Ping Jung}, title = {{SNR} analysis of high-frequency steady-state visual evoked potentials from the foveal and extrafoveal regions of Human Retina}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {1810--1814}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346302}, doi = {10.1109/EMBC.2012.6346302}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LinZTWHCKCCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/AbedH12, author = {Fidaa Abed and Chien{-}Chung Huang}, editor = {Leah Epstein and Paolo Ferragina}, title = {Preemptive Coordination Mechanisms for Unrelated Machines}, booktitle = {Algorithms - {ESA} 2012 - 20th Annual European Symposium, Ljubljana, Slovenia, September 10-12, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7501}, pages = {12--23}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33090-2\_3}, doi = {10.1007/978-3-642-33090-2\_3}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esa/AbedH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/HuangCNCLCK12, author = {Chao{-}Jen Huang and Wei{-}Chung Chen and Chia{-}Lung Ni and Ke{-}Horng Chen and Chien{-}Chun Lu and Yuan{-}Hua Chu and Ming{-}Ching Kuo}, title = {Thermoelectric energy harvesting with 1mV low input voltage and 390nA quiescent current for 99.6{\%} maximum power point tracking}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {105--108}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341267}, doi = {10.1109/ESSCIRC.2012.6341267}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/HuangCNCLCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grc/HuangWC12, author = {Feixiang Huang and Shengyong Wang and Chien{-}Chung Chan}, editor = {Tsau Young Lin and Xiaohua Hu and Zhaohui Wu and Arbee L. P. Chen and Andrei Z. Broder and Howard Ho and Shuliang Wang}, title = {Predicting disease by using data mining based on healthcare information system}, booktitle = {2012 {IEEE} International Conference on Granular Computing, GrC 2012, Hangzhou, China, August 11-13, 2012}, pages = {191--194}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/GrC.2012.6468691}, doi = {10.1109/GRC.2012.6468691}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grc/HuangWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LiLWTC12, author = {Chung{-}Te Li and Yen{-}Chieh Lai and Chien Wu and Sung{-}Fang Tsai and Liang{-}Gee Chen}, title = {3D image correction by Hilbert Huang decomposition}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {271--272}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6161862}, doi = {10.1109/ICCE.2012.6161862}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LiLWTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdip/ChienCLHCHC12, author = {Shao{-}Chi Chien and Yu{-}Wei Chung and Yi{-}Hsuan Lin and Jun{-}Yi Huang and Jhih{-}Ting Chang and Cai{-}Ying He and Yi{-}Wen Cheng}, editor = {Mohamed Othman and Sukumar Senthilkumar and Xie Yi}, title = {Mackay campus of environmental education and digital cultural construction: the application of 3D virtual reality}, booktitle = {Fourth International Conference on Digital Image Processing, {ICDIP} 2012, Kuala Lumpur, Malaysia, April 7-8, 2012}, series = {{SPIE} Proceedings}, volume = {8334}, pages = {833407}, publisher = {{SPIE}}, year = {2012}, url = {https://doi.org/10.1117/12.945981}, doi = {10.1117/12.945981}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdip/ChienCLHCHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/LinHK12, author = {Cheng{-}Yuan Lin and Chien{-}Hung Huang and Chih{-}Chung Kuo}, title = {A simple and effective pitch re-estimation method for rich prosody and speaking styles in HMM-based speech synthesis}, booktitle = {8th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2012, Kowloon Tong, China, December 5-8, 2012}, pages = {286--290}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCSLP.2012.6423473}, doi = {10.1109/ISCSLP.2012.6423473}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/LinHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/HuangSLTCS12, author = {Chung{-}Hsin Huang and Chi{-}Hsien Sun and Ching{-}Lieh Li and Lung{-}Fai Tuen and Chien{-}Ching Chiu and Mau{-}Chhi Sun}, title = {Inverse scattering for a buried 2D homogeneous dielectric cylinder using by {SSGA}}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {721--724}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473585}, doi = {10.1109/ISPACS.2012.6473585}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/HuangSLTCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/SunHTLCWW12, author = {Chi{-}Hsien Sun and Chung{-}Hsin Huang and Lung{-}Fai Tuen and Ching{-}Lieh Li and Chien{-}Ching Chiu and Chih{-}Hung Weng and Huang{-}Wei Wong}, title = {Image reconstruction for buried inhomogeneous dielectric cylinders by evolutionary algorithms}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {716--720}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473584}, doi = {10.1109/ISPACS.2012.6473584}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/SunHTLCWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/HuangK12, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Yuval Rabani}, title = {Efficient algorithms for maximum weight matchings in general graphs with small edge weights}, booktitle = {Proceedings of the Twenty-Third Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2012, Kyoto, Japan, January 17-19, 2012}, pages = {1400--1412}, publisher = {{SIAM}}, year = {2012}, url = {https://doi.org/10.1137/1.9781611973099.110}, doi = {10.1137/1.9781611973099.110}, timestamp = {Tue, 02 Feb 2021 17:07:31 +0100}, biburl = {https://dblp.org/rec/conf/soda/HuangK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swat/AntoniadisH12, author = {Antonios Antoniadis and Chien{-}Chung Huang}, editor = {Fedor V. Fomin and Petteri Kaski}, title = {Non-preemptive Speed Scaling}, booktitle = {Algorithm Theory - {SWAT} 2012 - 13th Scandinavian Symposium and Workshops, Helsinki, Finland, July 4-6, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7357}, pages = {249--260}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31155-0\_22}, doi = {10.1007/978-3-642-31155-0\_22}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/swat/AntoniadisH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Huang11c, author = {Chien{-}Chung Huang}, title = {Discrete event system modeling using SysML and model transformation}, school = {Georgia Institute of Technology, Atlanta, GA, {USA}}, year = {2011}, url = {https://hdl.handle.net/1853/45830}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Huang11c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/HuangKMN11, author = {Chien{-}Chung Huang and Telikepalli Kavitha and Dimitrios Michail and Meghana Nasre}, title = {Bounded Unpopularity Matchings}, journal = {Algorithmica}, volume = {61}, number = {3}, pages = {738--757}, year = {2011}, url = {https://doi.org/10.1007/s00453-010-9434-9}, doi = {10.1007/S00453-010-9434-9}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/HuangKMN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caee/KuoKLHL11, author = {Hui{-}Lung Kuo and Shih{-}Chung Kang and Cho{-}Chien Lu and Shang{-}Hsien Hsieh and Yong{-}Huang Lin}, title = {Using virtual instruments to teach surveying courses: Application and assessment}, journal = {Comput. Appl. Eng. Educ.}, volume = {19}, number = {3}, pages = {411--420}, year = {2011}, url = {https://doi.org/10.1002/cae.20291}, doi = {10.1002/CAE.20291}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caee/KuoKLHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/YauTWTC11, author = {Wei{-}Hung Yau and Pai{-}Chung Tseng and Hua{-}Chiang Wen and Chien{-}Huang Tsai and Wu{-}Ching Chou}, title = {Luminescence properties of mechanically nanoindented ZnSe}, journal = {Microelectron. Reliab.}, volume = {51}, number = {5}, pages = {931--935}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2011.01.005}, doi = {10.1016/J.MICROREL.2011.01.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/YauTWTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/PengLCKLCHCWTH11, author = {Jyh{-}Ying Peng and Chung{-}Chih Lin and Yen{-}Jen Chen and Lung{-}Sen Kao and Young{-}Chau Liu and Chung{-}Chien Chou and Yi{-}Hung Huang and Fang{-}Rong Chang and Yang{-}Chang Wu and Yuh{-}Show Tsai and Chun{-}Nan Hsu}, title = {Automatic Morphological Subtyping Reveals New Roles of Caspases in Mitochondrial Dynamics}, journal = {PLoS Comput. Biol.}, volume = {7}, number = {10}, year = {2011}, url = {https://doi.org/10.1371/journal.pcbi.1002212}, doi = {10.1371/JOURNAL.PCBI.1002212}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/PengLCKLCHCWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ConstantinRHP11, author = {Florin Constantin and Malvika Rao and Chien{-}Chung Huang and David C. Parkes}, editor = {Wolfram Burgard and Dan Roth}, title = {On Expressing Value Externalities in Position Auctions}, booktitle = {Proceedings of the Twenty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2011, San Francisco, California, USA, August 7-11, 2011}, pages = {644--649}, publisher = {{AAAI} Press}, year = {2011}, url = {https://doi.org/10.1609/aaai.v25i1.7889}, doi = {10.1609/AAAI.V25I1.7889}, timestamp = {Mon, 04 Sep 2023 16:05:54 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ConstantinRHP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LinHYLHCWCH11, author = {Huan{-}Guo Lin and Chung{-}Hua Hu and Hey{-}Chyi Young and Kuan{-}Hsiung Liang and Yung{-}Yi Hsu and Chia{-}Chen Chu and Chi{-}Sheng Wu and Chien{-}Wei Cheng and Yao{-}Te Huang}, title = {Cloud {BOSS:} Cloud-centric {BSS/OSS} for enterprise cloud service operations}, booktitle = {13th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2011, Taipei, Taiwan, September 21-23, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/APNOMS.2011.6076959}, doi = {10.1109/APNOMS.2011.6076959}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/LinHYLHCWCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/WangCLCMH11, author = {Jinn{-}Shyan Wang and Yung{-}Chen Chien and Jia{-}Hong Lin and Chun{-}Yuan Cheng and Ying{-}Ting Ma and Chung{-}Hsun Huang}, title = {ADDLL/VDD-biasing co-design for process characterization, performance calibration, and clock synchronization in variation-tolerant designs}, booktitle = {2011 {IEEE} 9th International Conference on ASIC, {ASICON} 2011, Xiamen, China, October 25-28, 2011}, pages = {47--50}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASICON.2011.6157119}, doi = {10.1109/ASICON.2011.6157119}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/WangCLCMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/HuangK11, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Camil Demetrescu and Magn{\'{u}}s M. Halld{\'{o}}rsson}, title = {Near-Popular Matchings in the Roommates Problem}, booktitle = {Algorithms - {ESA} 2011 - 19th Annual European Symposium, Saarbr{\"{u}}cken, Germany, September 5-9, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6942}, pages = {167--179}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23719-5\_15}, doi = {10.1007/978-3-642-23719-5\_15}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/esa/HuangK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/evoW/FangHRS11, author = {Rui Fang and Zequn Huang and Louis F. Rossi and Chien{-}Chung Shen}, editor = {Cecilia Di Chio and Anthony Brabazon and Gianni A. Di Caro and Rolf Drechsler and Muddassar Farooq and J{\"{o}}rn Grahl and Gary Greenfield and Christian Prins and Juan Romero and Giovanni Squillero and Ernesto Tarantino and Andrea Tettamanzi and Neil Urquhart and A. Sima Etaner{-}Uyar}, title = {Dynamic Routing Exponent Strategies for Ant-Based Protocols}, booktitle = {Applications of Evolutionary Computation - EvoApplications 2011: EvoCOMNET, EvoFIN, EvoHOT, EvoMUSART, EvoSTIM, and EvoTRANSLOG, Torino, Italy, April 27-29, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6625}, pages = {21--30}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20520-0\_3}, doi = {10.1007/978-3-642-20520-0\_3}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/evoW/FangHRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/Huang11, author = {Chien{-}Chung Huang}, editor = {Luca Aceto and Monika Henzinger and Jir{\'{\i}} Sgall}, title = {Collusion in Atomic Splittable Routing Games}, booktitle = {Automata, Languages and Programming - 38th International Colloquium, {ICALP} 2011, Zurich, Switzerland, July 4-8, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6756}, pages = {564--575}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22012-8\_45}, doi = {10.1007/978-3-642-22012-8\_45}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/icalp/Huang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalp/HuangK11, author = {Chien{-}Chung Huang and Telikepalli Kavitha}, editor = {Luca Aceto and Monika Henzinger and Jir{\'{\i}} Sgall}, title = {Popular Matchings in the Stable Marriage Problem}, booktitle = {Automata, Languages and Programming - 38th International Colloquium, {ICALP} 2011, Zurich, Switzerland, July 4-8, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6755}, pages = {666--677}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22006-7\_56}, doi = {10.1007/978-3-642-22006-7\_56}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/icalp/HuangK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/ChenYL11, author = {Sheng{-}Wen Chen and Chung{-}Huang Yang and Chien{-}Tsung Liu}, editor = {Junzo Watada and Pau{-}Choo Chung and Jim{-}Min Lin and Chin{-}Shiuh Shieh and Jeng{-}Shyang Pan}, title = {Design and Implementation of Live {SD} Acquisition Tool in Android Smart Phone}, booktitle = {Fifth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2011, Kinmen, Taiwan / Xiamen, China, August 29 - September 1, 2011}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICGEC.2011.46}, doi = {10.1109/ICGEC.2011.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icgec/ChenYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangMLW11, author = {Chien{-}Lin Huang and Bin Ma and Haizhou Li and Chung{-}Hsien Wu}, title = {Speech Indexing Using Semantic Context Inference}, booktitle = {12th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2011, Florence, Italy, August 27-31, 2011}, pages = {717--720}, publisher = {{ISCA}}, year = {2011}, url = {https://doi.org/10.21437/Interspeech.2011-278}, doi = {10.21437/INTERSPEECH.2011-278}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangMLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/HwangLLLLHCYWCC11, author = {Flora W. H. Hwang and Gage Lin and Yu{-}Shu Liu and Chung{-}Kuei Li and Yaya Lin and Jeremy Huang and Yu{-}Hsin Chien and Zheng{-}Hao Yang and Tuffkid Wu and Shih{-}Yao Chang and Way Chang and Benny Chung and Crazy Dog and Kyle Wen and Yi{-}Ping Chueh and Moody Cheng and Nadia Chang and Joe Chiang and Chen{-}Hung Chuang and Ea Wu}, editor = {Eric Liu}, title = {Opuesto}, booktitle = {{SIGGRAPH} Asia 2011 Computer Animation Festival, Hong Kong, December 12-15, 2011}, pages = {28:1}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2077356.2425744}, doi = {10.1145/2077356.2425744}, timestamp = {Thu, 11 Mar 2021 13:45:50 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/HwangLLLLHCYWCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wg/HermelinHKW11, author = {Danny Hermelin and Chien{-}Chung Huang and Stefan Kratsch and Magnus Wahlstr{\"{o}}m}, editor = {Petr Kolman and Jan Kratochv{\'{\i}}l}, title = {Parameterized Two-Player Nash Equilibrium}, booktitle = {Graph-Theoretic Concepts in Computer Science - 37th International Workshop, {WG} 2011, Tepl{\'{a}} Monastery, Czech Republic, June 21-24, 2011. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {6986}, pages = {215--226}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25870-1\_20}, doi = {10.1007/978-3-642-25870-1\_20}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wg/HermelinHKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/Huang10, author = {Chien{-}Chung Huang}, title = {Circular Stable Matching and 3-way Kidney Transplant}, journal = {Algorithmica}, volume = {58}, number = {1}, pages = {137--150}, year = {2010}, url = {https://doi.org/10.1007/s00453-009-9356-6}, doi = {10.1007/S00453-009-9356-6}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/Huang10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/ChienCH10, author = {Ting{-}Li Chien and Chung{-}Cheng Chen and Chiou{-}Jye Huang}, title = {Feedback Linearization Control and Its Application to {MIMO} Cancer Immunotherapy}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {18}, number = {4}, pages = {953--961}, year = {2010}, url = {https://doi.org/10.1109/TCST.2009.2029089}, doi = {10.1109/TCST.2009.2029089}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/ChienCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChenTCPHYCHLLFYWCWWP10, author = {Wei{-}Chih Chen and Chien{-}Chun Tsai and Chih{-}Hsien Chang and Yung{-}Chow Peng and Fu{-}Lung Hsueh and Tsung{-}Hsin Yu and Jinn{-}Yeh Chien and Wen{-}Hung Huang and Chi{-}Chang Lu and Mu{-}Shan Lin and Chin{-}Ming Fu and Shu{-}Chun Yang and Chung{-}Wing Wong and Wan{-}Te Chen and Chin{-}Hua Wen and Li Yueh Wang and Chiang Pu}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A 2.5-8Gb/s transceiver with 5-tap {DFE} and Second order {CDR} against 28-inch channel and 5000ppm {SSC} in 40nm {CMOS} technology}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617469}, doi = {10.1109/CICC.2010.5617469}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChenTCPHYCHLLFYWCWWP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LinCTHWKLHL10, author = {Cheng{-}Yen Lin and Po{-}Yu Chen and Chun{-}Kai Tseng and Chung{-}Wen Huang and Chia{-}Chieh Weng and Chi{-}Bang Kuan and Shih{-}Han Lin and Shi{-}Yu Huang and Jenq Kuen Lee}, editor = {Tony Givargis and Adam Donlin}, title = {Power aware SID-based simulator for embedded multicore {DSP} subsystems}, booktitle = {Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10 Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010}, pages = {95--104}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1878961.1878981}, doi = {10.1145/1878961.1878981}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/LinCTHWKLHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/ChangTHH10, author = {Bao Rong Chang and Hsiu Fen Tsai and Chien{-}Feng Huang and His{-}Chung Huang}, editor = {Jeng{-}Shyang Pan and Shyi{-}Ming Chen and Ngoc Thanh Nguyen}, title = {Private Small-Cloud Computing in Connection with WinCE Thin Client}, booktitle = {Computational Collective Intelligence. Technologies and Applications - Second International Conference, {ICCCI} 2010, Kaohsiung, Taiwan, November 10-12, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6422}, pages = {172--182}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16732-4\_19}, doi = {10.1007/978-3-642-16732-4\_19}, timestamp = {Thu, 16 Mar 2023 20:00:30 +0100}, biburl = {https://dblp.org/rec/conf/iccci/ChangTHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChengHCHWLSK10, author = {Kai{-}chien Cheng and Cheinway Hwang and Yu{-}Hsiang Chung and Ching{-}Yao Huang and Shiang{-}Hung Wei and Hyongki Lee and C. K. Shum and Chung{-}yen Kuo}, title = {Solid earth deformation monitoring using satellite altimetry in southwestern coasts in Taiwan}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {2163--2166}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5652104}, doi = {10.1109/IGARSS.2010.5652104}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChengHCHWLSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incdm/ChiuLCLH10, author = {Chien{-}Yi Chiu and Yuh{-}Jye Lee and Chien{-}Chung Chang and Wen{-}Yang Luo and Hsiu{-}Chuan Huang}, editor = {Petra Perner}, title = {Semi-supervised Learning for False Alarm Reduction}, booktitle = {Advances in Data Mining. Applications and Theoretical Aspects, 10th Industrial Conference, {ICDM} 2010, Berlin, Germany, July 12-14, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6171}, pages = {595--605}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14400-4\_46}, doi = {10.1007/978-3-642-14400-4\_46}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/incdm/ChiuLCLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipco/BhaskarFH10, author = {Umang Bhaskar and Lisa Fleischer and Chien{-}Chung Huang}, editor = {Friedrich Eisenbrand and F. Bruce Shepherd}, title = {The Price of Collusion in Series-Parallel Networks}, booktitle = {Integer Programming and Combinatorial Optimization, 14th International Conference, {IPCO} 2010, Lausanne, Switzerland, June 9-11, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6080}, pages = {313--326}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13036-6\_24}, doi = {10.1007/978-3-642-13036-6\_24}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipco/BhaskarFH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/WangCHCC10, author = {Neng{-}Chung Wang and Shih{-}Chien Chang and Yung{-}Fa Huang and Ching{-}Mu Chen and Young{-}Long Chen}, editor = {Ahmed Helmy and Peter Mueller and Yan Zhang}, title = {An efficient data aggregation scheme for grid-based wireless sensor networks}, booktitle = {Proceedings of the 6th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2010, Caen, France, June 28 - July 2, 2010}, pages = {1213--1217}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815396.1815674}, doi = {10.1145/1815396.1815674}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/WangCHCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/BhattH10, author = {Vibhor Bhatt and Chien{-}Chung Huang}, editor = {Andr{\'{e}}a W. Richa and Rachid Guerraoui}, title = {Group mutual exclusion in \emph{O}(log \emph{n}) {RMR}}, booktitle = {Proceedings of the 29th Annual {ACM} Symposium on Principles of Distributed Computing, {PODC} 2010, Zurich, Switzerland, July 25-28, 2010}, pages = {45--54}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835698.1835708}, doi = {10.1145/1835698.1835708}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/BhattH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/Huang10, author = {Chien{-}Chung Huang}, editor = {Moses Charikar}, title = {Classified Stable Matching}, booktitle = {Proceedings of the Twenty-First Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2010, Austin, Texas, USA, January 17-19, 2010}, pages = {1235--1253}, publisher = {{SIAM}}, year = {2010}, url = {https://doi.org/10.1137/1.9781611973075.99}, doi = {10.1137/1.9781611973075.99}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soda/Huang10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1006-2063, author = {Danny Hermelin and Chien{-}Chung Huang and Stefan Kratsch and Magnus Wahlstr{\"{o}}m}, title = {Parameterized Two-Player Nash Equilibrium}, journal = {CoRR}, volume = {abs/1006.2063}, year = {2010}, url = {http://arxiv.org/abs/1006.2063}, eprinttype = {arXiv}, eprint = {1006.2063}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1006-2063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/WuHLL09, author = {Chung{-}Hsien Wu and Chien{-}Lin Huang and Wei{-}Chuan Lee and Yu{-}Sheng Lai}, title = {Speech-Annotated Photo Retrieval Using Syllable-Transformed Patterns}, journal = {{IEEE} Signal Process. Lett.}, volume = {16}, number = {1}, pages = {6--9}, year = {2009}, url = {https://doi.org/10.1109/LSP.2008.2008490}, doi = {10.1109/LSP.2008.2008490}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/WuHLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuangYM09, author = {Chien{-}Jen Huang and Chung{-}Wen Yu and Hsi{-}Pin Ma}, title = {A Power-Efficient Configurable Low-Complexity {MIMO} Detector}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {2}, pages = {485--496}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2001368}, doi = {10.1109/TCSI.2008.2001368}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuangYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/Huang-FuLR09, author = {Chien{-}Chun Huang{-}Fu and Yi{-}Bing Lin and Herman Chung{-}Hwa Rao}, title = {{IP2P:} a peer-to-peer system for mobile devices}, journal = {{IEEE} Wirel. Commun.}, volume = {16}, number = {2}, pages = {30--36}, year = {2009}, url = {https://doi.org/10.1109/MWC.2009.4907557}, doi = {10.1109/MWC.2009.4907557}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/Huang-FuLR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenGHWSHCHLH09, author = {Liang{-}Bi Chen and Ruei{-}Ting Gu and Wei{-}Sheng Huang and Chien{-}Chou Wang and Wen{-}Chi Shiue and Tsung{-}Yu Ho and Yun{-}Nan Chang and Shen{-}Fu Hsiao and Chung{-}Nan Lee and Ing{-}Jer Huang}, editor = {Kazutoshi Wakabayashi}, title = {An 8.69 Mvertices/s 278 Mpixels/s tile-based 3D graphics SoC {HW/SW} development for consumer electronics}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {131--132}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796467}, doi = {10.1109/ASPDAC.2009.4796467}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenGHWSHCHLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ChenTCTHHHCBTC09, author = {Chien{-}Yu Chen and Fuu{-}Jen Tsai and Jing{-}Gung Chung and Chang{-}Hai Tsai and Yuan{-}Man Hsu and Hung{-}Jin Huang and Tin{-}Yun Ho and Yea{-}Huey Chang and Da{-}Tian Bau and Ming{-}Hsui Tsai and Calvin Yu{-}Chian Chen}, title = {A Novel Strategy for Designing Dual-Target Inhibitors of {KU86} and {XRCC4}}, booktitle = {Proceedings of the 2nd International Conference on BioMedical Engineering and Informatics, {BMEI} 2009, October 17-19, 2009, Tianjin, China}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/BMEI.2009.5302325}, doi = {10.1109/BMEI.2009.5302325}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ChenTCTHHHCBTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/HuangS09, author = {Chien{-}Chung Huang and Zoya Svitkina}, editor = {Ravi Kannan and K. Narayan Kumar}, title = {Donation Center Location Problem}, booktitle = {{IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2009, December 15-17, 2009, {IIT} Kanpur, India}, series = {LIPIcs}, volume = {4}, pages = {227--238}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2009}, url = {https://doi.org/10.4230/LIPIcs.FSTTCS.2009.2321}, doi = {10.4230/LIPICS.FSTTCS.2009.2321}, timestamp = {Tue, 11 Feb 2020 15:52:14 +0100}, biburl = {https://dblp.org/rec/conf/fsttcs/HuangS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hvei/HuangC09, author = {Pi{-}Chun Huang and Chien{-}Chung Chen}, editor = {Bernice E. Rogowitz and Thrasyvoulos N. Pappas}, title = {Pattern masking investigations of the second-order visual mechanisms}, booktitle = {Human Vision and Electronic Imaging XIV, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Jose, CA, USA, January 19-22, 2009, Proceedings}, series = {{SPIE} Proceedings}, volume = {7240}, pages = {724016}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.805817}, doi = {10.1117/12.805817}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hvei/HuangC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icreate/ChenKH09, author = {Ming{-}Chung Chen and Chien{-}Chuan Ko and Chi{-}Ren Huang}, editor = {Wei Tech Ang and Wantanee Phantachat}, title = {Supportive reading system for students with special educational needs in Taiwan}, booktitle = {Proceedings of the 3rd International Convention on Rehabilitation Engineering {\&} Assistive Technology, i-CREATe '09, Singapore, April 22-26, 2009}, pages = {33:1--33:4}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1592700.1592738}, doi = {10.1145/1592700.1592738}, timestamp = {Fri, 18 Mar 2022 13:23:50 +0100}, biburl = {https://dblp.org/rec/conf/icreate/ChenKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/TsengWWHKLSCLYD09, author = {Yu{-}Chee Tseng and Chin{-}Hao Wu and Fang{-}Jing Wu and Chi{-}Fu Huang and Chung{-}Ta King and Chun{-}Yu Lin and Jang{-}Ping Sheu and Chun{-}Yu Chen and Chi{-}Yuan Lo and Chien{-}Wen Yang and Chi{-}Wen Deng}, editor = {Yu{-}Chee Tseng and Peter Scheuermann and Ralf Hartmut G{\"{u}}ting and Wang{-}Chien Lee and Chung{-}Ta King and Evaggelia Pitoura}, title = {A Wireless Human Motion Capturing System for Home Rehabilitation}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {359--360}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MDM.2009.51}, doi = {10.1109/MDM.2009.51}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/TsengWWHKLSCLYD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/BhaskarFHH09, author = {Umang Bhaskar and Lisa Fleischer and Darrell Hoy and Chien{-}Chung Huang}, editor = {Claire Mathieu}, title = {Equilibria of atomic flow games are not unique}, booktitle = {Proceedings of the Twentieth Annual {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2009, New York, NY, USA, January 4-6, 2009}, pages = {748--757}, publisher = {{SIAM}}, year = {2009}, url = {https://doi.org/10.1137/1.9781611973068.82}, doi = {10.1137/1.9781611973068.82}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soda/BhaskarFHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0907-1779, author = {Chien{-}Chung Huang}, title = {Classified Stable Matching}, journal = {CoRR}, volume = {abs/0907.1779}, year = {2009}, url = {http://arxiv.org/abs/0907.1779}, eprinttype = {arXiv}, eprint = {0907.1779}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0907-1779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChungLHC08, author = {Shu{-}Hsing Chung and Amy H. I. Lee and Chun{-}Ying Huang and Chia{-}Chien Chuang}, title = {Capacity pricing mechanism for wafer fabrication}, journal = {Comput. Ind. Eng.}, volume = {55}, number = {3}, pages = {647--662}, year = {2008}, url = {https://doi.org/10.1016/j.cie.2008.02.006}, doi = {10.1016/J.CIE.2008.02.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChungLHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/YangWLL08, author = {Su{-}Hua Yang and Chyi{-}Chung Wu and Chien{-}Fa Lee and Mine{-}Huang Liu}, title = {Synthesis and luminescence of red {MEH-PPV:} {P3OT} polymer}, journal = {Displays}, volume = {29}, number = {3}, pages = {214--218}, year = {2008}, url = {https://doi.org/10.1016/j.displa.2007.08.002}, doi = {10.1016/J.DISPLA.2007.08.002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/YangWLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/HuangLCWW08, author = {Chung{-}Hsin Huang and Hung{-}Cheng Lu and Chien{-}Ching Chiu and Tadeusz A. Wysocki and Beata J. Wysocki}, title = {Image reconstruction of buried multiple conductors by genetic algorithms}, journal = {Int. J. Imaging Syst. Technol.}, volume = {18}, number = {4}, pages = {276--281}, year = {2008}, url = {https://doi.org/10.1002/ima.20158}, doi = {10.1002/IMA.20158}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/HuangLCWW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/HuangLLC08, author = {Chung{-}Hsin Huang and Chun{-}Liang Liu and Chun Jen Lin and Chien{-}Ching Chiu}, title = {Inverse scattering of buried inhomogeneous biaxial dielectric cylinders coated on a conductor}, journal = {Int. J. Imaging Syst. Technol.}, volume = {18}, number = {4}, pages = {228--236}, year = {2008}, url = {https://doi.org/10.1002/ima.20133}, doi = {10.1002/IMA.20133}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/HuangLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/DingHLYW08, author = {Jun{-}Ren Ding and Chien{-}Lin Huang and Ji{-}Kun Lin and Jar{-}Ferr Yang and Chung{-}Hsien Wu}, title = {Interactive multimedia mirror system design}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {54}, number = {3}, pages = {972--980}, year = {2008}, url = {https://doi.org/10.1109/TCE.2008.4637575}, doi = {10.1109/TCE.2008.4637575}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/DingHLYW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ChienCHL08, author = {Ting{-}Li Chien and Chung{-}Cheng Chen and Yi{-}Chieh Huang and Wen{-}Jiun Lin}, title = {Stability and Almost Disturbance Decoupling Analysis of Nonlinear System Subject to Feedback Linearization and Feedforward Neural Network Controller}, journal = {{IEEE} Trans. Neural Networks}, volume = {19}, number = {7}, pages = {1220--1230}, year = {2008}, url = {https://doi.org/10.1109/TNN.2008.2000207}, doi = {10.1109/TNN.2008.2000207}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/ChienCHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HuangWLHM08, author = {Chien{-}Lin Huang and Chung{-}Hsien Wu and Haizhou Li and Chia{-}Hsin Hsieh and Bin Ma}, title = {Unsupervised pronunciation grammar growing using knowledge-based and data-driven approaches}, booktitle = {Proceedings of the 2008 {IEEE} International Conference on Multimedia and Expo, {ICME} 2008, June 23-26 2008, Hannover, Germany}, pages = {1097--1100}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICME.2008.4607630}, doi = {10.1109/ICME.2008.4607630}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/HuangWLHM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangMWML08, author = {Chien{-}Lin Huang and Bin Ma and Chung{-}Hsien Wu and Brian Mak and Haizhou Li}, title = {Robust speaker verification using short-time frequency with long-time window and fusion of multi-resolutions}, booktitle = {9th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2008, Brisbane, Australia, September 22-26, 2008}, pages = {1897--1900}, publisher = {{ISCA}}, year = {2008}, url = {https://doi.org/10.21437/Interspeech.2008-502}, doi = {10.21437/INTERSPEECH.2008-502}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangMWML08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miar/LeeLHLW08, author = {Jiann{-}Der Lee and Chung{-}Wei Lin and Chung{-}Hsien Huang and Shin{-}Tseng Lee and Chien{-}Tsai Wu}, editor = {Takeyoshi Dohi and Ichiro Sakuma and Hongen Liao}, title = {A Navigation System for Brain Surgery Using Computer Vision Technology}, booktitle = {Medical Imaging and Augmented Reality, 4th International Workshop, {MIAR} 2008, Tokyo, Japan, August 1-2, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5128}, pages = {289--299}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-79982-5\_32}, doi = {10.1007/978-3-540-79982-5\_32}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miar/LeeLHLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/HuangCJH08, author = {Shih{-}Chang Huang and Chien Chen and Rong{-}Hong Jan and Cheng{-}Chung Hsieh}, title = {An energy-efficient scheduling for multiple MSSs in {IEEE} 802.16e broadband wireless}, booktitle = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/PIMRC.2008.4699503}, doi = {10.1109/PIMRC.2008.4699503}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/HuangCJH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ShihCCWCHHY08, author = {Po{-}Chi Shih and Hsi{-}Min Chen and Yeh{-}Ching Chung and Chien{-}Min Wang and Ruay{-}Shiung Chang and Ching{-}Hsien Hsu and Kuo{-}Chan Huang and Chao{-}Tung Yang}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {Middleware of Taiwan UniGrid}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {489--493}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1363807}, doi = {10.1145/1363686.1363807}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ShihCCWCHHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swat/HuangKMN08, author = {Chien{-}Chung Huang and Telikepalli Kavitha and Dimitrios Michail and Meghana Nasre}, editor = {Joachim Gudmundsson}, title = {Bounded Unpopularity Matchings}, booktitle = {Algorithm Theory - {SWAT} 2008, 11th Scandinavian Workshop on Algorithm Theory, Gothenburg, Sweden, July 2-4, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5124}, pages = {127--137}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69903-3\_13}, doi = {10.1007/978-3-540-69903-3\_13}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/swat/HuangKMN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HuangW07, author = {Chien{-}Lin Huang and Chung{-}Hsien Wu}, title = {Spoken Document Retrieval Using Multilevel Knowledge and Semantic Verification}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {15}, number = {8}, pages = {2551--2560}, year = {2007}, url = {https://doi.org/10.1109/TASL.2007.907429}, doi = {10.1109/TASL.2007.907429}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/HuangW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuangW07, author = {Chien{-}Lin Huang and Chung{-}Hsien Wu}, title = {Generation of Phonetic Units for Mixed-Language Speech Recognition Based on Acoustic and Contextual Analysis}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {9}, pages = {1225--1233}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1064}, doi = {10.1109/TC.2007.1064}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HuangW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WuHH07, author = {Chung{-}Hsien Wu and Chia{-}Hsin Hsieh and Chien{-}Lin Huang}, title = {Speech Sentence Compression Based on Speech Segment Extraction and Concatenation}, journal = {{IEEE} Trans. Multim.}, volume = {9}, number = {2}, pages = {434--438}, year = {2007}, url = {https://doi.org/10.1109/TMM.2006.887995}, doi = {10.1109/TMM.2006.887995}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/WuHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/Huang07, author = {Chien{-}Chung Huang}, editor = {Lars Arge and Michael Hoffmann and Emo Welzl}, title = {Two's Company, Three's a Crowd: Stable Family and Threesome Roommates Problems}, booktitle = {Algorithms - {ESA} 2007, 15th Annual European Symposium, Eilat, Israel, October 8-10, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4698}, pages = {558--569}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75520-3\_50}, doi = {10.1007/978-3-540-75520-3\_50}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/esa/Huang07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuangW07, author = {Chien{-}Lin Huang and Chung{-}Hsien Wu}, title = {Phone Set Generation Based on Acoustic and Contextual Analysis for Multilingual Speech Recognition}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2007, Honolulu, Hawaii, USA, April 15-20, 2007}, pages = {1017--1020}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICASSP.2007.367245}, doi = {10.1109/ICASSP.2007.367245}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HuangW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChienHF07, author = {Ching{-}Yu Chien and Chung{-}Lin Huang and Chih{-}Ming Fu}, title = {A Vision-Based Real-Time Pointing Arm Gesture Tracking and Recognition System}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {983--986}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284817}, doi = {10.1109/ICME.2007.4284817}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChienHF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/PengHCHBCCJHFL07, author = {Wei{-}Ting Peng and En{-}Wei Huang and Wei{-}Lun Chang and Po{-}Chung Huang and Jun{-}Ying Bai and Han{-}Ru Chen and Shao{-}Yi Chien and Shyh{-}Kang Jeng and Yi{-}Ping Hung and Li{-}Chen Fu and Lin{-}Shan Lee}, title = {Virtual Conduction System with Multi-Resolution Wall Display}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284572}, doi = {10.1109/ICME.2007.4284572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/PengHCHBCCJHFL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/HuangLYC07, author = {Shun{-}He Huang and Chien{-}Hung Lin and Shu{-}Chung Yi and Jin{-}Jia Chen}, editor = {Bin{-}Yih Liao and Jeng{-}Shyang Pan and Lakhmi C. Jain and Mark Liao and Hideki Noda and Anthony T. S. Ho}, title = {A Chinese Abacus {DAC} for Video Applications}, booktitle = {3rd International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2007), Kaohsiung, Taiwan, 26-28 November 2007, Proceedings}, pages = {507--510}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IIH-MSP.2007.6}, doi = {10.1109/IIH-MSP.2007.6}, timestamp = {Fri, 24 Mar 2023 08:33:27 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/HuangLYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/HuangKLW07, author = {Chien{-}Chung Huang and Ming{-}Yang Kao and Xiang{-}Yang Li and Weizhao Wang}, editor = {Takeshi Tokuyama}, title = {Using Nash Implementation to Achieve Better Frugality Ratios}, booktitle = {Algorithms and Computation, 18th International Symposium, {ISAAC} 2007, Sendai, Japan, December 17-19, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4835}, pages = {377--389}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77120-3\_34}, doi = {10.1007/978-3-540-77120-3\_34}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isaac/HuangKLW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYHC07, author = {Chung{-}Yu Chang and Wei{-}Bin Yang and Ching{-}Ji Huang and Cheng{-}Hsing Chien}, title = {New Power Gating Structure with Low Voltage Fluctuations by Bulk Controller in Transition Mode}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {3740--3743}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378656}, doi = {10.1109/ISCAS.2007.378656}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuH07, author = {Chung{-}Yu Wu and Chien{-}Ta Huang}, title = {A {CMOS} Expansion/Contraction Motion Sensor with a Retinal Processing Circuit for Z-motion Detection Applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {3087--3090}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378061}, doi = {10.1109/ISCAS.2007.378061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/DingHLYW07, author = {Jun{-}Ren Ding and Chien{-}Lin Huang and Ji{-}Kun Lin and Jar{-}Ferr Yang and Chung{-}Hsien Wu}, title = {Magic Mirror}, booktitle = {Ninth {IEEE} International Symposium on Multimedia, {ISM} 2007, Taichung, Taiwan, December 10-12, 2007}, pages = {176--185}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISM.2007.4412372}, doi = {10.1109/ISM.2007.4412372}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ism/DingHLYW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stacs/Huang07, author = {Chien{-}Chung Huang}, editor = {Wolfgang Thomas and Pascal Weil}, title = {Cheating to Get Better Roommates in a Random Stable Matching}, booktitle = {{STACS} 2007, 24th Annual Symposium on Theoretical Aspects of Computer Science, Aachen, Germany, February 22-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4393}, pages = {453--464}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-70918-3\_39}, doi = {10.1007/978-3-540-70918-3\_39}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/stacs/Huang07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/HuangS06, author = {Zhuochuan Huang and Chien{-}Chung Shen}, title = {Multibeam Antenna-Based Topology Control with Directional Power Intensity for Ad Hoc Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {5}, number = {5}, pages = {508--517}, year = {2006}, url = {https://doi.org/10.1109/TMC.2006.67}, doi = {10.1109/TMC.2006.67}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/HuangS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ShenHJ06, author = {Chien{-}Chung Shen and Zhuochuan Huang and Chaiporn Jaikaeo}, title = {Directional Broadcast for Mobile Ad Hoc Networks with Percolation Theory}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {5}, number = {4}, pages = {317--332}, year = {2006}, url = {https://doi.org/10.1109/TMC.2006.1599402}, doi = {10.1109/TMC.2006.1599402}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ShenHJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsuYHC06, author = {Hui{-}Huang Hsu and Chao{-}Hsun Yang and Cheng{-}Wei Hsieh and Chien{-}Chung Cheng}, title = {An Integrated Information System for Protein Identification}, booktitle = {20th International Conference on Advanced Information Networking and Applications {(AINA} 2006), 18-20 April 2006, Vienna, Austria}, pages = {191--198}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/AINA.2006.91}, doi = {10.1109/AINA.2006.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HsuYHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/Huang06, author = {Chien{-}Chung Huang}, editor = {Yossi Azar and Thomas Erlebach}, title = {Cheating by Men in the Gale-Shapley Stable Matching Algorithm}, booktitle = {Algorithms - {ESA} 2006, 14th Annual European Symposium, Zurich, Switzerland, September 11-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4168}, pages = {418--431}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11841036\_39}, doi = {10.1007/11841036\_39}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/esa/Huang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hvei/ChenLHKH06, author = {Chien{-}Chung Chen and San{-}Yuan Lin and Hui{-}Ya G. Han and Sheng{-}Tzung Kuo and Kuo{-}Chung Huang}, editor = {Bernice E. Rogowitz and Thrasyvoulos N. Pappas and Scott J. Daly}, title = {Local luminance effect on spatial summation in the foveal vision and its implication on image artifact classification}, booktitle = {Human Vision and Electronic Imaging XI, San Jose, CA, USA, January 15, 2006}, series = {{SPIE} Proceedings}, volume = {6057}, pages = {605703}, publisher = {{SPIE}}, year = {2006}, url = {https://doi.org/10.1117/12.642010}, doi = {10.1117/12.642010}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hvei/ChenLHKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeHCTC06, author = {Jen{-}Chun Lee and Ping Sheng Huang and Chung{-}Shi Chiang and Te{-}Ming Tu and Chien{-}Ping Chang}, title = {An Empirical Mode Decomposition Approach for Iris Recognition}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2006, October 8-11, Atlanta, Georgia, {USA}}, pages = {289--292}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICIP.2006.313182}, doi = {10.1109/ICIP.2006.313182}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeeHCTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LeeHHLWLC06, author = {Jiann{-}Der Lee and Shih{-}Sen Hsieh and Chung{-}Hsien Huang and Li{-}Chang Liu and Chien{-}Tsai Wu and Shin{-}Tseng Lee and Jyi{-}Feng Chen}, title = {An Adaptive {ICP} Registration for Facial Point Data}, booktitle = {18th International Conference on Pattern Recognition {(ICPR} 2006), 20-24 August 2006, Hong Kong, China}, pages = {703--706}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPR.2006.232}, doi = {10.1109/ICPR.2006.232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/LeeHHLWLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/WangHW06, author = {Shih{-}Hao Wang and Chien{-}Lin Huang and Chung{-}Hsien Wu}, title = {{\unicode{21033}}{\unicode{29992}}{\unicode{32882}}{\unicode{23416}}{\unicode{33287}}{\unicode{25991}}{\unicode{33032}}{\unicode{20998}}{\unicode{26512}}{\unicode{26044}}{\unicode{22810}}{\unicode{35486}}{\unicode{35486}}{\unicode{38899}}{\unicode{36776}}{\unicode{35672}}{\unicode{21934}}{\unicode{20803}}{\unicode{20043}}{\unicode{29986}}{\unicode{29983}} (Generation of Phonetic Units for Multilingual Speech Recognition Based on Acoustic and Contextual Analysis) [In Chinese]}, booktitle = {Proceedings of the 18th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2006, Taiwan, ROC, 2006}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2006}, url = {https://aclanthology.org/O06-1010/}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/WangHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/WuHLWSCCHYL06, author = {Chi Wu and Kun{-}Yuan Hsieh and Yung{-}Chia Lin and Chung{-}Ju Wu and Wen{-}Li Shih and Shih{-}Chang Chen and Chung{-}Kai Chen and Chien{-}Ching Huang and Yi{-}Ping You and Jenq Kuen Lee}, title = {Integrating Compiler and System Toolkit Flow for Embedded {VLIW} {DSP} Processors}, booktitle = {12th {IEEE} Conference on Embedded and Real-Time Computing Systems and Applications {(RTCSA} 2006), 16-18 August 2006, Sydney, Australia}, pages = {215--222}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/RTCSA.2006.40}, doi = {10.1109/RTCSA.2006.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/WuHLWSCCHYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HanSH06, author = {Xiaofeng Han and Chien{-}Chung Shen and Zhuochuan Huang}, title = {Adaptive topology control for heterogeneous mobile ad hoc networks using power estimation}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2006, 3-6 April 2006, Las Vegas, Nevada, {USA}}, pages = {392--399}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/WCNC.2006.1683497}, doi = {10.1109/WCNC.2006.1683497}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HanSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ShenHJ05, author = {Chien{-}Chung Shen and Zhuochuan Huang and Chaiporn Jaikaeo}, title = {Ant-Based Distributed Topology Control Algorithms for Mobile Ad hoc Networks}, journal = {Wirel. Networks}, volume = {11}, number = {3}, pages = {299--317}, year = {2005}, url = {https://doi.org/10.1007/s11276-005-6613-8}, doi = {10.1007/S11276-005-6613-8}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ShenHJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ShenKJH05, author = {Chien{-}Chung Shen and Ozcan Koc and Chaiporn Jaikaeo and Zhuochuan Huang}, title = {Trajectory control of mobile access points in {MANET}}, booktitle = {Proceedings of the Global Telecommunications Conference, 2005. {GLOBECOM} '05, St. Louis, Missouri, USA, 28 November - 2 December 2005}, pages = {6}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/GLOCOM.2005.1578278}, doi = {10.1109/GLOCOM.2005.1578278}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ShenKJH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HuangHW05, author = {Chien{-}Lin Huang and Chia{-}Hsin Hsieh and Chung{-}Hsien Wu}, title = {Spoken document summarization using acoustic, prosodic and semantic information}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Multimedia and Expo, {ICME} 2005, July 6-9, 2005, Amsterdam, The Netherlands}, pages = {434--437}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICME.2005.1521453}, doi = {10.1109/ICME.2005.1521453}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/HuangHW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuangHW05, author = {Chien{-}Lin Huang and Chia{-}Hsin Hsieh and Chung{-}Hsien Wu}, title = {Audio-video summarization of {TV} news using speech recognition and shot change detection}, booktitle = {9th European Conference on Speech Communication and Technology, INTERSPEECH-Eurospeech 2005, Lisbon, Portugal, September 4-8, 2005}, pages = {73--76}, publisher = {{ISCA}}, year = {2005}, url = {https://doi.org/10.21437/Interspeech.2005-57}, doi = {10.21437/INTERSPEECH.2005-57}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuangHW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/LinLHLLHWHLH05, author = {Ching{-}Yuan Lin and Chung{-}Hung Lin and Chien{-}Hung Ho and Wei{-}Wu Liao and Shu{-}Yueh Lee and Ming{-}Chou Ho and Shih{-}Chen Wang and Shih{-}Chan Huang and Yuan{-}Tai Lin and Charles Ching{-}Hsiang Hsu}, title = {Embedded {OTP} fuse in {CMOS} logic process}, booktitle = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, pages = {13--15}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTDT.2005.22}, doi = {10.1109/MTDT.2005.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/LinLHLLHWHLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/HuangLC05, author = {Chien{-}Chung Huang and Kuan{-}Ming Lin and Lee{-}Feng Chien}, editor = {Andrzej Skowron and Rakesh Agrawal and Michael Luck and Takahira Yamaguchi and Pierre Morizet{-}Mahoudeaux and Jiming Liu and Ning Zhong}, title = {Automatic Training Corpora Acquisition through Web Mining}, booktitle = {2005 {IEEE} / {WIC} / {ACM} International Conference on Web Intelligence {(WI} 2005), 19-22 September 2005, Compiegne, France}, pages = {193--199}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WI.2005.39}, doi = {10.1109/WI.2005.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/HuangLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/tf/05/ShenH05, author = {Chien{-}Chung Shen and Zhuochuan Huang}, editor = {Jie Wu}, title = {Topology Control for Ad Hoc Networks}, booktitle = {Handbook on Theoretical and Algorithmic Aspects of Sensor, Ad Hoc Wireless, and Peer-to-Peer Networks}, pages = {99--114}, publisher = {{CRC} Press / Taylor {\&} Francis}, year = {2005}, url = {https://doi.org/10.1201/9780203323687.ch7}, doi = {10.1201/9780203323687.CH7}, timestamp = {Mon, 22 Jul 2019 14:56:08 +0200}, biburl = {https://dblp.org/rec/books/tf/05/ShenH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LinCH04, author = {James T. Lin and Tzu{-}Li Chen and Chien{-}Chung Huang}, title = {A Hierarchy Planning Model forTFT-LCD Production Chain}, journal = {Int. J. Electron. Bus. Manag.}, volume = {2}, number = {1}, pages = {59--68}, year = {2004}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V2\_N1/07.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LinCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChenHHC04, author = {Deng{-}Jyi Chen and Chung{-}Chien Hwang and Shih{-}Kun Huang and David T. K. Chen}, title = {Mining Control Patterns from Java Program Corpora}, journal = {J. Inf. Sci. Eng.}, volume = {20}, number = {1}, pages = {57--83}, year = {2004}, url = {http://www.iis.sinica.edu.tw/page/jise/2004/200401\_04.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChenHHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/TuHHC04, author = {Te{-}Ming Tu and Ping Sheng Huang and Chung{-}Ling Hung and Chien{-}Ping Chang}, title = {A fast intensity-hue-saturation fusion technique with spectral adjustment for {IKONOS} imagery}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {1}, number = {4}, pages = {309--312}, year = {2004}, url = {https://doi.org/10.1109/LGRS.2004.834804}, doi = {10.1109/LGRS.2004.834804}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/TuHHC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChangCCOJH04, author = {Darby Tien{-}Hao Chang and Chien{-}Yu Chen and Wen{-}Chin Chung and Yen{-}Jen Oyang and Hsueh{-}Fen Juan and Hsuan{-}Cheng Huang}, title = {ProteMiner-SSM: a web server for efficient analysis of similar protein tertiary substructures}, journal = {Nucleic Acids Res.}, volume = {32}, number = {Web-Server-Issue}, pages = {76--82}, year = {2004}, url = {https://doi.org/10.1093/nar/gkh425}, doi = {10.1093/NAR/GKH425}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChangCCOJH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/HuangCC04, author = {Chien{-}Chung Huang and Shui{-}Lung Chuang and Lee{-}Feng Chien}, title = {Using a web-based categorization approach to generate thematic metadata from texts}, journal = {{ACM} Trans. Asian Lang. Inf. Process.}, volume = {3}, number = {3}, pages = {190--212}, year = {2004}, url = {https://doi.org/10.1145/1037811.1037812}, doi = {10.1145/1037811.1037812}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/talip/HuangCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ShenSLHJL04, author = {Chien{-}Chung Shen and Chavalit Srisathapornphat and Rui Liu and Zhuochuan Huang and Chaiporn Jaikaeo and Errol L. Lloyd}, title = {{CLTC:} {A} Cluster-Based Topology Control Framework for Ad Hoc Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {3}, number = {1}, pages = {18--32}, year = {2004}, url = {https://doi.org/10.1109/TMC.2004.1261814}, doi = {10.1109/TMC.2004.1261814}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ShenSLHJL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/antsw/ShenJSHR04, author = {Chien{-}Chung Shen and Chaiporn Jaikaeo and Chavalit Srisathapornphat and Zhuochuan Huang and Sundaram Rajagopalan}, editor = {Marco Dorigo and Mauro Birattari and Christian Blum and Luca Maria Gambardella and Francesco Mondada and Thomas St{\"{u}}tzle}, title = {Ad Hoc Networking with Swarm Intelligence}, booktitle = {Ant Colony Optimization and Swarm Intelligence, 4th International Workshop, {ANTS} 2004, Brussels, Belgium, September 5 - 8, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3172}, pages = {262--269}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28646-2\_23}, doi = {10.1007/978-3-540-28646-2\_23}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/antsw/ShenJSHR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/HuangCC04, author = {Chien{-}Chung Huang and Shui{-}Lung Chuang and Lee{-}Feng Chien}, editor = {Z. Meral {\"{O}}zsoyoglu and Stanley B. Zdonik}, title = {Mining the Web for Generating Thematic Metadata from Textual Data}, booktitle = {Proceedings of the 20th International Conference on Data Engineering, {ICDE} 2004, 30 March - 2 April 2004, Boston, MA, {USA}}, pages = {834}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICDE.2004.1320065}, doi = {10.1109/ICDE.2004.1320065}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/HuangCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/HuangCC04, author = {Chien{-}Chung Huang and Shui{-}Lung Chuang and Lee{-}Feng Chien}, editor = {Keh{-}Yih Su and Jun'ichi Tsujii and Jong{-}Hyeok Lee and Oi Yee Kwong}, title = {Categorizing Unknown Text Segments for Information Extraction Using a Search Result Mining Approach}, booktitle = {Natural Language Processing - {IJCNLP} 2004, First International Joint Conference, Hainan Island, China, March 22-24, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3248}, pages = {576--586}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30211-7\_61}, doi = {10.1007/978-3-540-30211-7\_61}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/HuangCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/HsiehHW04, author = {Chia{-}Hsin Hsieh and Chien{-}Lin Huang and Chung{-}Hsien Wu}, editor = {Qiang Huo and Man{-}Hung Siu}, title = {Spoken document summarization using topic-related corpus and semantic dependency grammar}, booktitle = {2004 International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2004, Hong Kong, December 15-18, 2004}, pages = {333--336}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CHINSL.2004.1409654}, doi = {10.1109/CHINSL.2004.1409654}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/HsiehHW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isi/WangHTC04, author = {Jenq{-}Haur Wang and Chien{-}Chung Huang and Jei{-}Wen Teng and Lee{-}Feng Chien}, editor = {Hsinchun Chen and Reagan W. Moore and Daniel Dajun Zeng and John Leavitt}, title = {Generating Concept Hierarchies from Text for Intelligence Analysis}, booktitle = {Intelligence and Security Informatics, Second Symposium on Intelligence and Security Informatics, {ISI} 2004, Tucson, AZ, USA, June 10-11, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3073}, pages = {100--113}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25952-7\_8}, doi = {10.1007/978-3-540-25952-7\_8}, timestamp = {Tue, 24 May 2022 18:11:09 +0200}, biburl = {https://dblp.org/rec/conf/isi/WangHTC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SuHWHKCW04, author = {Chin{-}Lung Su and Rei{-}Fu Huang and Cheng{-}Wen Wu and Chien{-}Chung Hung and Ming{-}Jer Kao and Yeong{-}Jar Chang and Wen Ching Wu}, title = {{MRAM} Defect Analysis and Fault Modeli}, booktitle = {Proceedings 2004 International Test Conference {(ITC} 2004), October 26-28, 2004, Charlotte, NC, {USA}}, pages = {124--133}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/TEST.2004.1386944}, doi = {10.1109/TEST.2004.1386944}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SuHWHKCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangXW04, author = {Chien{-}Lin Huang and Jia{-}Xien Xie and Chung{-}Hsien Wu}, title = {{\unicode{25033}}{\unicode{29992}}{\unicode{35486}}{\unicode{26009}}{\unicode{24235}}{\unicode{21644}}{\unicode{35486}}{\unicode{24847}}{\unicode{30456}}{\unicode{20381}}{\unicode{27861}}{\unicode{21063}}{\unicode{26044}}{\unicode{20013}}{\unicode{25991}}{\unicode{35486}}{\unicode{38899}}{\unicode{25991}}{\unicode{20214}}{\unicode{20043}}{\unicode{25688}}{\unicode{35201}} (Spoken Document Summarization Using Topic-Related Corpus and Semantic Dependency Grammar) [In Chinese]}, booktitle = {Proceedings of the 16th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2004, Taiwan, ROC, 2004}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2004}, url = {https://aclanthology.org/O04-1021/}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangXW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HuangS04, author = {Zhuochuan Huang and Chien{-}Chung Shen}, title = {Topology control with directional power intensity for ad hoc networks}, booktitle = {2004 {IEEE} Wireless Communications and Networking Conference , {WCNC} 2004, Atlanta, Georgia, USA, 21-25 March 2004}, pages = {604--609}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/WCNC.2004.1311615}, doi = {10.1109/WCNC.2004.1311615}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HuangS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/HuangCC04, author = {Chien{-}Chung Huang and Shui{-}Lung Chuang and Lee{-}Feng Chien}, editor = {Stuart I. Feldman and Mike Uretsky and Marc Najork and Craig E. Wills}, title = {Liveclassifier: creating hierarchical text classifiers through web corpora}, booktitle = {Proceedings of the 13th international conference on World Wide Web, {WWW} 2004, New York, NY, USA, May 17-20, 2004}, pages = {184--192}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988672.988698}, doi = {10.1145/988672.988698}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/HuangCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChungHC03, author = {Kuo{-}Liang Chung and Hsu{-}Lien Huang and I{-}Chien Chen}, title = {New two-phase spatial data structures with applications to binary images}, journal = {J. Vis. Commun. Image Represent.}, volume = {14}, number = {2}, pages = {97--113}, year = {2003}, url = {https://doi.org/10.1016/S1047-3203(03)00021-X}, doi = {10.1016/S1047-3203(03)00021-X}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChungHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/HuangS03, author = {Zhuochuan Huang and Chien{-}Chung Shen}, title = {Distributed topology control mechanism for mobile Ad hoc networks with swarm intelligence}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {7}, number = {3}, pages = {21--22}, year = {2003}, url = {https://doi.org/10.1145/961268.961273}, doi = {10.1145/961268.961273}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/HuangS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KuoH03, author = {Chung{-}Hsien Kuo and Chien{-}Sheng Huang}, title = {Distributed modeling and simulation of 300 mm fab intrabay automation systems using distributed agent oriented petri nets}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, pages = {616--621}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ROBOT.2003.1241662}, doi = {10.1109/ROBOT.2003.1241662}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KuoH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HuangCTMR03, author = {Yu Huang and Wu{-}Tung Cheng and Chien{-}Chung Tsai and Nilanjan Mukherjee and Sudhakar M. Reddy}, title = {Static Pin Mapping and {SOC} Test Scheduling for Cores with Multiple Test Sets}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194716}, doi = {10.1109/ISQED.2003.1194716}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/HuangCTMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/HuangCTMSZR02, author = {Yu Huang and Wu{-}Tung Cheng and Chien{-}Chung Tsai and Nilanjan Mukherjee and Omer Samman and Yahya Zaidan and Sudhakar M. Reddy}, title = {On Concurrent Test of Core-Based {SOC} Design}, journal = {J. Electron. Test.}, volume = {18}, number = {4-5}, pages = {401--414}, year = {2002}, url = {https://doi.org/10.1023/A:1016541407006}, doi = {10.1023/A:1016541407006}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/HuangCTMSZR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/HuangTMSCR02, author = {Yu Huang and Chien{-}Chung Tsai and Nilanjan Mukherjee and Omer Samman and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {Synthesis of Scan Chains for Netlist Descriptions at RT-Level}, journal = {J. Electron. Test.}, volume = {18}, number = {2}, pages = {189--201}, year = {2002}, url = {https://doi.org/10.1023/A:1014949727553}, doi = {10.1023/A:1014949727553}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/HuangTMSCR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangS02, author = {Zhuochuan Huang and Chien{-}Chung Shen}, title = {A comparison study of omnidirectional and directional {MAC} protocols for ad hoc networks}, booktitle = {Proceedings of the Global Telecommunications Conference, 2002. {GLOBECOM} '02, Taipei, Taiwan, 17-21 November, 2002}, pages = {57--61}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/GLOCOM.2002.1188041}, doi = {10.1109/GLOCOM.2002.1188041}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuangS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/HuangSSJ02, author = {Zhuochuan Huang and Chien{-}Chung Shen and Chavalit Srisathapornphat and Chaiporn Jaikaeo}, editor = {Ronald P. Luijten and W. Eric Wong and Kia Makki and E. K. Park}, title = {Topology control for ad hoc networks with directional antennas}, booktitle = {Proceedings of the 11th International Conference on Computer Communications and Networks, {ICCCN} 2002, 14-16 October, 2002, Hyatt Regency Miami, Miami, Florida, {USA}}, pages = {16--21}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICCCN.2002.1043039}, doi = {10.1109/ICCCN.2002.1043039}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccn/HuangSSJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangRCRMTSZ02, author = {Yu Huang and Sudhakar M. Reddy and Wu{-}Tung Cheng and Paul Reuter and Nilanjan Mukherjee and Chien{-}Chung Tsai and Omer Samman and Yahya Zaidan}, title = {Optimal Core Wrapper Width Selection and {SOC} Test Scheduling Based on 3-D Bin Packing Algorithm}, booktitle = {Proceedings {IEEE} International Test Conference 2002, Baltimore, MD, USA, October 7-10, 2002}, pages = {74--82}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/TEST.2002.1041747}, doi = {10.1109/TEST.2002.1041747}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangRCRMTSZ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/HuangMTSZZCR02, author = {Yu Huang and Nilanjan Mukherjee and Chien{-}Chung Tsai and Omer Samman and Yahya Zaidan and Yanping Zhang and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {Constraint Driven Pin Mapping for Concurrent {SOC} Testing}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {511--516}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994971}, doi = {10.1109/ASPDAC.2002.994971}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/HuangMTSZZCR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apaqs/HwangHCC01, author = {Chung{-}Chien Hwang and Shih{-}Kun Huang and Deng{-}Jyi Chen and David T. K. Chen}, title = {Object-Oriented Program Behavior Analysis Based on Control Patterns}, booktitle = {2nd Asia-Pacific Conference on Quality Software {(APAQS} 2001), 10-11 December 2001, Hong Kong, China, Proceedings}, pages = {81--87}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/APAQS.2001.990005}, doi = {10.1109/APAQS.2001.990005}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apaqs/HwangHCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HuangCTMSZR01, author = {Yu Huang and Wu{-}Tung Cheng and Chien{-}Chung Tsai and Nilanjan Mukherjee and Omer Samman and Yahya Zaidan and Sudhakar M. Reddy}, title = {Resource Allocation and Test Scheduling for Concurrent Test of Core-Based SoC {D}}, booktitle = {10th Asian Test Symposium {(ATS} 2001), 19-21 November 2001, Kyoto, Japan}, pages = {265}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ATS.2001.990293}, doi = {10.1109/ATS.2001.990293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HuangCTMSZR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HuangTMCR01, author = {Yu Huang and Chien{-}Chung Tsai and Nilanjan Mukherhee and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {Effect of {RTL} coding style on testability}, booktitle = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference, {CICC} 2001, San Diego, CA, USA, May 6-9, 2001}, pages = {255--258}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/CICC.2001.929767}, doi = {10.1109/CICC.2001.929767}, timestamp = {Mon, 10 Oct 2022 09:13:22 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HuangTMCR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangTMSDCR01, author = {Yu Huang and Chien{-}Chung Tsai and Nilanjan Mukherjee and Omer Samman and Dan Devries and Wu{-}Tung Cheng and Sudhakar M. Reddy}, title = {On {RTL} scan design}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {728--737}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966694}, doi = {10.1109/TEST.2001.966694}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangTMSDCR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HuangCCHB00, author = {Shi{-}Yu Huang and Kwang{-}Ting Cheng and Kuang{-}Chien Chen and Chung{-}Yang Huang and Forrest Brewer}, title = {{AQUILA:} An Equivalence Checking System for Large Sequential Designs}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {5}, pages = {443--464}, year = {2000}, url = {https://doi.org/10.1109/12.859539}, doi = {10.1109/12.859539}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/HuangCCHB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PengHH00, author = {Chien{-}Kai Peng and Wen{-}Liang Hwang and Chung{-}Lin Huang}, title = {Matching pursuits low bit rate video coding with codebooks adaptation}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing. {ICASSP} 2000, 5-9 June, 2000, Hilton Hotel and Convention Center, Istanbul, Turkey}, pages = {408--411}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICASSP.2000.861993}, doi = {10.1109/ICASSP.2000.861993}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/PengHH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/PengHH00, author = {Chien{-}Kai Peng and Chung{-}Lin Hwang and Wen{-}Liang Huang}, title = {Very-Low-Bit Rate Coding Using Matching Pursuit and Codebook Adaptation}, booktitle = {Proceedings of the 2000 International Conference on Image Processing, {ICIP} 2000, Vancouver, BC, Canada, September 10-13, 2000}, pages = {988--991}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICIP.2000.901127}, doi = {10.1109/ICIP.2000.901127}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/PengHH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HuangYC98, author = {Nen{-}Fu Huang and Chien{-}Yu Yeh and Chung{-}Ching Chiou}, title = {{PDMRP:} a programmable distributed multicast routing protocol}, booktitle = {1998 {IEEE} International Conference on Communications, {ICC} 1998, Atlanta, GA, USA, June 7-11, 1998}, pages = {1208--1212}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICC.1998.685200}, doi = {10.1109/ICC.1998.685200}, timestamp = {Tue, 23 Feb 2021 12:00:44 +0100}, biburl = {https://dblp.org/rec/conf/icc/HuangYC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChiangPCC97, author = {Tung{-}Hui Chiang and Chung{-}Mou Pengwu and Shih{-}Chieh Chien and Chao{-}Huang Chang}, title = {CCLMDS'96: towards a speaker-independent large-vocabulary Mandarin dictation system}, booktitle = {1997 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '97, Munich, Germany, April 21-24, 1997}, pages = {1799--1802}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICASSP.1997.598885}, doi = {10.1109/ICASSP.1997.598885}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChiangPCC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idms/TsaoHLLH97, author = {Shiao{-}Li Tsao and Yueh{-}Min Huang and Chia{-}Chin Lin and Shiang{-}Chung Liou and Chien{-}Wen Huang}, editor = {Ralf Steinmetz and Lars C. Wolf}, title = {A Novel Data Placement Scheme on Optical Discs for Near-VOD Servers}, booktitle = {Interactive Distributed Multimedia Systems and Telecommunication Services, 4th International Workshop, {IDMS} '97, Darmstadt, Germany, September 10-12, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1309}, pages = {133--142}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0000346}, doi = {10.1007/BFB0000346}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/idms/TsaoHLLH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ChangCCH97, author = {Cheng Chang and Chien{-}Chung Chen and Yao{-}Liang Chen and Fu{-}Shin Huang}, title = {Real-time scheduling in a programmable radar signal processor}, booktitle = {4th International Workshop on Real-Time Computing Systems and Applications {(RTCSA} '97), 27-29 October 1997, Taipei, Taiwan}, pages = {206}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/RTCSA.1997.629225}, doi = {10.1109/RTCSA.1997.629225}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ChangCCH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChienLCCCTLHC96, author = {Lee{-}Feng Chien and Ming{-}Jer Lee and Ming{-}Chuan Chen and Hung{-}Ming Chen and Ting{-}Chi Chen and Wei{-}Feng Tung and Hung{-}Chung Lee and Duen{-}Yi Huang and Yuan{-}Cheng Chang}, editor = {Chung{-}Hsien Wu and Keh{-}Yih Su}, title = {{\unicode{23563}}{\unicode{26131}}{\unicode{65288}}Csmart-{\unicode{8545}}{\unicode{65289}}{\,:\,}{\unicode{26234}}{\unicode{24935}}{\unicode{22411}}{\unicode{32178}}{\unicode{36335}}{\unicode{20013}}{\unicode{25991}}{\unicode{36039}}{\unicode{35338}}{\unicode{27298}}{\unicode{32034}}{\unicode{31995}}{\unicode{32113}} (An Intelligent Chinese Information Retrieval System for the Internet) [In Chinese]}, booktitle = {Proceedings of 9th Computational Linguistics Conference, {ROCLING} 1996, Tainan, Taiwan, August 1996}, pages = {121--136}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {1996}, url = {https://aclanthology.org/O96-1004/}, timestamp = {Tue, 30 Jul 2024 08:36:43 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ChienLCCCTLHC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/Chien-YuanSC95, author = {Chien{-}Yuan Huang and Shi{-}Chung Chang and Chern{-}Lin Chen}, title = {Performance Evaluation of a Cache-Coherent Multi-Processor by Iterative Mean Value Analysis}, journal = {Perform. Evaluation}, volume = {23}, number = {1}, pages = {31--52}, year = {1995}, url = {https://doi.org/10.1016/0166-5316(94)E0062-N}, doi = {10.1016/0166-5316(94)E0062-N}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/Chien-YuanSC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.